CN105814491B - 检查设备和方法、具有量测目标的衬底、光刻系统和器件制造方法 - Google Patents

检查设备和方法、具有量测目标的衬底、光刻系统和器件制造方法 Download PDF

Info

Publication number
CN105814491B
CN105814491B CN201480067198.3A CN201480067198A CN105814491B CN 105814491 B CN105814491 B CN 105814491B CN 201480067198 A CN201480067198 A CN 201480067198A CN 105814491 B CN105814491 B CN 105814491B
Authority
CN
China
Prior art keywords
target
measurement
substrate
asymmetry
grating
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201480067198.3A
Other languages
English (en)
Other versions
CN105814491A (zh
Inventor
S·G·J·玛斯吉森
斯蒂芬·亨斯克
M·G·M·M·范卡拉埃吉
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASML Netherlands BV
Original Assignee
ASML Netherlands BV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASML Netherlands BV filed Critical ASML Netherlands BV
Publication of CN105814491A publication Critical patent/CN105814491A/zh
Application granted granted Critical
Publication of CN105814491B publication Critical patent/CN105814491B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/213Exposing with the same light pattern different positions of the same surface at the same time
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/38Masks having auxiliary features, e.g. special coatings or marks for alignment or testing; Preparation thereof
    • G03F1/44Testing or measuring features, e.g. grid patterns, focus monitors, sawtooth scales or notched scales
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/22Exposing sequentially with the same light pattern different positions of the same surface
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70466Multiple exposures, e.g. combination of fine and coarse exposures, double patterning or multiple exposures for printing a single feature
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70681Metrology strategies
    • G03F7/70683Mark designs

Abstract

一种用于光刻中的检查设备被披露。其包括用于衬底的支撑件,所述支撑件承载多个量测目标;光学系统,用于在预定照射条件下照射目标并且用于检测在所述照射条件下由目标衍射的辐射的预定部分;处理器,所述处理器布置成用来从衍射辐射的所述检测部分计算出对于特定目标的不对称度的测量;以及控制器,用于使所述光学系统和处理器测量出所述目标中至少两个目标的不对称度,所述至少两个目标具有在衬底上的一层内的结构与更小子结构之间的位置偏置的不同的已知分量;并且使所述光学系统和处理器从所述不对称度测量的结果计算出对于所述更小尺寸的结构的光刻过程的性能参数的测量。还披露了具备由光刻过程所形成的多个新型量测目标的衬底。

Description

检查设备和方法、具有量测目标的衬底、光刻系统和器件制造 方法
相关申请的交叉引用
本申请主张2013年10月30日提交的美国临时申请61/897,562的权益,其通过援引而全文合并到本文中。
技术领域
本发明涉及可用于例如由光刻技术进行的器件制造中的量测方法和设备以及使用光刻技术制造器件的方法。
背景技术
光刻设备是一种将所需图案应用到衬底上,通常是衬底的目标部分上的机器。例如,可以将光刻设备用在集成电路(IC)的制造中。在这种情况下,可以将可选地称为掩模或掩模版的图案形成装置用于生成待形成在所述IC的单层上的电路图案。可以将该图案转移到衬底(例如,硅晶片)上的目标部分(例如,包括一部分管芯、一个或多个管芯)上。通常,图案的转移是通过把图案成像到设置在衬底上的辐射敏感材料(抗蚀剂)层上进行的。通常,单个的衬底将包含被连续形成图案的相邻目标部分的网络。
在光刻过程中,经常期望对所生成的结构进行测量,例如用于过程控制和验证。用于进行这种测量的多种工具是已知的,包括经常用于测量临界尺寸(CD)的扫描电子显微镜以及用于测量重叠(在器件中两个层的对准精度)的专用工具。近来,用于光刻领域的各种形式的散射仪已经被研发。这些装置将辐射束引导到目标上并测量被散射的辐射的一种或更多种性质(例如作为波长的函数的、在单个反射角处的强度;作为反射角的函数的、在一个或更多个波长处的强度;或作为反射角的函数的偏振)以获得“光谱”,根据该“光谱”,可以确定目标的感兴趣的性质。感兴趣的性质的确定可以通过各种技术来进行:例如通过迭代方法(例如严格耦合波分析或有限元方法)、库搜索以及主分量分析来重建目标结构。
在已知的量测技术中,重叠测量的结果通过在旋转目标或改变照射模式或成像模式以独立地获得-1st衍射级和+1st衍射级的强度的同时、在一定条件下测量目标两次来获得。对于给定的光栅比较这些强度能够提供光栅中的不对称度的测量,并且在重叠光栅中的不对称度能够用作重叠误差的指示器。
当前,从具有比产品特征显著更大节距的目标推断出重叠,这是在假定二者相等的情况下实现的。子分段目标对于例如透镜像差是敏感的,透镜像差导致了在分辨率级的(at-resolution)子分段与较大重叠目标光栅节距之间的移位。因此,所述重叠测量的有效精度有所妥协。
与层之间的重叠误差类似的是由在一过程中的不同步骤所形成的单层中的目标群之间的失配。例如,最精细的产品特征当前是由多重图案化过程形成的。若现有的量测硬件的能力能够被扩展至对于在双重和多重图案化过程中的失配进行的测量,则这将会是有用的。然而,另外,所述产品特征的尺寸比所述量测硬件的分辨率小许多倍。
发明内容
希望提供一种用于重叠量测的技术,其不易受到所提及类型的不精确度的影响,而同时如果可能则使用现有量测硬件。
希望单独地提供一种技术用于使用现有量测硬件的失配量测。
本发明在第一方面提供了一种用于测量光刻过程的性质的检查设备,所述设备包括:用于衬底的支撑件,所述支撑件承载包括通过光刻过程所形成的结构的多个量测目标;光学系统,用于在预定照射条件下照射多个目标并且用于检测在所述照射条件下由目标衍射的辐射的预定部分;处理器,所述处理器布置成用来从衍射辐射的所述检测部分计算出对于特定目标的不对称度的测量;以及控制器,用于使所述光学系统和处理器测量出所述目标中至少两个目标的不对称度,所述至少两个目标具有在衬底上的一层内的结构与更小子结构之间的位置偏置的不同的已知分量;并且使所述光学系统和处理器从所述不对称度测量的结果计算出对于所述更小尺寸的结构的光刻过程的性能参数的测量。
在一个实施例中,所述性能参数是对于所述更小尺寸的结构的光刻过程的重叠参数,并且通过将所述不对称度测量的结果与至少两个重叠目标的不对称度的测量相组合来计算出所述性能参数,所述至少两个重叠目标具有在衬底上在第一和第二层之间的位置偏置的不同的已知分量。测量出在具有在第一和第二层的每层中的位置偏置的不同的已知分量的辅助目标中的不对称度。
在可以应用于多重图案化过程中的另一实施例中,所述控制器被布置成用来导致所述光学系统和处理器测量在所述目标中至少两个目标的不对称度,所述至少两个目标具有在目标内的子结构的交错群集(population)之间的位置偏置的不同的已知分量;并且从所述不对称度测量的结果计算出用来形成所述子结构的光刻过程的重叠参数的测量。
在第二方面中,本发明的实施例提供一种衬底,其具备通过光刻过程所形成的多个量测目标,每个目标包括被布置成在至少第一方向上以一定空间周期重复的结构,其中所述量测目标包括:多个重叠目标,每个重叠目标中的所述结构中的至少某些结构在所述衬底上在第一和第二层中复制并且彼此叠置,并且其中每个重叠目标形成为具有在层之间的位置偏置,所述位置偏置是已知分量和未知分量二者的组合,已知分量对于不同目标不同;和多个辅助目标,每个辅助目标包括比所述空间周期小几倍的子结构,其中每个辅助目标形成于所述层之一中并且形成为具有在子结构与结构之间的位置偏置,所述位置偏置是已知分量和未知分量二者的组合,所述已知分量对于不同目标不同。
本发明在第二方面中的实施例还提供了用于光刻过程中的一种图案形成装置(或一对图案形成装置),所述图案形成装置限定当施加到衬底上时将产生根据本发明实施例的第二方面所述的衬底的图案,如前所述。
本发明在第三方面中的实施例提供一种衬底,具备由光刻过程形成的多个量测目标,每个目标包括被布置成在至少第一方向上以一定空间周期重复的结构,其中所述量测目标包括:多个目标,每个目标包括尺寸比所述空间周期小几倍的子结构,其中每个目标形成为具有在子结构的两个交错群集之间的位置偏置,所述位置偏置是已知和未知分量二者的组合,所述已知分量对于不同目标不同。
本发明在第三方面中的实施例还提供了一对用于光刻过程中的图案形成装置,所述图案形成装置限定当顺序地施加到衬底上时将产生如前所述的、根据本发明的实施例的第三方面的衬底的图案。
本发明在另一方面的实施例提供了一种对光刻过程的性能参数进行测量的方法,所述方法包括以下步骤:(a)执行所述光刻过程以产生在衬底上形成多个量测目标的结构,所述目标中的至少两个目标具有在结构与更小的子结构之间的位置偏置,所述位置偏置是已知和未知分量的组合,位置偏置的所述已知分量对于不同目标不同;(b)使用检查设备来测量在所述辅助目标中的至少两个辅助目标的不对称度,所述至少两个辅助目标具有在衬底上的一层内在结构和更小的子结构之间的位置偏置的不同的已知分量;和(c)使用在步骤(b)中做出的不对称度测量的结果来计算出对于所述更小尺寸的结构的光刻过程的测量重叠性能参数。
在某些实施例中,可以使用现有量测设备诸如散射仪而执行本发明的实施例。可以在使用经修改的软件的自动化设备中实现本发明的实施例。
本发明在第四方面中的实施例还提供了一种计算机程序产品,包括用于使得处理器执行如上所述的方法的步骤(c)的机器可读指令。所述处理器还可被编程以控制光学系统和处理器来执行所述方法的步骤(b)。
本发明的一个实施例还提供了一种光刻系统,包括:光刻设备,所述光刻设备布置成用来从图案形成装置以重叠方式传送一系列图案至衬底;和
根据如前所述的本发明的实施例的任一方面的检查设备,其中所述光刻设备被布置成用来在施加所述系列的图案至另外衬底的过程中使用来自检查设备的所计算的性能参数。
本发明的实施例还提供了一种制造器件的方法,其中使用光刻过程将一系列器件图案施加到一系列衬底上,所述方法包括使用如前所述的检查方法来检测多个量测目标,所述多个量测目标是在所述衬底中的至少一个衬底上的所述器件图案的部分、或是除所述器件图案之外的量测目标;并且根据所计算出的性能参数而控制对于后续的衬底的光刻过程。
本发明的进一步的特征和优点以及本发明的各种实施例的结构和操作将在下文中参照附图进行详细描述。应当注意,本发明不限于本文所述的具体实施例。这种实施例在本文中仅仅以示例的目的给出。另外的实施例将是相关领域的技术人员根据本文中所包含的教导能够理解的。
附图说明
在此仅仅以示例的方式参照附图对本发明的实施例进行描述,在附图中:
图1示出根据本发明一实施例的光刻设备;
图2示出根据本发明一实施例的光刻单元或集群(cluster);
图3a-3d图示出(a)用于使用第一对照射孔测量根据本发明的实施例的目标的暗场散射仪的示意图,(b)针对于给定照射方向的目标光栅的衍射光谱的细节,(c)在使用用于基于衍射的重叠测量的散射仪的过程中提供另外的照射模式的第二对照射孔以及(d)将第一对孔和第二对孔组合的第三对照射孔;
图4示出已知形式的多光栅目标和在衬底上的测量光斑的轮廓;
图5示出在图3的散射仪中获得的图4的目标的图像;
图6是示出根据本发明的第一实施例,使用图3的散射仪以及新型的量测目标的一种重叠测量方法的步骤的流程图;
图7示出本发明的实施例中所应用的重叠测量的原理;
图8以平面图(a)和示意性截面图(b)图示出具有可以用在本发明的实施例中的偏置方案和辅助目标的新型复合目标;
图9a和9b图示出具有(a)呈理想形式、并且(b)具备由光刻步骤中的像差所导致的移位的分辨率级的特征的光栅结构的一部分;
图10更详细地示出用于根据本发明实施例的重叠量测的新型复合目标中的辅助分量光栅;
图11是图6的流程图的扩展部分,示出了图8的目标中的辅助分量光栅的测量如何用来产生经校正的重叠测量。
图12和图13图示了使用图3的散射仪中的光瞳图像传感器以及使用大目标的本发明的替代实施例;
图14(a)和图14(b)图示了在由多重图案化过程所形成的结构中的失配现象;
图15图示了用于对由根据本发明实施例的多重图案化过程所形成的结构中的失配进行测量的新型复合目标中的分量光栅的形式;和
图16是使用图15的目标来对由多重图案化过程所形成的结构中的失配进行测量的方法的流程图。
具体实施方式
本说明书公开了包含本发明的特征的一个或更多个实施例。所公开的实施例仅仅示例性地说明本发明。本发明的范围不限于所公开的实施例。本发明由所附的权利要求来限定。
所述实施例以及在本说明书中提及的“一个实施例”、“一实施例”、“示例实施例”等表示所述实施例可以包括特定的特征、结构或特性,但是每个实施例可以不必包括该特定的特征、结构或特性。另外,这些措辞不必涉及同一实施例。而且,当特定的特征、结构或特性结合实施例进行描述时,应当理解,不论是否明确地描述,其都在本领域技术人员的知识范围内,用以结合其他实施例来实现这种特征、结构或特性。
本发明的实施例可以被实现为硬件、固件、软件或其任意组合。本发明的实施例也可以被实现为存储在机器可读介质上的指令,其可以由一个或更多个处理器来读取和执行。机器可读介质可以包括用于存储或传送呈机器(例如计算装置)可读形式的信息的任何机制。例如,机器可读介质可以包括只读存储器(ROM);随机存取存储器(RAM);磁盘存储介质;光存储介质;闪存装置;电、光、声或其他形式的传播信号及其他。而且,固件、软件、例程、指令可以在此被描述为执行特定的动作。然而,应当理解,这种描述仅仅是为了方便起见,这种动作实际上由计算装置、处理器、控制器或用于执行固件、软件、例程、指令等的其他装置所导致。
然而,在更详细地描述这些实施例之前,阐释本发明的实施例可以实施的示例环境是有意义的。
图1示意地示出了光刻设备LA。所述设备包括:照射系统(照射器)IL,其配置用于调节辐射束B(例如,UV辐射或DUV辐射);图案形成装置支撑件或支撑结构(例如掩模台)MT,其构造用于支撑图案形成装置(例如掩模)MA,并与配置用于根据特定的参数精确地定位图案形成装置的第一定位装置PM相连;衬底台(例如晶片台)WT,其构造用于保持衬底(例如,涂覆有抗蚀剂的晶片)W,并与配置用于根据特定的参数精确地定位衬底的第二定位装置PW相连;和投影系统(例如折射式投影透镜系统)PS,其配置成用于将由图案形成装置MA赋予辐射束B的图案投影到衬底W的目标部分C(例如包括一根或更多根管芯)上。
照射系统可以包括各种类型的光学部件,例如折射型、反射型、磁性型、电磁型、静电型或其它类型的光学部件、或其任意组合,以引导、成形、或控制辐射。
所述图案形成装置支撑件以依赖于图案形成装置的方向、光刻设备的设计以及诸如例如图案形成装置是否保持在真空环境中等其他条件的方式保持图案形成装置。所述图案形成装置支撑件可以采用机械的、真空的、静电的或其它夹持技术来保持图案形成装置。所述图案形成装置支撑件可以是框架或台,例如,其可以根据需要成为固定的或可移动的。所述图案形成装置支撑件可以确保图案形成装置位于所需的位置上(例如相对于投影系统)。这里使用的任何术语“掩模版”或“掩模”可以看作与更为上位的术语“图案形成装置”同义。
这里所使用的术语“图案形成装置”应该被广义地理解为表示能够用于将图案在辐射束的横截面上赋予辐射束、以便在衬底的目标部分上形成图案的任何装置。应该注意的是,赋予辐射束的图案可能不与衬底的目标部分上的所需图案精确地对应(例如,如果所述图案包括相移特征或所谓的辅助特征)。通常,被赋予辐射束的图案将与在目标部分上形成的器件中的特定的功能层相对应,例如集成电路。
图案形成装置可以是透射型的或反射型的。图案形成装置的示例包括掩模、可编程反射镜阵列以及可编程LCD面板。掩模在光刻技术中是熟知的,并且包括诸如二元掩模类型、交替型相移掩模类型、衰减型相移掩模类型和各种混合掩模类型之类的掩模类型。可编程反射镜阵列的示例采用小反射镜的矩阵布置,每一个小反射镜可以独立地倾斜,以便沿不同方向反射入射的辐射束。所述已倾斜的反射镜将图案赋予由所述反射镜矩阵反射的辐射束。
这里使用的术语“投影系统”可以广义地解释为包括任意类型的投影系统,包括折射型、反射型、反射折射型、磁性型、电磁型和静电型光学系统、或其任意组合,如对于所使用的曝光辐射所适合的、或对于诸如使用浸没液或使用真空之类的其他因素所适合的。这里使用的任何术语“投影透镜”可以认为是与更上位的术语“投影系统”同义。
如这里所示的,所述设备是透射型的(例如,采用透射式掩模)。替代地,所述设备可以是反射型的(例如,采用如上所述类型的可编程反射镜阵列,或采用反射式掩模)。
光刻设备可以是具有两个(双台)或更多衬底台(和/或两个或更多的掩模台)的类型。在这种“多平台”机器中,可以并行地使用附加的台,或可以在一个或更多个台上执行预备步骤的同时,将一个或更多个其它台用于曝光。
所述光刻设备还可以是这种类型:其中衬底的至少一部分可以由具有相对高的折射率的液体(例如水)覆盖,以便填充投影系统和衬底之间的空间。浸没液体还可以施加到光刻设备中的其他空间,例如掩模和投影系统之间的空间。浸没技术用于提高投影系统的数值孔径在本领域是熟知的。这里使用的术语“浸没”并不意味着必须将结构(例如衬底)浸入到液体中,而仅意味着在曝光过程中液体位于投影系统和该衬底之间。
参照图1,照射器IL接收来自辐射源SO的辐射束。所述源和光刻设备可以是分立的实体(例如当该源为准分子激光器时)。在这种情况下,不会将该源考虑成形成光刻设备的一部分,并且通过包括例如合适的定向反射镜和/或扩束器的束传递系统BD的帮助,将所述辐射束从所述源SO传到所述照射器IL。在其它情况下,所述源可以是所述光刻设备的组成部分(例如当所述源是汞灯时)。可以将所述源SO和所述照射器IL、以及如果需要时设置的所述束传递系统BD一起称作辐射系统。
所述照射器IL可以包括用于调整所述辐射束的角强度分布的调整器AD。通常,可以对所述照射器的光瞳平面中的强度分布的至少所述外部和/或内部径向范围(一般分别称为σ-外部和σ-内部)进行调整。此外,所述照射器IL可以包括各种其它部件,例如整合器IN和聚光器CO。可以将所述照射器用于调节所述辐射束,以在其横截面中具有所需的均匀性和强度分布。
所述辐射束B入射到保持在图案形成装置支撑件(例如,掩模台MT)上的所述图案形成装置(例如,掩模)MA上,并且通过所述图案形成装置来形成图案。已经穿过图案形成装置(例如,掩模)MA之后,所述辐射束B通过投影系统PS,所述投影系统将辐射束聚焦到所述衬底W的目标部分C上。通过第二定位装置PW和位置传感器IF(例如,干涉仪器件、线性编码器、二维编码器或电容传感器)的帮助,可以精确地移动所述衬底台WT,例如以便将不同的目标部分C定位于所述辐射束B的路径中。类似地,例如在从掩模库的机械获取之后或在扫描期间,可以将所述第一定位装置PM和另一个位置传感器(在图1中没有明确地示出)用于相对于所述辐射束B的路径精确地定位图案形成装置(例如掩模)MA。通常,可以通过形成所述第一定位装置PM的一部分的长行程模块(粗定位)和短行程模块(精定位)的帮助来实现图案形成装置支撑件(例如掩模台)MT的移动。类似地,可以采用形成所述第二定位装置PW的一部分的长行程模块和短行程模块来实现所述衬底台WT的移动。在步进机的情况下(与扫描器相反),图案形成装置支撑件(例如掩模台)MT可以仅与短行程致动器相连,或可以是固定的。
可以使用掩模对准标记M1、M2和衬底对准标记P1、P2来对准图案形成装置(例如掩模)MA和衬底W。尽管所示的衬底对准标记占据了专用目标部分,但是它们可以位于目标部分(这些公知为划线对齐标记)之间的空间中。类似地,在将多于一个的管芯设置在图案形成装置(例如掩模)MA上的情况下,所述掩模对准标记可以位于所述管芯之间。小的对准标记也可以被包括在管芯内、在器件特征之间,在这种情况下,期望所述标记尽可能小且不需要任何与相邻的特征不同的成像或处理条件。
所描述的设备可以用于多种模式。在扫描模式中,在对图案形成装置支撑件(例如掩模台)MT和衬底台WT同步地进行扫描的同时,将赋予所述辐射束的图案投影到目标部分C上(即,单一的动态曝光)。衬底台WT相对于图案形成装置支撑件(例如掩模台)MT的速度和方向可以通过所述投影系统PS的(缩小)放大率和图像反转特性来确定。在扫描模式中,曝光场的最大尺寸限制了单一的动态曝光中的所述目标部分的宽度(沿非扫描方向),而所述扫描移动的长度确定了所述目标部分的高度(沿扫描方向)。如本领域中公知的,其它类型的光刻设备和操作模式是可能的。例如,已知一种步进模式。在所谓的“无掩膜”光刻术中,可编程图案形成装置保持静止但具有变动的图案,并且衬底台WT移动或被扫描。
也可以采用上述使用模式的组合和/或变体,或完全不同的使用模式。
已知的散射仪的实例包括了在US2006033921A1和US2010201963A1中所描述类型的角分辨散射仪,这两篇专利文献以引用方式整体并入本文。由这些散射仪所使用的目标是相对大的(例如40μm×40μm)光栅,并且测量束生成比光栅小的光斑(即光栅被欠填充)。这简化了目标的数学重建,因为其可以被看成是无限的。为了减小目标的尺寸,例如减小到10μm×10μm或更小,例如,使得它们可以被定位于产品特征之中而不是划线中,已经提出光栅被制成得比测量光斑更小的量测(即光栅被过填充)。典型地,这种目标使用暗场散射术进行测量,在暗场散射术中,第零衍射级(对应于镜面反射)被挡住,仅仅更高的衍射级被处理。使用衍射级的暗场检测的基于衍射的重叠使得能够在更小的目标上进行重叠测量。暗场量测的示例可以在国际专利申请WO2009/078708和WO2009/106279中找到,这两篇专利文献以引用方式整体并入本文。已经在公布的专利公开号US20110027704A、US20110043791A、US2012004470A、US20120123581A、US20130258310A和US20130271740A和在美国专利申请号61/652,552和61/803,673中描述了技术的进一步发展,这些专利文献以引用方式整体合并入本文。这些目标可以小于照射光斑,并且可以被晶片上的产品结构围绕。使用复合光栅目标,可以在一个图像中测量多重光栅。所有这些申请的内容也以引用的方式并入本文。
光刻设备LA是所谓的双平台类型,其具有两个衬底台WTa、WTb和两个站——曝光站和测量站,在曝光站和测量站之间衬底台可以被进行交换。当一个衬底台上的一个衬底在曝光站被进行曝光时,另一衬底可以被加载到测量站处的另一衬底台上且执行各种预备步骤。所述预备步骤可以包括使用水平传感器LS对衬底的表面控制进行规划和使用对准传感器AS测量衬底上的对准标记的位置。
如图2所示,光刻设备LA形成光刻单元LC(有时也称为光刻元或者光刻集群)的一部分,光刻单元LC还包括用以在衬底上执行曝光前和曝光后处理的设备。通常情况下,这些包括用以沉积抗蚀剂层的旋涂器SC、用以对曝光后的抗蚀剂显影的显影器DE、激冷板CH和烘烤板BK。衬底操纵装置或机械人RO从输入/输出口I/O1、I/O2拾取衬底,然后将它们在不同的处理设备之间移动,然后将它们传递到光刻设备的进料台LB。经常统称为轨道的这些装置处在轨道控制单元TCU的控制之下,所述轨道控制单元TCU自身由管理控制系统SCS控制,所述管理控制系统SCS也经由光刻控制单元LACU控制光刻设备。因此,不同的设备可以被操作用于将生产率和处理效率最大化。
适用于本发明的实施例中的量测设备(散射仪)如图3(a)所示。光栅目标T和衍射的光线在图3(b)中被更详细地示出。在如上所提及的US2011027704和其它专利申请中提供了所述设备及在其型式和用法方面的变型的更多细节。所有那些申请的整体内容也以引用的方式并入本文。散射仪可以是单独的装置或被包含在光刻设备LA(例如在测量站处)或光刻单元LC中。光轴由虚线O表示,其有多个贯穿设备的支路。在该设备中,由源11(例如氙灯)发出的光借助于包括透镜12、14和物镜16的光学系统经由分束器15被引导到衬底W上。这些透镜被布置成4F布置的双序列。可以使用不同的透镜布置,只要这样的透镜布置仍然能够将衬底图像提供到检测器上,并且同时对于空间-频率滤波允许访问中间的光瞳平面。因此,辐射入射到衬底上的角度范围可以通过在一平面中定义表示衬底平面(在此称为(共轭)光瞳平面)的空间光谱的空间强度分布来选择。尤其,这可以通过将合适形式的孔板13在作为物镜光瞳平面的后投影像的平面中插入到透镜12和14之间来完成。在所示的示例中,孔板13具有不同的形式,以13N和13S标记,允许选择不同的照射模式。在本示例中的孔板形成各种离轴照射模式。在第一照射模式中,孔板13N提供从标记为“N(北)”的方向(仅仅为了说明起见)的离轴照射。在第二照射模式中,孔板13S用于提供类似的照射,但是从标记为“S(南)”的相反方向。也可以通过使用不同的孔来实现其它的照射模式。光瞳平面的其余部分期望是暗的,因为所期望的照射模式之外的任何非必要的光将干扰所期望的测量信号。
如图3(b)所示,光栅目标T和衬底W被放置成与物镜16的光轴O正交。从偏离光轴O的一角度射到目标T上的照射光线I产生第零级光线(实线0)和两个第一级光线(单点划线+1和双点划线-1)。应当知晓,在过填充的小目标光栅的情况下,这些光线仅仅是覆盖包括量测目标光栅T和其它特征的衬底的区域的许多平行光线之一。在提供复合光栅目标的情况下,所述目标内的每个独立光栅将引起其自身的衍射光谱。由于板13中的孔具有有限的宽度(允许有用的光量通过所必须的),所以入射光线I实际上将占据一角度范围,被衍射的光线0和+1/-1将在一定程度被扩散。根据小目标的点扩散函数,每个衍射级+1和-1将被进一步在一角范围上扩散,而不是如所示出的理想的单条光线。注意,光栅节距和照射角可以被设计或调整成使得进入物镜的第一级光线与中心光轴接近地或紧密地对准。在图3(a)和3(b)中示出的光线被示出为在一定程度上离轴,纯粹是为了能够使它们更容易在图中被区分出来。
至少由衬底W上的目标所衍射的0和+1衍射级被物镜16所收集并通过分束器15被引导返回。回到图3(a),第一和第二照射模式都通过指定标记为北(N)和南(S)的在直径上相对的孔来示出。当入射光线I来自光轴的北侧时,即当使用孔板13N来应用第一照射模式时,被标记为+1(N)的+1衍射光线进入物镜16。相反,当使用孔板13S来应用第二照射模式时,(被标记为-1(S)的)-1衍射光线是进入物镜16的衍射光线。
第二分束器17将衍射束分成两个测量支路。在第一测量支路中,光学系统18使用第零级和第一级衍射束在第一传感器19(例如CCD或CMOS传感器)上形成目标的衍射光谱(光瞳平面图像)。每个衍射级击中传感器上的不同的点,以使得图像处理可以对衍射级进行比较和对比。由传感器19所捕捉的光瞳平面图像可以被用于对量测设备进行调焦和/或将第一级束的强度测量归一化。光瞳平面图像也可以用于不对称度测量以及用于许多的测量目的,例如重建,这不是本公开的主题。待描述的第一示例将使用所述第二测量支路来测量不对称度。
在第二测量支路中,光学系统20、22在传感器23(例如CCD或CMOS传感器)上形成衬底W上的目标的图像。在第二测量支路中,孔径光阑21设置在与光瞳平面共轭的平面中。孔径光阑21的功能是阻挡第零级衍射束以使得形成在传感器23上的目标的图像仅仅由-1或+1第一级束形成。由传感器19和23捕捉的图像被输出到图像处理器和控制器PU,所述图像处理器和控制器PU的功能将依赖于所进行的测量的特定类型。注意到,术语“图像”在此用于广泛的含义。如果仅存在-1和+1衍射级中的一个,则光栅线的图像同样将不被形成于传感器23上。
如图3所示的孔板13和场光阑21的特定形式纯粹是示例性的。在本发明的另一实施例中,使用目标的同轴照射,且具有离轴孔的孔径光阑用于基本上仅使一个第一级衍射光通至传感器。(以附图标记13和21所示的孔在该情况下被有效地交换。)在其它的实施例中,替代第一级束或除第一级束之外,可以将第二级、第三级和更高级次的束(未在图3中示出)用于测量。
为了使照射能够适应于这些不同类型的测量,孔板13可以包括在盘周围形成的多个孔图案,所述盘旋转以将期望的图案带入到合适的位置。替代地或附加地,一组板13可以被设置和交换以实现相同的效果。也可以使用可编程照射装置,例如可变形反射镜阵列或透射式空间光调制器。移动的反射镜或棱镜可以被用作调整照射模式的另一种方式。
如刚刚关于孔板13所进行的解释,用于成像的衍射级的选择可以替代地通过变更光瞳光阑(pupil-stop)21或通过更换具有不同的图案的光瞳光阑或通过将固定的场光阑替换为可编程空间光调制器来实现。在这种情况下,测量光学系统的照射侧可以保持恒定,同时,成像侧具有第一和第二模式。在实践中,存在着许多可能种类的测量方法,各自具有其自身的优点和缺点。在一种方法中,照射模式被改变以测量不同的衍射级。在另一种方法中,成像模式被改变。在第三种方法中,照射模式和成像模式保持不变,但是目标被转过180度。在每种情况下,所期望的效果是相同的,即选择非零级衍射辐射的在目标的衍射光谱中彼此对称地对置的第一部分和第二部分。
尽管用于本示例中的成像的光学系统具有由场光阑21限制的宽的入射光瞳,但是在其他实施例或应用中,成像系统自身的入射光瞳尺寸可以足够小以限制至所期望的衍射级,因此也用作场光阑。不同的孔板如图3(c)和(d)所示,它们可以被使用,如下文所进一步描述的。
典型地,目标光栅将与其或沿南北或沿东西延伸的光栅线对准。也就是说,光栅将在衬底W的X方向上或Y方向上对准。注意到,孔板13N或13S可以仅仅用于测量在一个方向上定向(X或Y,依赖于设置)的光栅。对于正交光栅的测量,可以实现目标旋转过90度和270度。然而,更方便地,使用孔板13E或13W将来自东或西的照射设置在照射光学装置中,如图3(c)所示。孔板13N至13W可以被独立地形成和互换,或它们可以是能够旋转90、180或270度的单个孔板。如已经描述的,如图3(c)所示的离轴孔可以被设置在场光阑21中,而不是被设置在照射孔板13中。在该情况下,照射将沿轴线进行。
图3(d)示出可以用于组合第一对和第二对孔板的照射模式的第三对孔板。孔板13NW具有位于北和东的孔,而孔板13SE具有位于南和西的孔。假定在这些不同的衍射信号之间的串扰不太大,则X光栅和Y光栅两者的测量可以在不改变照射模式的情况下进行。在图12和图13的示例中将图示出另外多种孔板13Q。
使用小目标的重叠测量-介绍
图4示出根据已知的实践在衬底W上形成的复合光栅目标。该复合目标包括紧密地定位在一起的四个独立光栅32至35,以使得它们都将在由量测设备的照射束形成的测量光斑31内。于是,四个目标都被同时地照射并被同时地成像在传感器19和23上。在专用于重叠测量的一示例中,光栅32至35自身是由重叠光栅形成的复合光栅,所述重叠光栅在形成在衬底W上的半导体器件的不同层中被图案化。光栅32至35可以具有被不同地偏置的重叠偏移,以便便于在复合光栅的不同部分形成所在的层之间的重叠测量。光栅32至35也可以具有不同的取向,如图所示,以便在X方向和Y方向上衍射入射的辐射。在一个示例中,光栅32和34分别是具有+d、-d偏置的X方向光栅。这意味着,光栅32具有其重叠分量或成分,所述重叠分量或成分布置成使得如果它们都恰好被印刷在它们的名义位置上,则所述重叠分量或成分之一将相对于另一重叠分量或成分偏置距离d。光栅34具有其分量,所述分量布置成使得如果被完好地印刷则将存在d的偏置,但是该偏置的方向与第一光栅的相反,等等。光栅33和35分别是具有偏置+d和-d的Y方向光栅。尽管四个光栅被示出,但是另一实施例可能需要更大的矩阵来获得所期望的精度。例如,9个复合光栅的3×3阵列可以具有偏置-4d、-3d、-2d、-d、0、+d、+2d、+3d、+4d。这些光栅的独立的图像可以在由传感器23捕捉的图像中被识别。
图5示出可以使用图3的设备中的图4的目标、使用如图3(d)所示的孔板13NW或13SE在传感器23上形成并由传感器23检测的图像的示例。尽管光瞳平面图像传感器19不能分辨不同的各个光栅32至35,但是图像传感器23可以分辨不同的各个光栅32至35。交叉阴影线矩形40表示传感器上的像场,其中衬底上的照射光斑31被成像到相应的圆形区域41中。理想情况下,所述场是暗场。在此暗场图像中,矩形区域42-45表示独立光栅32至35的图像。如果光栅位于产品区域中,则产品特征也可以在该像场的周边处是可见的。尽管在图5的暗场图像中示出了仅单个复合光栅目标,但是实践中由光刻做出的半导体器件或其它产品可以具有许多层,并且希望在不同的成对的层之间进行重叠测量。对于在成对的层之间的每次重叠测量而言,则在图像场内,需要一个或多个复合光栅目标,并且因此可能存在着其它复合光栅目标。图像处理器和控制器PU使用模式识别来处理这些图像,以识别光栅32至35的独立的图像42至45。
一旦光栅的独立的图像已经被识别,那些各个图像的强度可以被测量,例如通过对所识别的区域中的所选的像素强度值进行平均或求和来实现。图像的强度和/或其它性质可以相互对比。这些结果可以被组合以测量光刻过程的不同的参数。重叠性能是这种参数的重要的示例,并且对强度的比较揭示了可用作重叠的量度的不对称度。在用于测量不对称度和因此重叠的另一种技术中,使用了光瞳平面图像传感器19。使用此传感器的一种示例将在稍后参考图12和13加以描述。
分辨率级重叠的测量
在现代光刻过程中,由光刻设备所印制的功能产品特征可以具有非常小的尺寸,比能够由常规量测设备所分辨的尺寸更小。因此,在量测目标的光栅32-35中的特征以更大尺度形成。举例而言,量测目标的节距可以在500nm或600nm至1000nm或甚至2000nm的范围内。换言之,独立特征(光栅线)的宽度将会是250nm至1000nm。以光刻工具的分辨率形成的产品特征可以具有小于100nm,例如小于50nm或甚至小于20nm的尺寸。这些较精细特征通常称为“分辨率级”特征,表示在光刻设备中的图案形成系统的分辨能力。公知的是使用分辨率级特征来形成量测光栅的粗糙光栅特征,使得处理步骤在量测光栅中的效应不会非常不同于在产品特征中的效应。然而,量测设备并没有“观察到”这些分辨率级特征。(关于量测设备,它们是“次分辨率”特征。)
尽管量测设备能够以几个纳米的精度测量在粗糙光栅之间的重叠误差,这样的粗糙光栅不表示实际产品特征。量测目标由形成功能产品特征的同样光刻设备和过程步骤施加到衬底,但例如由于在用来施加图案的光学投影系统中的像差,分辨率级特征在其定位方面存在有与更粗糙重叠光栅特征略微不同的误差。这在当前量测设备中的影响在于,所测量的重叠尽管精确地代表了在粗糙光栅的位置的重叠误差,但并没有精确地代表在同一衬底上别处的更精细的分辨率级特征的重叠。因为分辨率级特征限定了功能最终产品的性能,结果是重叠测量的精度并非如期望般相关。
发明人已认识到,通过形成并且测量具备和不具备分辨率级特征的新型量测目标,量测设备可以用来获得“分辨率级重叠”测量,意思是这些重叠测量更能表示在衬底上别处的分辨率级产品特征之间的重叠。在详细描述新型目标和方法之前,将提出对于新型重叠测量过程的一个示例的概述。
图6图示了使用新型目标来测量分辨率级重叠的方法。在此示例中的方法基于在申请US2011027704中所描述的方法,使用图3和图4的设备。原则上,通过光栅的不对称度来测量包含分量光栅或组成光栅(component grating)32至35的两个层之间的重叠误差,所述不对称度通过比较它们在+1级和-1级暗场图像中的强度来获得。在步骤S1中,衬底,例如半导体晶片,通过图2的光刻单元被一次地或更多次地处理,以形成不仅包括重叠光栅32至35而且包括辅助目标的结构。辅助目标包括具有粗糙结构、但还具有更小尺度(分辨率级)子结构的的光栅,且在分辨率级子结构与粗糙结构之间具有被编程或指定的(已知的)偏移。这些辅助光栅的示例将在稍后详细描述。重叠光栅32-35可仅包括散射仪的分辨能力内的粗糙结构,或可以包括分辨率级特征,但不具有不同的被编程的或指定的偏移。
在步骤S2中,使用图3的量测设备,光栅32至35和辅助光栅的图像仅利用第一级衍射束中的一个(例如-1级衍射束)来获得。然后,通过改变照射模式、或改变成像模式、或通过将衬底W在量测设备的视场中旋转180度,可以利用另一个第一级衍射束(+1)来获得光栅的第二图像(步骤S3)。因此,+1级衍射辐射在第二图像中被捕捉。是所有光栅32-35和辅助光栅可以在每个图像中被捕捉,还是散射仪和衬底需要被移动以便在一个或更多个独立图像中捕捉辅助光栅,这是设计选择问题。在任一情况下,认为所述分量光栅的第一和第二图像经由图像传感器23而被捕捉。
注意到,通过在每个图像中包括仅仅一半的第一级衍射辐射,在此所述的“图像”不是常规的暗场显微镜图像。每个光栅仅仅由具有一定强度水平的区域来表示。各个光栅线将不被分辨,因为仅+1和-1级衍射辐射之一存在。在步骤S4中,感兴趣的区域(ROI)在每个分量光栅或组成光栅的图像内被细致地识别,强度水平将据此来测量。这样做是因为,尤其是在各个光栅图像的边缘附近,通常,强度值可能高度地依赖于过程变量,例如抗蚀剂厚度、成分、线形状以及边缘效应。
已经针对于每个独立的光栅对ROI进行了识别和测量其强度,这样就可以确定光栅结构的不对称度,并因此确定重叠误差。如本申请中所描述的,这被通过如下方式完成:由图像处理器和控制器PU在步骤S5中将针对于每个光栅32-35的+1和-1衍射级所获得的强度值进行比较,以识别它们的强度中的任何差异,以及(S6),根据光栅的重叠偏置的知识来确定目标T附近的重叠误差。
图7图示出使用图6的方法,从不同衍射级的强度的不对称度计算出重叠测量的原理。水平轴线表示重叠OVL,而竖直轴线表示不对称信号A,所述不对称信号A作为在给定目标光栅的不同衍射级之间的强度差而获得。线500图示出在不对称信号与在重叠光栅中一组特征(光栅线)相对于另一组的位移之间的(近似)线性关系。轴线的比例是任意的,并且线500的斜率不需要知道绝对项。公知的是,在不对称度为零时,重叠信号趋于零。使用偏置光栅,并且知晓偏置,则可以计算出未知的位移。
在示例中,使用了具有(被编程的或指定的)偏移-d和+d的偏置光栅。实际上,仅为简单起见,偏移是相等并且相反的。(通常,可以预想到任意偏移d1和d2。)在目标被极佳地印刷的理想情况下,不存在其它位移源,并且光栅中的不对称度将是相等且相反的,如由空心圆所示。然而,在实际目标中,也将存在着未知的位移Δd,其使得信号移至由实心圆所示位置。从偏置光栅获得的不对称度信号被标记为A(-)和A(+)。已知所述偏置-d和+d,并且已知当重叠为零时不对称度应为零,则可以从不对称度信号计算出未知位移Δd以获得重叠误差的测量。
在上述的应用中,公开了用于使用上述基本方法来提高重叠测量的品质的各种技术。这些技术在应用中被解释,在此将不对细节进行进一步地解释。它们可以与在本申请中新公开的技术结合使用,下面将对本申请的技术进行描述。
回到图6,在本新型方法中,也在辅助光栅中测量不对称度,以便在粗糙光栅特征与衬底上的分辨率级特征之间的位置处测量差值。以这种方式,校正了在步骤S6中获得的重叠测量以更能表示出在衬底上产品特征的分辨率级重叠。现在将描述这种校正的原理和实施方式。
图8示出了用于图6的方法中的新型复合量测目标520。图的上部(a)以平面图示出了目标,而下部(b)以截面图示出了该目标。截面图示意性地示出了衬底W以及产品层L1和L2。实践中,真实的产品将具有许多层。在此示例中的复合目标包括位于其中心处的复合目标522,所述复合目标522与用于已知方法中的成组的分量重叠光栅32-35相同。如在截面图中所见,这些目标在两层L1和L2中都具有光栅特征(并且它们可能包括分辨率级特征)。目标522的两侧是两个辅助目标524和526。这些辅助目标包括具有粗糙特征和分辨率级特征的光栅,但是仅形成于一层中。因而目标524包括了形成于层L1中的四个辅助分量光栅32’至35’,而目标526包括形成于层L2中的四个辅助分量光栅32”至35”。
现在参照图9,见到具有在尺寸上与衬底上的功能产品特征类似的、但太小而不能由散射仪单独地分辨的“分辨率级”特征的光栅的部分。图9(a)以截面图示出衍射光栅的一小部分,重叠目标例如图9(a)中的X方向光栅32’。具体地,概略地见到一个重复单元,其包括按已知周期而重复的线-空间图案以形成整个光栅。所述光栅形成于具有不同折射率的、布置成周期性图案的材料600、602中,周期性图案的重复单元包括“线”区域603和“空间”区域604。所述线-空间图案可以尤其是通过使用图1的光刻设备或类似设备来蚀刻被施加到衬底上的图案而形成。在这样的图案中的所述命名“线”和“空间”是相当随意的。实际上,须注意到所述线的每个“空间”区域形成为使得材料600并非是均一地缺失的,而是呈包括较小线606和空间608的精细节距光栅图案而存在。可选地,每个“标记”区域603可形成为使得材料600并非均一地存在的,而是呈类似的精细节距光栅图案而存在。这种精细节距图案可以在Y方向即指向页面方向具有周期性,并且因此在图9中所示的截面中不可见。这些较精细的线和空间在本文中被称为“分辨率级”特征,即处于或接近于在将使用它们的光刻设备中的投影系统的分辨率极限。它们也可被称作“次分辨率”特征,只要涉及到图3中所示的量测设备(散射仪)。
理想情况下,由线606所形成的精细光栅将在与粗糙光栅相同的点610处居中。这个点610是在光栅中所有线上进行平均而得到,可限定整个目标的中心参考位置。然而,在目标形成的过程中,子分段目标对于透镜像差敏感。这些像差导致在分辨率级特征与粗糙光栅节距之间的偏移。
图9(b)示出了这样一种子分段光栅的形式,其类似于理想形式(a)但展示了在粗糙光栅节距与分辨率级特征之间的偏移和失配。由于在较大光栅节距与分辨率级结构之间的偏移,此光栅变得不对称。空间620在区域604的一端处,所述子分段空间部分变得比另一端处的空间622略微更窄。所述分辨率级光栅因此具有位于位置XAR处的中心点,其并不与所述粗糙重叠光栅的中心点XO精确地重合。失配或偏移Δds表示在X0与XAR之间的差异,并且可以例如以纳米为单位测出。
回到图8,可以看出,尽管重叠光栅32-35具有被编程或指定至它们的重叠偏置-d和+d,但是辅助光栅32’-35’和32”-35”具有在分辨率级特征相对于粗糙光栅特征的定位方面的被编程或指定的偏置。这些偏置被标记为X和Y方向光栅中的-ds和+ds。本发明人已认识到,通过不对称度信号,以与可测量出主重叠的方式相同的方式,能够测量出在分辨率级特征与粗糙光栅之间的偏置。通过将在每层中做出的重叠测量与辅助测量相组合,则在图6的方法的步骤S6中能够计算出经校正的重叠测量。
图10详细地图示出在辅助目标之一(例如目标524)内的两个辅助分量光栅中的被编程或指定的偏置的应用。在图的顶部处示出了第一分量光栅32’的示意性横截面图,而在底部处示出了第二分量光栅34’的横截面图。在横截面中,如图9,仅示出了在空间区域上居中的总体图案的重复单元之一。示出了仅三个分辨率级线,并且为清楚起见放大了偏移。真实的光栅将会在较大图案的每个空间区域中具有五至二十个分辨率级线和空间的区域。在每个分段中,存在着由目标形成期间的像差等所引起的未知失配Δds、以及被编程的或指定的(已知)偏置-ds或+ds。未知失配对于两个光栅而言相等(或认为相等)。此外,为简单起见这些偏置的值被选择为相等并且相反,但被编程的或指定的偏置的数目和值是选择的问题。实践中,将会选择偏置为相等量值的正值和负值。然而,待描述的方法以不相等的量值并且以均在同一方向上的两种偏置而运作。类似地,偏置不需要比未知失配更大或更小。在图10中所图示的示例具有在相反方向上的偏置,但具有比(未知)失配Δd更小的量值。因此,总偏置是在同一方向上的两个分段。
尽管在此示例中的分辨率级特征包括密集线,但是所述分辨率级特征可以采取其它形式,特别是在用户真实关注的别处的产品特征具有其它形式的情况下更是如此。因而所述分辨率级特征可以是若干单独线而非光栅。它们可以是块的阵列而非线的阵列,或单独块。
图11示出了步骤S5和S6的更多细节,它们产生了对于在复合目标520附近在粗糙特征与分辨率级特征之间的失配而校正的重叠测量。也参照图7,当利用图3的散射仪进行测量时,被编程或指定的偏置-ds/+ds以及未知失配Δds将产生特定不对称度信号A。以与可以从所测量的不对称度信号和已知偏置计算出层与层的重叠Δd的方式恰好相同的方式,则可以从所述辅助目标524、526的测量计算出在粗糙光栅与分辨率级特征之间在每层L1、L2中的失配Δds。因而,步骤S5包括在辅助目标524上的辅助测量S5’和在辅助目标526上的辅助测量S5”。这些在步骤S6中与目标522上的重叠测量相组合以获得更有代表性的经校正的重叠测量Δd(AR)。可以使用各种算法来计算出经校正的测量。例如,可以在组合它们之前针对每个分量目标计算出Δd和Δds值。替代地,可以首先组合所述不对称度信号并且随后计算经校正的重叠。可以在需要的情况下应用更复杂的分析,例如用以获得对过程的了解和/或使用不同技术所测量的校准数据。
在整个衬底上,对于Y方向重叠重复进行相同测量,并且也对于如所需的许多目标执行相同测量。在复合目标中所述辅助光栅和重叠光栅的布置可以改变,例如使得所述重叠光栅和辅助光栅混合,而非将它们聚集在单独的复合目标522-526中。当然在每个复合目标中的分量光栅的数目也可以改变,并且不需要在所述辅助目标中的分量光栅的数目与在所述重叠光栅中相同。原则上,辅助光栅可以设置于多个层中的仅一层中(如果在其它层中不需要对位移的校正)。
参照图12和图13,所述新型方法可以不仅适用于小目标及暗场散射仪,而且适用于大目标和使用光瞳平面图像传感器19的角分辨散射仪。对于此示例,使用了以13Q图示的一种对称的分段照射轮廓。标记为a和b的两个直径上相对的象限在此孔图案中是明亮的(透光的),而其它两个象限是暗的(不透光的)。根据公布的专利申请US20100201963,这种类型的孔是在散射仪设备中已知的。如在图12的中心处所见,使用了目标光栅732,其被照射光斑31欠填充。在图中未示出,这个光栅732是形成复合目标的分量光栅的较大一组光栅的部分。通过与图8的示例的类比,可以存在着分量重叠光栅732至735以及辅助分量光栅732’至735’以及732”至735”。
而在图4至6的示例中,检测器23用在与衬底W的平面对应的像平面中,图12和图13的方法使用了定位在与物镜16的光瞳平面共轭的平面中的检测器19。检测器19可以是图像传感器,例如CCD照相机传感器。替代地,可以部署单独点检测器来代替图像传感器。在图12中的左侧处由孔板13Q所提供的照射图案具有标记为a和b的明亮象限,而在右侧处描绘了由传感器19所见的衍射图案。在此图案中,除了标记为a0和b0的零级反射,还存在着标记为a-1、a+1、b-1和b+1的可见的第一级衍射信号。因为照射孔的其它象限是暗的,并且更通常而言因为照射图案具有180度旋转对称性,则衍射级a-1和b+1是“自由的”,意思是它们不与来自所述照射孔的其它部分的零级或更高的级的信号重叠。可以利用分段照射图案的这种特性,以从具有的节距为若在使用常规的圆形对称照射孔的情况下能够成像的最小节距一半的衍射光栅(重叠目标)获得清晰的第一级信号。这种衍射图案及能够利用其用于散射仪的方式在已知的申请US20100201963中加以描述。
图13是使用来自目标732等的图12的衍射光谱以获得针对分辨率级失配而校正的重叠测量的方法的流程图。步骤S11至S15密切地对应于图6方法的步骤S1至S6,并且将不会详细描述。主要差异如下。回想到图6方法(例如)通过将利用传感器23所捕捉的在第一和第二图像中所见的光栅图像42的强度进行比较来获得了对于光栅32的不对称度信号。对比而言,图13的方法(例如)通过将从光瞳图像传感器19上的相同衍射光谱内所提取的+1和-1衍射级的强度进行比较来获得了对于光栅732的不对称度信号。
多重图案化目标中的重叠的测量
上述技术能够应用来使用已知散射仪以测量在其它情况下以及在层与层的重叠中在分辨率级特征之间的失配。特定应用是在所谓的双重图案化过程中(通常为多重图案化),其中连续的光刻图案化步骤被用来在单个产品层内制造非常小结构的图案,比甚至所述图案形成装置的分辨率更小。在此类中的技术包括了节距加倍,例如通过光刻-刻蚀-光刻-刻蚀(LELE)以及在后端线(BEOL)层中的自对准双镶嵌(dual-damascene)而实现。具有一种允许以实际器件图案分辨率对介于两个相应过程步骤之间的实际重叠偏移进行蚀刻后的检查和检测的量测技术将会是有用的。
图14(a)示意性地示出由双重图案化而形成的光栅结构800。与图9(a)的光栅类似,这种光栅包括粗糙线-空间图案,其中空间区域被子结构以较精细节距填充。在多重图案化过程示例中,子结构形成于产品的一层中,但不是在一次图案化操作中形成,而是在两个或更多个步骤中形成。因而,在此示例中,标记为A的第一群集的结构与第二群集的结构B交错,并且群集A和B在不同步骤中形成。其中,在图14(a)中的群集A和B的放置是极其对称的,图14(b)中所示结构800’展示出一定的位置偏置或“失配”。具体地,群集B的结构相对于它们的理想位置偏移了标记为Δdp的失配量。本发明人已认识到,如果形成并且测量了具有被编程或指定的偏置的目标,则通过不对称度信号,以与可测量出重叠的方式相同的方式,能够测量出在分辨率级特征与粗糙光栅之间的失配。
图15示意性地示出了子分段量测目标820,其中两个交错组的子分段结构A和B形成于节距加倍或其它双重图案化过程中。形成了两个分量光栅832和834,各自具有光束800的通常形式。示出了仅六个分辨率级线(三个A和三个B),并且为清楚起见放大了偏移。真实的光栅将会在较大图案的每个空间区域中具有五至二十或更多个分辨率级线和空间的区域。在每个光栅832、834中,存在着由在结构形成期间的像差或工艺影响等所形成的未知位置偏置(失配)Δdp,以及被编程或指定的(已知)位置偏置-dp(在光栅832中)或+dp(在光栅834中)。未知失配对于两个光栅而言相等(或认为相等)。
此外,为简单起见,这些偏置的值被选择为相等并且相反,但被编程或指定的偏置的数目和值是选择的问题。实践中,将会选择偏置为相等量值的正值和负值。然而,待描述的方法以不相等的量值并且以均在相同方向上的两种偏置而运作。类似地,偏置不需要比未知失配更大或更小。
图16是使用图15的新型目标以测量在多重图案化过程中的失配的方法的流程图。步骤S21至S26紧密地与图6中的方法中的步骤S1至S6对应。类似考虑适用于除了没有层与层的重叠待测量的情况以外的情况,仅测量了分量光栅832和834的不对称度。该方法能够适用于在需要的情况下使用光瞳图像传感器19或另一散射仪。使用所测量的不对称度信号和已知失配值(偏置)来计算未知失配的原理与参照图7如上所示和所述的原理相同。
仿真指示出,使用具有合适目标的已知散射仪硬件,能够检测在两个群集之间的甚至很小的重叠偏移。在修改的重叠目标的情况下,可以改变分量光栅的数目和被编程或指定的失配。除了测量出在一层内的群集之间的失配之外,当然还能够测量出在层之间的重叠。在适当情况下,能够测量出X和Y方向上的失配。
本文中所披露的技术使得能设计和使用小的或大的量测目标以实现在多重图案化过程中重叠测量的高精度和可重复性,和/或对于失配的测量。特别有益之处在于,现有的高生产率量测硬件可以用来测量出尺寸远低于所述量测设备光学系统的分辨率的分辨率级特征的参数。减少了对于更耗时或昂贵的量测技术(例如SEM)的需要。能实现大批量制造中的品质控制。
除了以上已提及的实施例以外,多种变形和修改是可能的。在图8的示例中,X和Y光栅具有并排的各自偏置值,尽管这不是关键的。X和Y方向光栅在交替图案中彼此穿插,从而不同X光栅是在对角方向间隔开的,而非彼此并排的;并且Y光栅是在对角方向间隔开的,而非彼此并排的。这种布置可能有助于减少在不同偏置的光栅的衍射信号之间的串扰。整个布置从而允许紧凑的目标设计,具有良好性能。在上述示例中,所有光栅是正方形的,并且布置成正方形格栅。在另一实施例中,这些光栅可以略微偏离正方形格栅而放置,或可能是矩形形状以便破坏目标的对称性。这可能改进用来甚至更进一步找出图像中的目标的图案识别算法的精度和鲁棒性。具有细长光栅的复合光栅结构例如在如上提及的公布的专利申请US20120044470中加以描述。
尽管上述目标结构是为测量目的而具体指定和形成的量测目标,但是在其他实施例中,可以在作为形成在衬底上的器件的功能部分的目标上对性质进行测量。许多器件具有规则的类似光栅的结构。在此所使用的术语“目标光栅”和“目标结构”不需要该结构已经为正在进行的测量所具体提供。在此所使用的术语“结构”和“子结构”用来表示粗糙(大尺度)和精细(较小尺度)结构特征,而并不预期这些特征完全彼此区别。实际上,正如在示例中清楚地解释的,粗糙结构特征,诸如光栅的空间和线,可以通过较精细子结构的聚集而形成。
结合在衬底和图案形成装置上实现的目标的物理光栅结构,一实施例可以包括计算机程序,该计算机程序包含一个或更多个机器可读指令序列,所述机器可读指令序列用于描述在衬底上产生目标、测量在衬底上的目标和/或分析测量结果以获得关于光刻过程的信息的方法。该计算机程序可以例如在图3的设备中的单元PU中和/或在图2的控制单元LACU中被执行。也可以提供数据存储介质(例如半导体存储器、磁盘或光盘),该数据存储介质具有存储于其中的所述计算机程序。在已有的量测设备(例如如图3所示类型的量测设备)已经处于生产中和/或使用中的情况下,本发明可以通过提供用于使处理器执行经过修改的步骤S4-S6的经更新的计算机程序产品来实现,并因此计算经校正的重叠误差。该程序可以可选地布置成控制光学系统、衬底支撑件或类似部件来自动地执行用于在合适的多个目标结构上的不对称度的测量的步骤S2-S5,S12-S15,S22-S25等。
虽然上文已经做出了具体参考,将本发明的实施例用于光学光刻术的情况中,应该注意到,本发明可以用在其它的应用中,例如压印光刻术,并且只要情况允许,不局限于光学光刻术。在压印光刻术中,图案形成装置中的形貌限定了在衬底上产生的图案。可以将所述图案形成装置的形貌印刷到提供给所述衬底的抗蚀剂层中,在其上通过施加电磁辐射、热、压力或其组合来使所述抗蚀剂固化。在所述抗蚀剂固化之后,所述图案形成装置被从所述抗蚀剂上移走,并在抗蚀剂中留下图案。
这里使用的术语“辐射”和“束”包含全部类型的电磁辐射,包括:紫外辐射(UV)(例如具有或约为365、355、248、193、157或126nm的波长)和极紫外(EUV)辐射(例如具有在5-20nm范围内的波长),以及粒子束,例如离子束或电子束。
在允许的情况下,术语“透镜”可以表示各种类型的光学部件中的任何一种或其组合,包括折射式的、反射式的、磁性的、电磁的以及静电的光学部件。
具体实施例的前述说明将充分地揭示本发明的一般属性,以致于其他人通过应用本领域技术的知识可以在不需要过多的实验、不背离本发明的整体构思的情况下针对于各种应用容易地修改和/或适应这样的具体实施例。因此,基于这里给出的教导和启示,这种修改和适应应该在所公开的实施例的等价物的范围和含义内。应该理解,这里的术语或措辞是为了举例描述的目的,而不是限制性的,使得本说明书的术语或措辞由本领域技术人员根据教导和启示进行解释。
本发明的覆盖度和范围不应该受到上述的示例性实施例中的任一个限制,而应该仅根据随附的权利要求及其等价物限定。
应该认识到,具体实施例部分,而不是发明内容和摘要部分,用于解释权利要求。发明内容和摘要部分可以阐述本发明人所构思的本发明的一个或更多个示例性实施例、但不是全部示例性实施例,因而不能够以任何方式限制本发明和随附的权利要求。
上面借助示出具体功能及其关系的实施方式的功能性构造块描述了本发明。为了方便说明,这些功能性构造块的边界在此任意限定。可以限定替代的边界,只要特定功能及其关系被适当地执行即可。
具体实施例的前述说明将充分地揭示本发明的一般属性,以致于其他人通过应用本领域技术的知识可以在不需要过多的实验、不背离本发明的整体构思的情况下针对于各种应用容易地修改和/或适应这样的具体实施例。因此,基于这里给出的教导和启示,这种修改和适应应该在所公开的实施例的等价物的范围和含义内。应该理解,这里的术语或措辞是为了描述的目的,而不是限制性的,使得本说明书的术语或措辞由本领域技术人员根据教导和启示进行解释。
本发明的覆盖度和范围不应该受到上述的示例性实施例中的任一个限制,而应该仅根据随附的权利要求及其等价物限定。

Claims (18)

1.一种用于测量光刻过程的性质的检查设备,所述设备包括:
用于衬底的支撑件,所述支撑件承载包括通过光刻过程所形成的结构的多个量测目标;
光学系统,用于在预定照射条件下照射多个目标并且用于检测在所述照射条件下由目标衍射的辐射的预定部分;
处理器,所述处理器布置成用于从衍射辐射的所述检测部分计算出对于特定目标的不对称度的测量;和
控制器,用于使所述光学系统和处理器测量出所述目标中至少两个目标的不对称度,所述至少两个目标具有在衬底上的一层内的结构与更小子结构之间的位置偏置的不同的已知分量;并且使所述光学系统和处理器从所述不对称度测量的结果计算出对于所述更小尺寸的结构的光刻过程的性能参数的测量。
2.根据权利要求1所述的设备,其中所述性能参数是对于所述更小尺寸的结构的光刻过程的重叠参数,并且通过将所述不对称度测量的结果与至少两个重叠目标的不对称度的测量相组合来计算出所述性能参数,所述至少两个重叠目标具有在衬底上在第一和第二层之间的位置偏置的不同的已知分量。
3.根据权利要求2所述的设备,其中所述控制器布置成用来使所述光学系统和处理器测量在至少两个辅助目标中的不对称度,所述至少两个辅助目标具有在第一和第二层中每层中的位置偏置的不同的已知分量。
4.根据权利要求1所述的设备,其中所述控制器被布置成用来使所述光学系统和处理器测量在所述目标中至少两个目标的不对称度,所述至少两个目标具有在目标内的子结构的交错群集之间的位置偏置的不同的已知分量;并且从所述不对称度测量的结果计算出用来形成所述子结构的光刻过程的重叠参数的测量。
5.根据前述任一权利要求所述的设备,其中所述光学系统被布置成使用由所述量测目标中至少两个量测目标同时衍射的辐射来形成并且检测图像,使用衍射辐射的不同部分来形成和检测不同图像,并且所述处理器被布置成用来辨识出在所检测图像中感兴趣的区域并且处理所述感兴趣的区域内的像素值以获得对于每个目标的不对称度的所述测量,每个感兴趣的区域对应于所述目标中的特定目标。
6.一种衬底,具备通过光刻过程所形成的多个量测目标,每个目标包括被布置成在至少第一方向上以一空间周期重复的结构,其中所述量测目标包括:
多个重叠目标,所述结构在每个重叠目标中的至少一些结构在所述衬底上在第一和第二层中复制并且彼此叠置,并且其中每个重叠目标形成为具有在层之间的位置偏置,所述位置偏置是已知分量和未知分量二者的组合,已知分量对于不同目标不同;和
多个辅助目标,每个辅助目标包括尺寸比所述空间周期小几倍的子结构,其中每个辅助目标形成于所述层中的一个层中并且形成为具有在子结构与结构之间的位置偏置,所述位置偏置是已知分量和未知分量二者的组合,已知分量对于不同目标不同。
7.根据权利要求6所述的衬底,其中,具有层之间的不同的已知偏置的两个或更多个重叠目标被形成为紧密靠近两个或更多个辅助目标,所述两个或更多个辅助目标具有在子结构与结构之间的不同的位置偏置,以便形成复合目标,而其它这样的复合目标跨衬底分布。
8.根据权利要求6或7所述的衬底,其中所述辅助目标包括至少第一对目标和至少第二对目标,所述至少第一对目标具有不同的已知位置偏置并且形成于所述第一层中,所述至少第二对目标具有不同的位置偏置并且形成于所述第二层中。
9.一种用于光刻过程中的图案形成装置,所述图案形成装置限定当施加到衬底上时将产生根据权利要求6、7或8所述的衬底的图案。
10.一种测量光刻过程的性能参数的方法,所述方法包括以下步骤:
(a)执行所述光刻过程以产生在衬底上形成多个量测目标的结构,所述目标中的至少两个目标具有在结构与更小的子结构之间的位置偏置,所述位置偏置是已知分量和未知分量两者的组合,位置偏置的所述已知分量对于不同目标不同;
(b)使用检查设备来测量在辅助目标中的至少两个辅助目标的不对称度,所述至少两个辅助目标具有在衬底上的一层内在结构和更小的子结构之间的位置偏置的不同的已知分量;
(c)使用在步骤(b)中做出的不对称度测量的结果来计算出对于所述更小尺寸的结构的光刻过程的测量重叠性能参数。
11.根据权利要求10所述的方法,其中形成于步骤(a)中的目标是对于至少两个重叠目标的辅助目标,所述重叠目标具有在衬底上在第一和第二层中的结构之间的位置偏置的不同的已知分量,并且其中在步骤(b)中所做出的不对称度测量的结果与在所述至少两个重叠目标的不对称度的测量相组合以获得在重叠目标中所述位置偏置的未知分量的测量,从而获得对于所述更小尺寸的结构的光刻过程的所述重叠参数的表示。
12.根据权利要求11所述的方法,其中在步骤(b)中,在所述第一和第二层中的每层中测量出具有位置偏置的不同的已知分量的至少两个辅助目标。
13.根据权利要求10所述的方法,其中所述目标中的所述至少两个目标被形成于步骤(a)中以具有在衬底上的一层内的子结构的交错群集之间的位置偏置的不同的已知分量,并且其中在步骤(c)中,从在步骤(b)中做出的不对称度测量的结果计算出用来形成子结构的所述交错群集的所述光刻过程的重叠参数的测量。
14.根据权利要求10、11、12或13所述的方法,其中,所述检查设备包括光学系统,所述光学系统用于在预定照射条件下照射多个目标并且用于检测在所述照射条件下由目标衍射的辐射的预定部分,在步骤(b)的性能中,所述光学系统用于使用由所述量测目标中的至少两个量测目标同时衍射的辐射来形成并且检测图像,使用衍射辐射的不同部分来形成和检测不同图像,并且通过辨识出在所检测图像中感兴趣的区域、以及处理来自所述感兴趣的区域内的像素值以获得对于每个目标的不对称度的所述测量来测量不对称度,每个感兴趣的区域对应于所述目标中的特定目标。
15.一种机器可读介质,其上存储有用于使得处理器执行根据权利要求10至14中任一项所述的方法的步骤(c)的机器可读指令。
16.一种机器可读介质,其上存储有用于使得处理器执行根据权利要求14所述的方法的步骤(c)的机器可读指令,其中在所述机器可读介质上存储有用于使得处理器控制光学系统和处理器来执行根据权利要求14所述的方法的步骤(b)的机器可读指令。
17.一种光刻系统,包括:
光刻设备,所述光刻设备布置成用来从图案形成装置以重叠方式传送一系列图案到衬底上;和
根据权利要求1至5中任一项所述的检查设备,
其中所述光刻设备被布置成用来在施加所述系列的图案到另外衬底上的过程中使用来自检查设备的所计算的性能参数。
18.一种制造器件的方法,其中使用光刻过程将一系列器件图案施加到一系列衬底上,所述方法包括使用根据权利要求10至14中任一项所述的检查方法来检查多个量测目标,所述多个量测目标是在所述衬底中的至少一个衬底上的所述器件图案的部分、或是除在所述衬底中的至少一个衬底上的所述器件图案之外的量测目标;并且根据所计算的性能参数而控制对于后续的衬底的光刻过程。
CN201480067198.3A 2013-10-30 2014-10-13 检查设备和方法、具有量测目标的衬底、光刻系统和器件制造方法 Active CN105814491B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201361897562P 2013-10-30 2013-10-30
US61/897,562 2013-10-30
PCT/EP2014/071910 WO2015062854A1 (en) 2013-10-30 2014-10-13 Inspection apparatus and methods, substrates having metrology targets, lithographic system and device manufacturing method

Publications (2)

Publication Number Publication Date
CN105814491A CN105814491A (zh) 2016-07-27
CN105814491B true CN105814491B (zh) 2017-12-05

Family

ID=51691058

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201480067198.3A Active CN105814491B (zh) 2013-10-30 2014-10-13 检查设备和方法、具有量测目标的衬底、光刻系统和器件制造方法

Country Status (7)

Country Link
US (3) US9958791B2 (zh)
KR (1) KR101855220B1 (zh)
CN (1) CN105814491B (zh)
IL (1) IL245318B (zh)
NL (1) NL2013625A (zh)
TW (1) TWI554847B (zh)
WO (1) WO2015062854A1 (zh)

Families Citing this family (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7541201B2 (en) * 2000-08-30 2009-06-02 Kla-Tencor Technologies Corporation Apparatus and methods for determining overlay of structures having rotational or mirror symmetry
KR101855220B1 (ko) 2013-10-30 2018-05-08 에이에스엠엘 네델란즈 비.브이. 검사 장치 및 방법, 계측 타겟을 가지는 기판, 리소그래피 시스템, 및 디바이스 제조 방법
TWI648515B (zh) * 2013-11-15 2019-01-21 美商克萊譚克公司 計量目標及其計量量測、目標設計檔案、計量方法及以電腦為基礎之設備
KR101901770B1 (ko) * 2014-05-13 2018-09-27 에이에스엠엘 네델란즈 비.브이. 계측에 사용하기 위한 기판 및 패터닝 디바이스, 계측 방법, 및 디바이스 제조 방법
NL2017300A (en) 2015-08-27 2017-03-01 Asml Netherlands Bv Method and apparatus for measuring a parameter of a lithographic process, substrate and patterning devices for use in the method
KR102104843B1 (ko) * 2015-10-02 2020-04-28 에이에스엠엘 네델란즈 비.브이. 계측 방법 및 장치, 컴퓨터 프로그램 및 리소그래피 시스템
WO2017093256A1 (en) * 2015-12-03 2017-06-08 Asml Netherlands B.V. Position measuring method of an alignment target
WO2017108395A1 (en) 2015-12-21 2017-06-29 Asml Netherlands B.V. Methods and patterning devices and apparatuses for measuring focus performance of a lithographic apparatus, device manufacturing method
WO2017114672A1 (en) 2015-12-31 2017-07-06 Asml Netherlands B.V. Metrology by reconstruction
WO2017178133A1 (en) 2016-04-12 2017-10-19 Asml Netherlands B.V. Mark position determination method
US10451412B2 (en) 2016-04-22 2019-10-22 Kla-Tencor Corporation Apparatus and methods for detecting overlay errors using scatterometry
WO2018071063A1 (en) * 2016-10-14 2018-04-19 Kla-Tencor Corporation Diffraction-based focus metrology
CN106773526B (zh) * 2016-12-30 2020-09-01 武汉华星光电技术有限公司 一种掩膜版,彩膜基板及其制作方法
CN110312966B (zh) * 2017-02-10 2022-03-25 科磊股份有限公司 与散射测量术测量中的光栅非对称相关的不精确性的减轻
CN110612481A (zh) * 2017-05-08 2019-12-24 Asml荷兰有限公司 测量结构的方法、检查设备、光刻系统和器件制造方法
EP3422102A1 (en) * 2017-06-26 2019-01-02 ASML Netherlands B.V. Methods and patterning devices and apparatuses for measuring focus performance of a lithographic apparatus, device manufacturing method
CN111316168B (zh) * 2017-10-31 2022-04-01 Asml荷兰有限公司 量测设备、测量结构的方法、器件制造方法
WO2019091678A1 (en) * 2017-11-07 2019-05-16 Asml Netherlands B.V. Metrology apparatus and a method of determining a characteristic of interest
EP3629086A1 (en) * 2018-09-25 2020-04-01 ASML Netherlands B.V. Method and apparatus for determining a radiation beam intensity profile
US10996570B2 (en) 2018-10-08 2021-05-04 Asml Netherlands B.V. Metrology method, patterning device, apparatus and computer program
EP3640735A1 (en) * 2018-10-18 2020-04-22 ASML Netherlands B.V. Methods and apparatus for inspection of a structure and associated apparatuses
CN113661447A (zh) * 2019-04-04 2021-11-16 Asml荷兰有限公司 用于预测衬底图像的方法和设备
CN111504210B (zh) * 2020-04-01 2021-07-20 武汉大学 一种用于节距移动的测量基底及其制备方法、测量方法
US11556062B2 (en) * 2021-03-18 2023-01-17 Kla Corporation Sub-resolution imaging target
WO2023113850A1 (en) * 2021-12-17 2023-06-22 Kla Corporation Overlay target design for improved target placement accuracy
WO2023198444A1 (en) * 2022-04-15 2023-10-19 Asml Netherlands B.V. Metrology apparatus with configurable printed optical routing for parallel optical detection

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101299132A (zh) * 2008-05-27 2008-11-05 上海微电子装备有限公司 一种用于光刻设备对准系统的对准标记及其使用方法
CN101903832A (zh) * 2007-12-17 2010-12-01 Asml荷兰有限公司 基于衍射的重叠量测工具和方法
CN103201682A (zh) * 2010-11-12 2013-07-10 Asml荷兰有限公司 量测方法和设备、光刻系统和器件制造方法

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2000057126A1 (fr) * 1999-03-24 2000-09-28 Nikon Corporation Dispositifs et procedes de determination de position, d'exposition, et de determination d'alignement
US7317531B2 (en) * 2002-12-05 2008-01-08 Kla-Tencor Technologies Corporation Apparatus and methods for detecting overlay errors using scatterometry
US7068833B1 (en) * 2000-08-30 2006-06-27 Kla-Tencor Corporation Overlay marks, methods of overlay mark design and methods of overlay measurements
US7440105B2 (en) * 2002-12-05 2008-10-21 Kla-Tencor Technologies Corporation Continuously varying offset mark and methods of determining overlay
US20050244729A1 (en) * 2004-04-29 2005-11-03 United Microelectronics Corp. Method of measuring the overlay accuracy of a multi-exposure process
US7791727B2 (en) 2004-08-16 2010-09-07 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US7528941B2 (en) * 2006-06-01 2009-05-05 Kla-Tencor Technolgies Corporation Order selected overlay metrology
DE102007046850B4 (de) * 2007-09-29 2014-05-22 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Verfahren zum Bestimmen einer Überlagerungsgenauigkeit
NL1036597A1 (nl) 2008-02-29 2009-09-01 Asml Netherlands Bv Metrology method and apparatus, lithographic apparatus, and device manufacturing method.
NL2004094A (en) 2009-02-11 2010-08-12 Asml Netherlands Bv Inspection apparatus, lithographic apparatus, lithographic processing cell and inspection method.
WO2011011511A1 (en) 2009-07-22 2011-01-27 Kla-Tencor Corporation Angle-resolved antisymmetric scatterometry
KR101461457B1 (ko) 2009-07-31 2014-11-13 에이에스엠엘 네델란즈 비.브이. 계측 방법 및 장치, 리소그래피 시스템, 및 리소그래피 처리 셀
CN102483582B (zh) 2009-08-24 2016-01-20 Asml荷兰有限公司 量测方法和设备、光刻设备、光刻处理单元和包括量测目标的衬底
NL2005459A (en) * 2009-12-08 2011-06-09 Asml Netherlands Bv Inspection method and apparatus, and corresponding lithographic apparatus.
WO2012022584A1 (en) 2010-08-18 2012-02-23 Asml Netherlands B.V. Substrate for use in metrology, metrology method and device manufacturing method
WO2012062501A1 (en) * 2010-11-12 2012-05-18 Asml Netherlands B.V. Metrology method and apparatus, and device manufacturing method
US8455162B2 (en) 2011-06-28 2013-06-04 International Business Machines Corporation Alignment marks for multi-exposure lithography
US8745546B2 (en) 2011-12-29 2014-06-03 Nanya Technology Corporation Mask overlay method, mask, and semiconductor device using the same
WO2013143814A1 (en) 2012-03-27 2013-10-03 Asml Netherlands B.V. Metrology method and apparatus, lithographic system and device manufacturing method
NL2010458A (en) 2012-04-16 2013-10-17 Asml Netherlands Bv Lithographic apparatus, substrate and device manufacturing method background.
US8817273B2 (en) * 2012-04-24 2014-08-26 Nanometrics Incorporated Dark field diffraction based overlay
NL2010734A (en) 2012-05-29 2013-12-02 Asml Netherlands Bv Metrology method and apparatus, substrate, lithographic system and device manufacturing method.
US8913237B2 (en) * 2012-06-26 2014-12-16 Kla-Tencor Corporation Device-like scatterometry overlay targets
CN105143986B (zh) * 2013-03-20 2017-04-26 Asml荷兰有限公司 用于测量微结构的非对称性的方法和设备、位置测量方法、位置测量设备、光刻设备和器件制造方法
KR101855220B1 (ko) 2013-10-30 2018-05-08 에이에스엠엘 네델란즈 비.브이. 검사 장치 및 방법, 계측 타겟을 가지는 기판, 리소그래피 시스템, 및 디바이스 제조 방법

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101903832A (zh) * 2007-12-17 2010-12-01 Asml荷兰有限公司 基于衍射的重叠量测工具和方法
CN101299132A (zh) * 2008-05-27 2008-11-05 上海微电子装备有限公司 一种用于光刻设备对准系统的对准标记及其使用方法
CN103201682A (zh) * 2010-11-12 2013-07-10 Asml荷兰有限公司 量测方法和设备、光刻系统和器件制造方法

Also Published As

Publication number Publication date
IL245318B (en) 2020-08-31
CN105814491A (zh) 2016-07-27
US10761432B2 (en) 2020-09-01
US20160274472A1 (en) 2016-09-22
WO2015062854A1 (en) 2015-05-07
NL2013625A (en) 2015-05-04
IL245318A0 (en) 2016-06-30
KR101855220B1 (ko) 2018-05-08
US20180239263A1 (en) 2018-08-23
KR20160078479A (ko) 2016-07-04
TWI554847B (zh) 2016-10-21
US11022900B2 (en) 2021-06-01
TW201520698A (zh) 2015-06-01
US20200348605A1 (en) 2020-11-05
US9958791B2 (en) 2018-05-01

Similar Documents

Publication Publication Date Title
CN105814491B (zh) 检查设备和方法、具有量测目标的衬底、光刻系统和器件制造方法
CN105452962B (zh) 量测方法和设备、光刻系统和器件制造方法
CN104350424B (zh) 量测方法和设备、衬底、光刻系统以及器件制造方法
CN104471484B (zh) 用于光刻术的量测
CN106030414B (zh) 目标布置的优化和相关的目标
CN104395830B (zh) 确定聚焦的方法、检查设备、图案形成装置、衬底以及器件制造方法
KR102104843B1 (ko) 계측 방법 및 장치, 컴퓨터 프로그램 및 리소그래피 시스템
CN107111245B (zh) 测量非对称性的方法、检查设备、光刻系统及器件制造方法
CN104823113B (zh) 确定剂量和焦点的方法、检查设备、图案形成装置、衬底及器件制造方法
KR102025214B1 (ko) 계측 방법 및 장치, 컴퓨터 프로그램 및 리소그래피 시스템
TWI618988B (zh) 決定臨界尺寸相關特性之方法、檢測裝置及器件製造方法
CN107924132A (zh) 检查设备、检查方法和制造方法
CN105900015A (zh) 用于光刻度量的方法、设备和衬底
CN103748515A (zh) 量测方法和设备以及器件制造方法
TWI569108B (zh) 檢測方法、具有度量衡目標之基板、微影系統及器件製造方法
KR102170147B1 (ko) 모듈레이션 기술을 이용한 메트롤로지를 위한 대체 타겟 디자인
KR102217209B1 (ko) 메트롤로지 장치의 조정 또는 측정 타겟의 특성에 기초한 측정
CN106662823A (zh) 确定剂量的方法、检查设备、图案形成装置、衬底以及器件制造方法
KR20200032749A (ko) 리소그래피 방법 및 장치
CN113196175A (zh) 测量图案化过程的参数的方法、量测设备、目标

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant