CN106662823A - 确定剂量的方法、检查设备、图案形成装置、衬底以及器件制造方法 - Google Patents

确定剂量的方法、检查设备、图案形成装置、衬底以及器件制造方法 Download PDF

Info

Publication number
CN106662823A
CN106662823A CN201580035773.6A CN201580035773A CN106662823A CN 106662823 A CN106662823 A CN 106662823A CN 201580035773 A CN201580035773 A CN 201580035773A CN 106662823 A CN106662823 A CN 106662823A
Authority
CN
China
Prior art keywords
characteristic
exposure dose
radiation
spatial character
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201580035773.6A
Other languages
English (en)
Other versions
CN106662823B (zh
Inventor
A·弗玛
H·A·J·克拉默
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASML Netherlands BV
Original Assignee
ASML Netherlands BV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASML Netherlands BV filed Critical ASML Netherlands BV
Publication of CN106662823A publication Critical patent/CN106662823A/zh
Application granted granted Critical
Publication of CN106662823B publication Critical patent/CN106662823B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/7055Exposure light control in all parts of the microlithographic apparatus, e.g. pulse length control or light interruption
    • G03F7/70558Dose control, i.e. achievement of a desired dose
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/17Systems in which incident light is modified in accordance with the properties of the material investigated
    • G01N21/47Scattering, i.e. diffuse reflection
    • G01N21/4738Diffuse reflection, e.g. also for testing fluids, fibrous materials
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/17Systems in which incident light is modified in accordance with the properties of the material investigated
    • G01N21/47Scattering, i.e. diffuse reflection
    • G01N21/4785Standardising light scatter apparatus; Standards therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70681Metrology strategies
    • G03F7/70683Mark designs
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/7085Detection arrangement, e.g. detectors of apparatus alignment possibly mounted on wafers, exposure dose, photo-cleaning flux, stray light, thermal load
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/17Systems in which incident light is modified in accordance with the properties of the material investigated
    • G01N21/47Scattering, i.e. diffuse reflection
    • G01N2021/4735Solid samples, e.g. paper, glass

Abstract

一种确定在衬底上光刻工艺中使用的光刻设备的曝光剂量的方法,方法包括步骤:(a)接收包括使用光刻工艺制造的第一结构和第二结构的衬底;(b)当采用辐射照射第一结构时,检测被散射的辐射以获得第一散射仪信号;(c)当采用辐射照射第二结构时,检测被散射的辐射以获得第二散射仪信号;(d)使用第一散射仪信号和第二散射仪信号以确定用于制造第一结构和第二结构的曝光剂量值,其中第一结构包括具有空间特性的第一周期性特性和具有空间特性的至少另一第二周期性特性,空间特性被设计为受曝光剂量影响,以及第二结构包括具有空间特性的第一周期性特性和具有空间特性的至少另一第二周期性特性,空间特性被设计为受曝光剂量影响,其中曝光剂量以不同方式影响第一结构和第二结构的受曝光剂量影响的空间特性。

Description

确定剂量的方法、检查设备、图案形成装置、衬底以及器件制 造方法
相关申请的交叉引用
本申请要求保护于2014年6月30日提交的欧洲专利申请14174973的权益,并且在此通过引用整体并入本文。
技术领域
本发明涉及用于确定可以用于在由光刻技术制造器件中(例如,光瞳面检测或暗场散射测量)的光刻设备曝光剂量的方法和设备,以及涉及使用光刻技术制造器件的方法。
背景技术
光刻设备是将所需图案施加至衬底上、通常施加至衬底的目标部分上的机器。光刻设备可以用于例如集成电路(ICs)的制造。在该情形中,备选地称作掩模或掩模版的图案形成装置可以用于产生将要形成在IC的单个层上的电路图案。该图案可以转移至衬底(例如,硅晶片)上的目标部分(例如,包括一个或几个管芯的部分)上。图案的转移通常是经由成像至提供在衬底上的辐射-敏感材料(抗蚀剂)层上。通常,单个衬底将包含依次图案化的相邻目标部分的网络。已知的光刻设备包括所谓的步进机和所谓的扫描机,在所谓的步进机中,通过同时将整个图案曝光至目标部分上而照射每个目标部分,以及在所谓的扫描机中,通过沿给定方向(“扫描”方向)利用辐射束扫描图案而同时同步地平行于或反平行于该方向扫描衬底来照射每个目标部分。也可能通过将图案压印至衬底上而将图案从图案形成装置转移至衬底。
在光刻工艺中,经常希望对所产生结构进行测量,例如用于工艺控制和验证。用于进行该测量的各种工具是已知的,包括通常用于测量关键尺寸(CD)的扫描电子显微镜,以及用于测量重叠(器件中两个层的对准精度)和光刻设备的离焦的专用工具。近期,已经研发了各种形式散射仪用于光刻领域。这些装置将辐射束引导至目标上并且测量散射的辐射的一个或多个属性-例如在单个反射角度下作为波长函数的强度;在一个或多个波长下作为反射角函数的强度;或者作为反射角的函数的偏振-以获得可以由此确定感兴趣目标的属性的“频谱”。感兴趣属性的确定可以由各种技术来执行:例如通过迭代逼近(例如,严格耦合波分析或有限元方法)而重构目标结构;库搜索;以及主成分分析。
由传统散射仪使用的目标相对较大,例如40μm乘以40μm,光栅和测量束产生小于光栅的斑(即光栅欠满)。这简化了目标的数学重构,因为其可以视作是无限的。然而,为了减小目标的大小,例如减小至10μm乘以10μm或更小,例如因此它们可以被定位在产品特征之中,而不是在划道中,已经提出了在其中光栅制作为小于测量光斑(也即光栅过满)的量测。通常使用暗场散射法测量该目标,其中零阶衍射(对应于镜面反射)被阻断并且仅处理高阶衍射。
使用衍射阶的暗场检测的基于衍射的重叠使能对更小目标的重叠测量。这些目标可以小于照射斑并且可以由晶片上的产品结构围绕。可以在一个图像中测量多个目标。
在已知的量测技术中,当旋转目标或者改变照射模式或成像模式以分离地获得-1和+1衍射阶强度时,重叠测量结果通过在某些条件下两次测量目标来获得。对于给定光栅,比较这些强度提供了对光栅中非对称性的测量。
在堆叠的光栅对中的非对称性可以用作重叠误差的指示器。类似地,聚焦敏感光栅中的非对称性可以用作离焦的指示器。
然而,导致散射仪光瞳中非对称性改变的任何效应将有助于扫描机的离焦。一个这种效应是曝光剂量。曝光剂量变化难以测量,尤其是具有小管芯中目标的曝光剂量变化难以测量。
源自光刻设备、掩模版和工艺处理的组合,有效的曝光剂量通常通过关键产品结构的线宽(关键尺寸CD)而测量。用于这种测量的检查设备包括诸如CD-SEM(扫描电子显微镜)和散射仪的量测工具。
然而,CD-SEM是相对缓慢的。使用散射仪的光学重构也是缓慢的过程。此外,尽管散射仪是非常灵敏的量测工具,但是灵敏度是对于特征参数的宽广的范围。需要小心仔细产生并优化散射仪设置配方以从构成目标的材料的下层堆叠的变化中分离CD变化。此外,用于CD测量的散射法通常需要大的目标(例如,40×40μm)。
发明内容
希望比现有方法更快地测量曝光剂量的方法。此外,如果这将应用于可以采用基于暗场图像的技术而读出的小目标结构,则将是非常有利的。此外,考虑为有利的是在用于例如重叠或聚焦测量的量测特征中并入用于曝光剂量测量的量测特征,而并未干扰所述测量,即遵循设计规则并且同时可以嵌入在具有不同功能的量测目标内的量测目标。
根据第一方面,提供了一种确定在衬底上光刻工艺中使用的光刻设备的曝光剂量的方法,该方法包括步骤:(a)接收包括使用光刻工艺制造的第一结构和第二结构的衬底;(b)当使用辐射来照射第一结构时,检测被散射的辐射以获得第一散射仪信号;(c)当使用辐射来照射第二结构时,检测被散射辐射以获得第二散射仪信号;(d)使用第一散射仪信号和第二散射仪信号以确定用于制造所述第一结构和第二结构的曝光剂量值,其中:第一结构包括具有空间特性的第一周期性特性以及具有空间特性的至少另一第二周期性特性,空间特性被设计为受曝光剂量影响;以及第二结构包括具有空间特性的第一周期性特性以及具有空间特性的至少另一第二周期性特性,空间特性被设计为受曝光剂量影响,其中曝光剂量以不同方式影响第一结构和第二结构的受曝光剂量影响的空间特性。
根据一方面,提供了一种用于确定在衬底上光刻工艺中使用的光刻设备的曝光剂量的检查设备,检查设备包括:照射系统,被配置以使用辐射照射在第一结构和第二结构上,第一结构和第二结构在衬底上使用光刻工艺制造;检测系统,被配置以检测源自第一结构的照射的被散射的辐射以获得第一散射仪信号,并且被配置以检测源自第二结构的照射的被散射的辐射以获得第二散射仪信号;以及处理器,被配置以基于:包括具有空间特性的第一周期性特性和具有空间特性的至少另一第二周期性特性的第一结构,以及包括具有空间特性的第一周期性特性和具有空间特性的至少另一第二周期性特性的第二结构,空间特性被设计为受曝光剂量影响,使用第一散射仪信号和第二散射仪信号来确定用于制造第一结构的曝光剂量值,其中曝光剂量以不同方式影响第一和第二结构的受曝光剂量影响的空间特性。
根据一方面,提供了一种用于确定在衬底上光刻工艺中使用的光刻设备的曝光剂量的图案图案形成装置,图案图案形成装置包括目标图案,目标图案包括:被配置以使用光刻工艺产生第一结构的第一子图案,第一结构包括具有空间特性的周期性特性以及具有空间特性的另一第二周期性特性的结构,空间特性被设计为受曝光剂量影响;以及被配置以使用光刻工艺产生第二结构的第二子图案,第二结构包括具有空间特性的第一周期性特性以及具有空间特性的至少另一第二周期性特性,空间特性被设计为受曝光剂量影响,其中曝光剂量以不同方式影响第一结构和第二结构的受曝光剂量影响的空间特性。
根据一方面,提供了一种用于确定在衬底上光刻工艺中使用的光刻设备的曝光剂量的衬底,衬底包括目标,目标包括:至少包含具有空间特性的第一周期性特性以及另一第二周期性特性的第一结构,空间特性被设计为受曝光剂量影响;以及至少包含具有空间特性的第一周期性特性和至少另一第二周期性特性的第二结构,空间特性被设计为受曝光剂量影响,其中曝光剂量以基本上不同方式影响第一结构和第二结构的受曝光剂量影响的空间特性。
根据一方面,提供了一种制造器件的方法,其中使用光刻工艺,器件图案被施加至一系列衬底,该方法包括:使用根据第一方面的方法,确定使用至少一个衬底的光刻设备的曝光剂量,并且根据确定曝光剂量的方法的结果,控制用于后续衬底的光刻工艺。
以下参照附图详细描述本发明的其它特征和优点、以及本发明各个实施例的结构和操作。应当注意的是本发明不限于本文所描述的具体实施例。本文仅为了示意性目的呈现这些实施例。基于在本文包含的教导,附加的实施例对于本领域技术人员将是明显的。
附图说明
并入本文并且形成说明书一部分的附图图示了本发明,并且与说明书一起进一步来解释本发明的原理以及使得相关领域技术人员能够制造和使用本发明。
图1示出了根据本发明实施例的光刻设备;
图2示出了根据本发明实施例的光刻单元或簇;
图3A-图3D示出了(a)使用照射孔的第一对,测量根据本发明实施例的目标中使用的暗场散射仪的示意图,(b)对于给定照射方向,目标光栅的衍射频谱的细节,(c)在基于衍射的重叠测量中使用散射仪而提供其它照射模式的照射孔的第二对,以及(d)组合了光圈的第一对和第二对的照射孔的第三对。
图4示出了多个光栅目标的已知形式以及衬底上测量光斑的轮廓。
图5示出了在图3的散射仪中获得的图4的目标的图像。
图6示出了根据本发明实施例的目标的示例。
图7示出根据本发明实施例的目标的示例,以及示出了作为光栅参数的函数、直接与曝光剂量成比例的高阶衍射的强度的曲线图。
当结合附图时,从以下阐述的详细描述中,本发明的特征和优点变得更明显,其中相同的附图标记标识对应的元件。在附图中,相同的附图标记通常指示等同、功能上类似、和/或结构上类似的元件。其中元件首次出现的附图由对应的附图标记中最左侧数位指示。
具体实施方式
该说明书公开了并入本发明特征的一个或多个实施例。所公开的实施例仅示例化了本发明。本发明的范围不限于所公开的实施例。本发明由所附的权利要求限定。
所述的实施例、以及在说明书中涉及“一个实施例”、“实施例”、“一个示例实施例”等指示了所描述的实施例可以包括特定的特征、结构或特性,但是每个实施例不必包括该特定的特征、结构或特性。此外,该短语不必涉及相同的实施例。进一步,当结合实施例描述特定的特征、结构或特性时,应该理解的是在本领域技术人员认知范围内,能够结合不论是否明确描述的其它实施例而实现该特征、结构或特性。
本发明的实施例可以实施在硬件、固件、软件、或者其任意组合中。本发明的实施例也可以实施作为存储在机器可读媒介上的指令,其可以由一个或多个处理器读取并执行。机器可读媒介可以包括用于以由机器(例如计算装置)可读形式存储或发送信息的任何机制。例如,机器可读媒介可以包括只读存储器(ROM);随机访问存储器(RAM);磁盘存储媒介;光学存储媒介;快闪存储器装置;电学、光学、声学或其它形式的传播信号(例如载波、红外信号、数字信号等)等。进一步,固件、软件、例行程序、指令可以在本文描述为执行某些动作。然而,应该理解,该描述仅是为了方便并且该动作实际上由计算设备、处理器、控制器、或者执行固件、软件、例行程序、指令等的其它装置产生。
然而,在更详细描述这些实施例之前,有益的是展示其中可以实施本发明实施例的示例环境。
图1示意性地示出了光刻设备LA。设备包括:被配置以调节辐射束B(例如,UV辐射或DUV辐射)的照射系统(照射器IL);被构造用于支撑图案形成装置(例如,掩模)MA并且被连接至第一定位器PM的图案形成装置支持结构或支撑结构(例如,掩模台)MT,第一定位器PM被配置以根据某些参数精确地定位图案形成装置;被构造以保持衬底(例如,涂覆了抗蚀剂的晶片)W并被连接至第二定位器PW的衬底台(例如,晶片台)WT,第二定位器PW被配置以根据某些参数精确地定位衬底;以及被配置以将由图案形成装置MA赋予辐射束B的图案投影至衬底W的目标部分C(例如,包括一个或多个管芯)上的投影系统(例如,折射式投影透镜系统)PS。
照射系统可以包括用于引导、定形或控制辐射的各种类型光学部件,诸如折射式、反射式、磁性式、电磁式、静电式或其它类型光学部件或者其任意组合。
图案形成装置支撑结构以取决于图案形成装置的定向、光刻设备的设计、以及其它条件(例如,图案形成装置是否保持在真空环境中)的方式保持图案形成装置。图案形成装置支撑结构可以使用机械、真空、静电或其它夹持技术以保持图案形成装置。图案形成装置支撑结构可以是框架或台,例如,如果需要的话其可以是固定或可移动的。图案形成装置支撑结构可以确保图案形成装置例如相对于投影系统处于所期望的位置处。本文中术语“掩模版”或“掩模”的任何使用可以视作与更通用的术语“图案形成装置”同义。
本文使用的术语“图案形成装置”应该广义地解释为涉及可以用于在其截面中赋予辐射束图案以便于在衬底的目标部分中产生图案的任何装置。应该注意的是,例如如果图案包括相移特征或所谓的辅助特征,赋予辐射束的图案可以不完全对应于在衬底的目标部分中的所期望的图案。通常,赋予辐射束的图案将对应于在目标部分(例如,集成电路)中正形成的器件中的特定功能层。
图案形成装置可以是透射式或反射式的。图案形成装置的示例包括掩模、可编程反射镜阵列、以及可编程LCD面板。掩模在光刻中是广泛已知的,并且包括二元掩模、交替型相移掩模和衰减型相移掩模的掩模类型以及各种混合掩模类型。可编程反射镜阵列的示例采用小反射镜的矩阵布置,每个小反射镜可以单独地倾斜以便于沿不同方向反射入射的辐射束。倾斜的反射镜在由反射镜矩阵所反射的辐射束中赋予图案。
在此使用的术语“投影系统”应该广义地解释为包括任何类型的投影系统,包括折射式、反射式、折反射式、磁性式、电磁式和静电式光学系统或者适用于所使用的曝光辐射、或用于其它因素(例如,浸没液体的使用或真空的使用)的它们的任意组合浸没。本文中术语“投影透镜”的任何使用可以视作与更通用的术语“投影系统”同义。
如所示,设备是透射式类型(例如,采用透射式掩模)。备选地,设备可以是反射式类型(例如,采用如上所述类型的可编程反射镜阵列,或者采用反射式掩模)。
光刻设备可以是具有两个(双台)或多个衬底台(和/或两个或多个掩模台)的类型。在该“多台”机器中可以并行地使用附加的台,或者可以在一个或多个其它台正用于曝光的同时,一个或多个台上执行准备步骤。
光刻设备也可以是其中可以由具有相对较高折射率的液体例如水覆盖衬底的至少一部分以便于填充投影系统和衬底之间空间的类型。浸没液体也可以施加至光刻设备中其它空间,例如在掩模与投影系统之间。浸没技术在本领域广泛已知用于增大投影系统的数值孔径。如本文使用的术语“浸没”并非意味着诸如衬底的结构必须浸没在液体中,而是相反地仅意味着在曝光期间,液体位于投影系统和衬底之间。
参照图1,照射器IL从辐射源SO接收辐射束。例如当源是准分子激光器时,源和光刻设备可以是分离的实体。在该情形中,源不被考虑来形成光刻设备的部分并且辐射束借助于光束传递系统BD而从源SO传递至照明器IL,光束传递系统BD包括例如合适的定向反射镜和/或扩束器。在其它情形中,例如当源是汞灯时,源可以是光刻设备的整体成型部分。源SO和照射器IL、如果需要的话与光束传递系统BD一起可以称作辐射系统。
照射器IL可以包括用于调节辐射束的角强度分布的调节器AD。通常,照射器的光瞳面中强度分布的至少外侧和/或内侧径向范围(通常分别称作σ-外侧和σ-内侧)可以被调节。此外,照射器IL可以包括各种其它部件,诸如积分器IN和聚光器CO。照射器可以用于调节辐射束,以在其截面中具有所需的均匀度和强度分布。
辐射束B入射在图案形成装置(例如,掩模)MA上,MA被保持在图案形成装置的支撑结构(例如,掩模台MT)上,并且由图案形成装置图案化。已穿过图案形成装置(例如掩模)MA后,辐射束B穿过投影系统PS,PS将光束聚焦至衬底W的目标部分C上。借助于第二定位器PW和定位传感器IF(例如,干涉仪装置、线性编码器、2D编码器或电容性传感器),可以精确地移动衬底台WT,例如以便于在辐射束B的路径中定位不同的目标部分C。类似地,例如在从掩模库机器获取之后、或者在扫描期间,第一定位器PM和另一位置传感器(未在图1中明确示出)可以用于相对于辐射束B的路径而精确地定位图案形成装置(例如,掩模)MA。通常,可以借助于形成了第一定位器PM的部分的长冲程模块(粗略定位)和短冲程模块(精细定位)而实现图案形成装置支撑结构(例如,掩模台)MT的移动。类似地,衬底台WT的移动可以使用形成了第二定位器PW的部分的长冲程模块和短冲程模块来实现。在步进机的情形中(与扫描机相反),图案形成装置支撑结构(例如,掩模台)MT可以仅连接至短冲程致动器,或者可以是固定的。
图案形成装置(例如,掩模)MA和衬底W可以使用掩模对齐标记M1、M3和衬底对齐标记P1、P2而对齐。尽管如所示的衬底对齐标记占据了专用目标部分,但是它们可以位于目标部分之间的空间中(这些已知为划道对齐标记)。类似地,在图案形成装置(例如,掩模)MA上提供多于一个管芯的情形中,掩模对齐标记可以位于管芯之间。在器件特征之中,在希望标识尽可能小并且无需任何与相邻特征不同的成像或工艺条件的情况下,小对齐标识也可以包括在管芯内。以下进一步描述检测对齐标识的对齐系统。
所示的设备可以用于以下模式的至少一个:
1.在步进模式中,当赋予辐射束的整个图案被投影至目标部分C上时,图案形成装置支撑结构(例如,掩模台)MT和衬底台WT被保持基本静止(即,单次静态曝光)。衬底台WT随后沿X和/或Y方向偏移以使得可以曝光不同的目标部分C。在步进模式中,曝光场的最大尺寸限制了在单次静态曝光中成像的目标部分C的大小。
2.在扫描模式中,当赋予辐射束的图案被投影至目标部分C上时,同步地扫描图案形成装置支撑结构(例如,掩模台)MT和衬底台WT(即,单次动态曝光)。可以由投影系统PS的缩放和成像反转特性而确定衬底台WT相对于图案形成装置支撑结构(例如,掩模台)MT的速率和方向。在扫描模式中,曝光场的最大尺寸限制了在单次动态曝光中目标部分的(在非扫描方向的)宽度,而扫描运动的长度确定了目标部分的(在扫描方向的)高度。
3.在另一模式中,图案形成装置支撑结构(例如,掩模台)MT被保持基本上静止地保持可编程图案形成装置,并且当赋予辐射束的图案被投影至目标部分C上时,移动或扫描衬底台WT。在该模式中,通常采用脉冲辐射源,并且在衬底工作台WT的每次移动之后或者在扫描期间的相继辐射脉冲之间,更新可编程图案形成装置。该操作模式可以容易地应用于利用可编程图案形成装置(例如上所述类型的可编程反射镜阵列)的无掩模光刻。
也可以采用对上述使用模式的组合和/或变形,或者采用完全不同的使用模式。
光刻设备LA是所谓的双平台类型,其具有两个衬底台WTa、WTb以及两个站-曝光站和测量站-在两个站之间,衬底台可以交换。当衬底台上的一个衬底在曝光站台处曝光时,另一衬底可以装载至测量站处另一衬底台上并且执行各种预备步骤。预备步骤可以包括使用水平传感器LS映射衬底的表面控制并且使用对齐传感器AS测量衬底上对齐标记的位置。这使能显著提高设备的生产量。如果位置传感器IF不能够测量衬底台的位置同时其在测量站处以及在曝光站处,可以提供第二位置传感器以使得在两个站处追踪衬底台的位置。
如图2中所示,光刻设备LA形成了光刻单元LC(有时也称为光刻池或簇)的部分,光刻单元LC还包括以在衬底上执行预曝光和后曝光工艺的设备。传统地,这些包括旋涂器SC以沉积抗蚀剂层,显影器DE用于显影已曝光的抗蚀剂,激冷板CH和烘烤板BK。衬底处理器或机器人RO从输入-输出端口I/O1、I/O2拾取衬底,将衬底在不同工艺设备之间移动,并且随后将衬底传递至光刻设备的进料台LB。通常共同地被称为轨道的这些装置在轨道控制单元TCU的控制下,TCU自身由监督控制系统SCS控制,监督控制系统SCS也经由光刻控制单元LACU来控制光刻设备。因此,不同的设备可以被操作以最大化生产量和处理效率。
暗场量测的示例可以在国际专利申请WO2009/078708和WO2009/106279中找到,其文献通过引用整体并入本文。已经在专利申请US20110027704A、US20110043791A和US20120123581A中描述了技术的进一步发展。这些申请的内容也通过引用并入本文。美国专利公开号US20110249247A公开了使用来自焦点敏感非对称目标设计所测得的散射仪信号以测量光刻设备的离焦。该申请的内容通过引用并入本文。在该方法中,如在散射仪光瞳中可获得的形式为-1和+1衍射阶强度之间的差的非对称信息,被用于从测得的散射仪信号推断扫描机的离焦。
适用于在本发明实施例中使用的暗场量测设备示出在图3(a)中。目标光栅T和衍射射线更详细示出在图3(b)中。暗场量测设备可以是独立装置或者被并入光刻设备LA的例如测量站点处、或者光刻单元LC中。具有遍布设备的数个分支的光轴由虚线O表示。在该设备中,由源11(例如氙灯)发出的光被包括透镜12、14和物镜16的光学系统经由分束器15而引导至衬底W上。这些透镜设置为4F布置的双重序列。不同的透镜布置可以被使用,条件是其仍然提供衬底图像至检测器上,并且同时允许访问中间光瞳面以用于空间频率滤波。因此,辐射入射在衬底上的角度范围可以通过限定表示衬底平面(在此称作(共轭)光瞳面)空间频谱的平面中的空间强度分布来选择。特别地,这可以通过在作为物镜光瞳面的背投影图像的平面中,将合适形式的孔板13插入透镜12和14之间而实现。在所示的示例中,孔板13具有不同形式、标注为13N和13S、允许选择不同的照射模式。在本示例中的照射系统形成了离轴照射模式。在第一照射模式中,孔板13N从仅为了描述而标注为“北”的方向提供离轴。在第二照明模式中,孔板13S用于提供类似的但是来自标注为“南”的相反方向的照射。通过使用不同的孔,其它照射模式是可能的。剩余的光瞳面希望是黑暗的,因为在所需照射模式之外的任何非必需光将干扰期望的测量信号。
如图3(b),目标光栅T放置使得衬底W正交于物镜16的光轴O。从偏离光轴O的角度撞击到光栅T上的照射射线I引起零阶射线(实线0)和两个一阶射线(点链线+1和双重点链线-1)。应该记住的是采用过满的小目标光栅,这些射线仅是覆盖了包括量测目标光栅T和其它特征的衬底区域的许多并行射线的一个。因为板13中孔具有有限宽度(该宽度必要允许光的有用数量),入射的射线I将实际上占据角度范围,并且被衍射的射线0和+1/-1将稍微扩散。根据小目标的点扩散函数,每阶+1和-1将进一步扩散超过角度范围,而不是如所示的单个理想射线。注意,光栅间距和照射角度可以被设计或调节以使得进入物镜的第一阶射线与中心光轴严密对齐。图3(a)和图3(b)中所示的射线示出稍微离轴,完全使得它们在图中更易于区分。
由衬底W上目标衍射的至少0和+1阶由物镜16收集并且被引导返回穿过分束器15。返回图3(a),通过指定被标注为北(N)和南(S)的直径相对的孔,第一照射模式和第二照射模式均被示出。当入射的射线I来自光轴的北侧时,即当使用孔板13N施加第一照射模式时,标注为+1(N)的+1阶被衍射的射线进入物镜16。相反地,当使用孔板13S施加第二照射模式时,-1阶被衍射的射线(标注-1(S))是进入透镜16的那些射线。
第二分束器17将被衍射的光束分为两个测量分支。在第一测量分支中,光学系统18使用第零阶和第一阶衍射束在第一传感器19(例如,CCD或CMOS传感器)上形成目标的衍射频谱(光瞳面成像)。每个衍射阶撞击传感器上的不同的点,以使图像处理可以比较并对比阶。由传感器19捕获的光瞳面成像可以用于聚焦量测装置和/或归一化第一阶束的强度测量。根据本发明的实施例,用于欠满目标的光瞳面图像可以用作用于剂量和聚焦量测的输入。
在第二测量分支中,光学系统20、22在传感器23(例如,CCD或CMOS传感器)上形成衬底W上目标的图像。在第二测量分支中,孔径光阑21被提供在与光瞳面共轭的平面中。孔径光阑21用于阻挡第零阶衍射束以使形成在传感器23上的目标的成像仅由-1或+1第一阶束形成。由传感器19和23捕获的成像被输出至图像处理器和控制器PU,图像处理器和控制器PU的功能取决于所执行的测量的特定类型。注意术语“成像”在此以广泛含义而使用。如果仅存在-1和+1阶中的一个,同样地,将不形成光栅线的成像。
图3中所示的孔板13和场阑21的特定形式纯粹是示例。在本发明的另一实施例中,使用目标的在轴照射并且具有离轴孔的孔径光阑被用于实质上上仅将衍射光的第一阶传递至传感器。在又一实施例中,替代或者附加至第一阶束,第二、第三和更高阶光束(图3中未示出)可以用于测量。
为了使得照射可适用于这些不同类型的测量,孔板13可以包括形成在盘片周围的许多孔的图案,盘片旋转以将所期望的图案带到合适的地方。备选地或附加地,可以提供并交换一组孔板13来实现相同效果。诸如可变形反射镜阵列或透射式空间视像调制解调器的可编程照射装置也可以被使用。移动反射镜或棱镜可以用作调节照射模式的另一方式。
正如参照孔板13所述,可以备选地通过改变光瞳阑21、或者通过替换具有不同图案的光瞳阑、或者通过采用可编程空间视像调制器替换固定的场阑,来实现用于成像的衍射阶的选择。在这种情况下,测量光学系统的照射侧可以保持恒定,同时成像侧具有第一模式和第二模式。在本公开中,因此,存在有效地三种类型的测量方法,每个方法具有其自己的优点和缺点。在一个方法中,照射模式被改变以测量不同的阶。在另一方法中,成像模式被改变。在第三方法中,照射模式和成像模式保持未改变,但是目标旋转通过180度。在每个情形中,所期望的效果是相同的,即选择非零阶衍射辐射的第一部分和第二部分,非零阶衍射辐射在目标的衍射频谱中相互对称。原理上,可以通过改变照射模式和同时改变成像模式的组合而获得所期望的阶的选择,但是这可能带来缺点而没有优点,因此将不再进一步讨论。
尽管在本示例中用于成像的光学系统具有受场阑21约束的宽入口光瞳,但是在其它实施例或应用中,成像系统自身的入口光瞳可以足够小以约束至所期望的阶,并且因此也用作场阑。不同的孔板示出在如下进一步描述的图3(c)和图3(d)中。
通常,目标光栅将与沿着北-南或东-西延伸的光栅线对齐。也即是说,光栅将沿衬底W的X方向或Y方向而对齐。注意,孔板13N或13S仅可以用于测量在一个方向(取决于设置的X或Y)定向的光栅。对于正交光栅的测量,可以实施通过90°和270°的目标旋转。然而,更方便地,如图3(c)中所示,使用孔板13E或13W,从东或西的照射提供在照射光学元件中。孔板13N至13W可以分离地形成并互换,或者它们可以是能够90、180或270度旋转的单个孔板。如已提及的,图3(c)中所示的离轴孔可以替代在照射孔板13中而提供在场阑21中。在该情形中,照射将是在轴的。
图3(d)示出了可以用于组合第一对和第二对的照射模式的孔板的第三对。孔板13NW具有在北方和东方的孔,而孔板13SE具有在南方和西方的孔。假设在这些不同衍射信号之间的串扰不太大,那么X和Y光栅的测量可以被执行,而不改变照射模式。
图4示出了根据已知实践形成在衬底上的复合目标。复合目标包括紧密定位在一起的四个光栅32至35以使得它们将均在由量测设备的照射束所形成的测量斑31内。四个目标因此均同时地被照射并且同时成像在传感器19和23上。在专用于离焦测量的示例中,光栅32至35自身是由非对称光栅所形成的聚焦敏感的光栅,非对称光栅图案化在形成于衬底W上的半导体器件的层中。如所示,光栅32至35的定向可以不同,以便于沿X和Y方向衍射入射的辐射。在一个示例中,光栅32和34是X方向光栅。光栅33和35是Y方向光栅。这些光栅的分离的成像可以在由传感器23捕获的成像中识别。
使用图3的设备中图4的目标,使用来自图3(d)的孔板13NW或13SE,图5示出了可以形成在传感器23上并且由传感器23检测的成像的示例。尽管光瞳面成像传感器19无法分辨不同的单个光栅32至35,但是成像传感器23可以分辨。暗的矩形表示传感器上的成像的场,在该场内,衬底上被照射的斑31被成像至对应的圆形区域41中。在该区域内,矩形区域42-45表示小目标光栅32至35的成像。如果光栅位于产品区域中,产品特征在该成像场的外围也可见。成像处理器和控制器PU使用图案识别来处理这些成像以识别光栅32至35的分离的成像42至45。以该方式,成像不必非常精确地对齐传感器框架内的特定位置处,这很大程度上改进了作为整体的测量设备的生产量。然而如果成像过程经受跨越成像场的非均匀性,对于精确对齐的需求仍然保持。在本发明的一个实施例中,四个位置P1至P4被识别,并且光栅尽可能与这些已知位置对齐。
一旦光栅的分离的成像已被识别,可以例如通过平均或求和已识别区域内所选择的像素强度值来测量那些单个成像的强度。成像的强度和/或其它属性可以相互比较。这些结果可以被组合以测量光刻工艺的诸如焦距不同参数(如通过引用整体并入本文的申请US20110027704A中所示出的)。
使用具有图5中所示的对应成像的图3的散射仪和图4中所示目标的测量的难度在于它们对于测量曝光剂量的目的视作是缓慢的。诸如CD-SEM的备选设备的使用导致类似的延伸的测量时间。
附加地,认识到需要小心的配方设置以克服量测工具对于影响测量目标的各种参数的灵敏度。
附加地,也认识到当前的曝光剂量测量技术是使用不利的大量测目标,因为所述目标占据了将另外用于半导体器件的衬底表面。
本发明致力于通过提供使用已调制目标测量曝光剂量的方法而解决上述问题。所述已调制目标包含光栅,光栅具有沿一个方向的第一节距以及沿任意方向第二节距。对应于所述第二节距的周期性特征被设计以具有取决于曝光剂量的形状。对应于所述第二节距的所述周期性特征将在衍射图案中产生直接与曝光剂量成比例的更高阶。考虑具有被设计用于以不同方式响应曝光剂量的特征的两个目标,一个能够从所测量的差分信号提取所述曝光剂量,差分信号基于来自两个所述目标的高阶衍射强度之间的差。
本发明通过提供一种确定衬底上光刻工艺中使用的光刻设备的曝光剂量的方法来解决上述问题,方法包括步骤:
(a)接收包括使用光刻工艺产生的第一结构和第二结构的衬底;
(b)当使用辐射来照射第一结构时,检测被散射的辐射以获得第一散射仪信号;
(c)当使用辐射来照射第二结构时,检测被散射的辐射以获得第二散射仪信号;
(d)使用第一散射仪信号和第二散射仪信号以确定用于产生所述第一结构和第二结构的曝光剂量值,其中
第一结构包括具有空间特性的第一周期性特性和具有空间特性的至少另一第二周期性特性,空间特性被设计为受曝光剂量影响;以及第二结构包括具有空间特性的第一周期性特性以及具有空间特性的至少另一第二周期性特性,空间特性被设计为受曝光剂量影响;其中曝光剂量以不同方式影响第一结构和第二结构的受曝光剂量影响的空间特性。本发明进一步包括:使用光刻工艺以在衬底上产生第一结构,第一结构至少包括具有空间特性的第一周期性特性和第二周期性特性,空间特性被设计为受曝光剂量影响;以及使用光刻工艺以在衬底上产生第二结构,第二结构包括具有空间特性的至少第一周期性特性和第二周期性特性,空间特性被设计为受曝光剂量影响,其中曝光剂量以不同方式影响第一结构和第二结构的受曝光剂量影响的空间特性。
所调制的目标的优点在于所述目标适合用于现有的基于衍射的散射仪,这导致快速测量,因此降低了提取曝光剂量所需的时间。所调制的目标的第二节距叠加在现有的量测目标上,现有的量测目标具有用于其它测量(例如,帮助减小晶片上用于量测的区域的重叠或聚焦)的第一节距光栅。另一优点也是使用更小目标的可能性,因为该方法适用于暗场成像散射法。
以下描述使用具有剂量敏感的第二间距的目标而使能快速测量曝光剂量的不同实施例。
图6描述了示出了所调制目标的所述概念的目标的三个不同示例。图6(a)示出了在光栅601之间具有恒定节距的周期性结构。图6(b)示出了由此引入第二光栅的另一周期性结构。结构的节距对于由元件620给定的两个光栅均是沿相同方向的。元件610是类似的光栅。另一示例在图6(c)中给出,由此根据元件640而修改每个光栅630的形状。具有元件630的周期性结构在垂直于元件630的方向上是周期性的。结构640也是周期性的,但是在平行于元件630的方向上是周期性的。为了附图的简单起见,并未示出它们。在图6(c)的示例中,元件630的节距可以是100nm,而对应于特征640的节距是600nm。图6中所示的光栅可以使用光刻工艺制造。光刻工艺用于在衬底上制造第一结构,第一结构至少包括具有被设计受曝光剂量影响的空间特性的第二周期性特性和第一周期性特性;并且使用光刻工艺以在衬底上制造第二结构,第二结构至少包括具有被设计受曝光剂量影响的空间特性的第二周期性特性和第一周期性特性,其中曝光剂量以不同方式影响第一结构和第二结构的受曝光剂量影响的空间特性。
在实施例中,第一结构和第二结构的第一周期性特性是包含光栅的量测目标的节距。图6中所示的光栅可以形成图4中所示类型的量测目标。图6中所示的光栅也可以形成能够用在单个层中的量测目标。
在另一实施例中,第一结构和第二结构的第二周期性特性是包含光栅的量测目标的节距。此外,第一结构和第二结构的第二周期性特性的方向在平行于第一结构和第二结构所处平面的平面中。在用作示例的一个实施例中,第一结构和第二结构的第二周期性特性的方向基本上平行于第一结构和第二结构的第一周期性特性的方向。在也用作示例的又一实施例中,第一结构和第二结构的第二周期性特性的方向基本上垂直于第一结构和第二结构的第一周期性特性的方向。
在另一实施例中,使用成像平面检测散射法来执行当照射第一结构和第二结构时检测被散射辐射的上述步骤。也认识到使用光瞳面检测散射法来执行当照射第一结构和第二结构时检测被散射辐射的上述步骤。通过使用具有不同周期的元件640调制图6(c)的现有周期性结构630,在衍射的信号中产生更高阶。如果将元件640设计为对光刻曝光参数(例如,曝光剂量或曝光焦距)敏感,则高阶衍射信号强度的测量将是非法向剂量或焦距的效果的指示器。该测量将包含检测被散射辐射的步骤,该步骤包括从更高阶被散射辐射中分离零阶被散射辐射,以及检测更高阶被散射辐射以获得每个各自的散射仪信号。
图7示出了在光刻设备中使用的曝光剂量的测量方法。使用所述光刻设备,可以产生包含元件601的光栅,由此元件601可以是使用光刻设备被转移至抗蚀剂中的器件的元件。在由类似于器件特征的元件601覆盖的区域内,可以限定包含图7(a)(i)中嵌入式特征701以及图7(a)(ii)中嵌入式特征702的两个结构。在该特定示例中,元件701和702的周期类似于图6中的元件640。这样的周期垂直于元件601的周期。元件701和702的节距可以是600nm。元件701和702被设计对曝光剂量敏感。在具有使用元件701调制的元件601的目标构成的第一结构上、以及在具有使用元件702调制的元件601的目标构成的第二结构上测量所衍射的信号。由元件721在图7(b)中表示的所测量的更高阶信号将是对于图7(a)(i)中所表示的第一结构的线711,以及对于图7(a)(ii)中所表示第二结构的线710。元件711和712示出了对所测量的高阶衍射信号强度的曝光剂量的不同依赖性。图7(b)中的元件720是光栅的关键尺寸的变化并且其直接与曝光剂量成比例。在该实施例的实际实施方式中,元件720将是曝光剂量。方法进一步包括差分信号722的提取,差分信号722是信号710和711之间的差。差分信号722也归一化为对应于相应所测量的第一散射仪信号和第二散射仪信号的强度之和。在图7(c)中,对于每个所测量的元件722,元件720上的对应值通过关系712找到,这导致具有如上所述优点的曝光剂量的提取。该方法使用了使用第一散射仪信号和第二散射仪信号以确定用于产生所述第一结构和第二结构的曝光剂量值的步骤,包括使用对应于相应所测量的第一散射仪信号和第二散射仪信号的第一测量强度和第二测量强度之间的差。此外,使用第一散射仪信号和第二散射仪信号以确定用于制造所述第一结构和第二结构的曝光剂量值的步骤包括归一化步骤,并且归一化因数是对应于相应所测量的第一散射仪信号和第二散射仪信号的强度之和。所述第一结构和第二结构的第二周期性特性具有600nm的周期。
尽管如上所述的目标结构是特殊设计并且为了测量目的而形成的量测目标,在其它实施例中,作为形成在衬底上的器件的功能部分的目标上,属性可以被测量。许多器件具有规则的、光栅状的结构。如在本文使用的术语“目标光栅”和“目标结构”不要求为了所执行的测量专门提供结构。
与如实现在衬底上的目标的物理光栅结构以及图案形成装置相关联,实施例可以包括包含了机器可读指令的一个或多个序列的计算机程序,机器可读指令描述了在衬底上制造目标、测量衬底上目标和/或分析测量以获得关于光刻工艺信息的方法。该计算机程序可以在例如图3的设备中的单元PU内和/或图2的控制单元LACU内执行。也可以提供具有存储在其中的这样的计算机程序的数据存储媒介(例如,半导体存储器、磁盘或光盘)。当例如图3所示类型示例的现有的量测设备已在制造和/或使用时,本发明可以通过提供所更新的计算机程序产品来实现,所更新的计算机程序产品用于使处理器执行本文所述方法并且因此计算曝光剂量以及对曝光剂量的具有减小的灵敏度的离焦。程序可以可选地被布置以控制光学系统、衬底支撑结构等来执行用于测量合适的多个目标结构的步骤。
本文使用的术语“辐射”和“束”包括所有类型的电磁辐射,包括紫外(UV)辐射(例如,具有或者约为365nm、355nm、248nm、193nm、157nm或126nm的波长)和远紫外(EUV)辐射(例如,具有在5-20nm范围中的波长),以及粒子束,诸如离子束或电子束。
如上下文所允许的,术语“透镜”可以涉及各种类型的光学部件(包括折射式、反射式、磁性式、电磁式和静电式光学部件)的任意一个或任意组合。
具体实施例的前述说明将因此完全揭示本发明的普遍性质,在不脱离本发明的通常概念的情况下,他人通过应用本领域技术内的知识可以容易地修改和/或调整诸如具体实施例的各种应用,而无需不适当的实验。因此,基于本文所呈现的教导和引导,这些调整和修改旨在在所公开实施例等同物的含义和范围内,。应当理解,本文的短语或术语是为了以示例描述的目的,并且并非为了限制的目的,以使本说明书的术语或短语将由受益于教导和引导的技术人员解释。
应当理解,详细说明书部分而非发明内容和摘要部分旨在解释权利要求。发明内容和摘要部分可以阐述由发明人设计的本发明的一个或多个示例性实施例但是并非所有示例性实施例,并且因此不旨在以任何方式限制本发明和所附权利要求。
上面已经借助于示出了具体功能及其相互关系的实施方式的功能性构造块而描述了本发明。这些功能构造块的边界在本文中为了描述的方便而任意限定。备选的边界可以限定,只要所规定的功能及其相互关系被合适地执行。
具体实施例的前述说明书将也完全揭示本发明的普遍性质,在不脱离本发明的通常概念的情况下,他人通过应用在本领域技术内的知识可以容易地修改和/或调整这些具体实施例的各种应用,而无需不适当的实验。因此,基于本文所呈现的教导和引导,这些调整和修改旨在在所公开实施例的等同物的含义和范围内。应当理解,本文中的短语或术语是为了描述的目的,而并非为了限制的目的,以使本说明书的术语或短语将由受益于教导和引导的技术人员解释。
本发明的宽度和范围不应由任意上述示例性实施例限制,而是应当仅根据以下权利要求和它们的等同物来限定。

Claims (30)

1.一种确定衬底上的光刻工艺中使用的光刻设备的曝光剂量的方法,所述方法包括步骤:
(a)接收包括第一结构和第二结构的衬底,所述第一结构和所述第二结构使用所述光刻工艺制造;
(b)当使用辐射来照射所述第一结构时,检测被散射的辐射以获得第一散射仪信号;
(c)当使用辐射来照射所述第二结构时,检测被散射的辐射以获得第二散射仪信号;
(d)使用所述第一散射仪信号和所述第二散射仪信号来确定用于制造所述第一结构和所述第二结构的曝光剂量值,其中
所述第一结构包括具有空间特性的第一周期性特性和具有空间特性的至少另一第二周期性特性,所述空间特性被设计为受所述曝光剂量影响,以及
所述第二结构包括具有空间特性的第一周期性特性和具有空间特性的至少另一第二周期性特性,所述空间特性被设计为受所述曝光剂量影响,
其中所述曝光剂量以不同方式影响所述第一结构和所述第二结构的受所述曝光剂量影响的所述空间特性。
2.根据权利要求1所述的方法,进一步包括:使用所述光刻工艺以在所述衬底上制造第一结构,所述第一结构至少包括具有被设计为受所述曝光剂量影响的空间特性的第一周期性特性和第二周期性特性;以及使用所述光刻工艺以在所述衬底上制造第二结构,所述第二结构至少包括具有被设计为受所述曝光剂量影响的空间特性的第一周期性特性和第二周期性特性,其中所述曝光剂量以不同方式影响所述第一结构和所述第二结构的受所述曝光剂量影响的所述空间特性。
3.根据权利要求1或2所述的方法,其中,所述第一结构和所述第二结构的所述第一周期性特性是包含光栅的量测目标的节距。
4.根据前述权利要求任一项所述的方法,其中,所述第一结构和所述第二结构的所述第二周期性特性是包含光栅的量测目标的节距。
5.根据前述权利要求任一项所述的方法,其中,所述第一结构和所述第二结构的所述第二周期性特性的方向在与所述第一结构和所述第二结构所处平面平行的平面中。
6.根据权利要求5所述的方法,其中,所述第一结构和所述第二结构的所述第二周期性特性的所述方向实质上与所述第一结构和所述第二结构的所述第一周期性特性的方向平行。
7.根据权利要求5所述的方法,其中,所述第一结构和所述第二结构的所述第二周期性特性的所述方向实质上垂直于所述第一结构和所述第二结构的所述第一周期性特性的所述方向。
8.根据前述权利要求任一项所述的方法,其中使用成像平面检测散射法执行当照射所述第一结构和所述第二结构时,检测被散射的辐射的所述步骤。
9.根据前述权利要求任一项所述的方法,其中,使用光瞳面检测散射法执行当照射所述第一结构和所述第二结构时,检测被散射的辐射的所述步骤。
10.根据前述权利要求任一项所述的方法,其中检测被散射的辐射的所述步骤包括:分离第零阶被散射的辐射与更高阶被散射的辐射,并且检测所述更高阶被散射的辐射以获得每个相应散射仪信号。
11.根据前述权利要求任一项所述的方法,其中使用所述第一散射仪信号和所述第二散射仪信号以确定用于制造所述第一结构和所述第二结构的曝光剂量值的所述步骤包括使用所测量的第一强度和第二强度之间的差,所述第一强度和第二强度对应于所测量的相应的所述第一散射仪信号和所述第二散射仪信号。
12.根据权利要求11所述的方法,其中使用所述第一散射仪信号和所述第二散射仪信号以确定用于制造所述第一结构和所述第二结构的曝光剂量值的所述步骤包括归一化步骤。
13.根据权利要求12所述的方法,其中归一化因子是对应于所测量的相应所述第一散射仪信号和所述第二散射仪信号的所述强度之和。
14.根据前述权利要求任一项所述的方法,其中所述第一结构和所述第二结构的所述第二周期性特性具有600nm的周期。
15.一种确定在衬底上光刻工艺中使用的光刻设备的曝光剂量的检查设备,所述检查设备包括:
照射系统,被配置为采用辐射来照射使用所述光刻工艺在所述衬底上制造的第一结构和第二结构;
检测系统,被配置为检测由照射所述第一结构引起的被散射的辐射以获得第一散射仪信号,并且被配置为检测由照射所述第二结构引起的被散射的辐射以获得第二散射仪信号;以及
处理器,被配置为使用所述第一散射仪信号和所述第二散射仪信号以基于以下内容确定用于制造所述第一结构的曝光剂量值:
所述第一结构,包括具有空间特性的第一周期性特性和具有空间特性的至少另一第二周期性特性,所述空间特性被设计为受所述曝光剂量影响,以及
所述第二结构,包括具有空间特性的第一周期性特性和具有空间特性的至少另一第二周期性特性,所述空间特性被设计为受所述曝光剂量影响,
其中所述曝光剂量以不同方式影响所述第一结构和所述第二结构的受所述曝光剂量影响的所述空间特性。
16.根据权利要求15所述的检查设备,其中所述第一结构和所述第二结构的所述第一周期性特性是包含光栅的量测目标的节距。
17.根据权利要求15所述的检查设备,其中所述第一结构和所述第二结构的所述第二周期性特性是包含光栅的量测目标的节距。
18.根据权利要求16或17所述的检查设备,其中所述第一结构和所述第二结构的所述第二周期性特性的方向实质上平行于所述第一结构和所述第二结构的所述第一周期性特性的方向。
19.根据权利要求16或17所述的检查设备,其中所述第一结构和所述第二结构的所述第二周期性特性的方向实质上垂直于所述第一结构和所述第二结构的所述第一周期性特性的所述方向。
20.根据权利要求15至19任一项所述的检查设备,其中使用成像平面检测散射法来执行当照射所述第一结构和所述第二结构时,检测被散射的辐射的所述步骤。
21.根据权利要求15至19任一项所述的检查设备,其中使用光瞳面检测散射法来执行所述当照射所述第一结构和所述第二结构时,检测被散射的辐射的所述步骤。
22.根据权利要求15所述的检查设备,其中所述处理器被配置为使用所述第一散射仪信号和所述第二散射仪信号,以基于计算对应于所测量的相应的所述第一散射仪信号和所述第二散射仪信号的第一测量强度和第二测量强度之间的值,来确定用于制造所述第一结构和所述第二结构的曝光剂量值。
23.根据权利要求22所述的检查设备,其中使用所述第一散射仪信号和所述第二散射仪信号以确定用于制造所述第一结构和所述第二结构的曝光剂量值的步骤包括归一化步骤。
24.根据权利要求23所述的检查设备,其中,所述归一化因子是对应于所测量的相应的所述第一散射仪信号和所述第二散射仪信号的所述强度之和。
25.根据权利要求15至24任一项所述的检查设备,其中所述检测系统被配置为通过分离第零阶被散射的辐射和任意更高阶被散射的辐射并且检测所述更高阶被散射的辐射来检测被散射的辐射以获得每个相应的散射仪信号。
26.一种用于确定在衬底上光刻工艺中使用的光刻设备的曝光剂量的衬底,所述衬底包括目标,所述目标包括:
第一结构,至少包括具有被设计为受所述曝光剂量影响的空间特性的第一周期性特性和另一第二周期性特性,以及
第二结构,至少包括具有被设计为受所述曝光剂量影响的空间特性的第一周期性特性和另一第二周期性特性,
其中所述曝光剂量以不同方式影响所述第一结构和所述第二结构的受所述曝光剂量影响的所述空间特性。
27.根据权利要求26所述的衬底,其中,所述第一结构和所述第二结构的所述第一周期性特性和所述第二周期性特性是包含光栅的量测目标的节距。
28.一种用于确定在衬底上光刻工艺中使用的光刻设备的曝光剂量的图案形成装置,所述图案形成装置包括目标图案,所述目标图案包括:
第一子图案,被配置为使用所述光刻工艺制造第一结构,所述第一结构包括具有空间特性的周期性特性和具有空间特性的另一第二周期性特性的结构,所述空间特性被设计为受所述曝光剂量影响,以及
第二子图案,被配置为使用所述光刻工艺制造第二结构,所述第二结构包括具有空间特性的第一周期性特性和具有空间特性的至少另一第二周期性特性,所述空间特性被设计为受所述曝光剂量影响,
其中所述曝光剂量以不同方式影响所述第一结构和所述第二结构的受所述曝光剂量影响的所述空间特性。
29.根据权利要求28所述的图案形成装置,其中所述第一结构和所述第二结构的所述第一周期性特性和所述第二周期性特性是在适合用于在衬底上形成包含光栅的量测目标的图案形成装置上的节距。
30.一种制造器件的方法,其中使用光刻工艺将器件图案施加至一系列衬底,所述方法包括:使用根据权利要求1至14任一项所述的方法,确定使用所述衬底中的至少一个的所述光刻设备的曝光剂量,并且根据确定曝光剂量的所述方法的结果控制用于后续衬底的所述光刻工艺。
CN201580035773.6A 2014-06-30 2015-06-09 确定剂量的方法、检查设备、图案形成装置、衬底以及器件制造方法 Expired - Fee Related CN106662823B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
EP14174973.9 2014-06-30
EP14174973 2014-06-30
PCT/EP2015/062778 WO2016000914A1 (en) 2014-06-30 2015-06-09 Method of determining dose, inspection apparatus, patterning device, substrate and device manufacturing method

Publications (2)

Publication Number Publication Date
CN106662823A true CN106662823A (zh) 2017-05-10
CN106662823B CN106662823B (zh) 2018-10-19

Family

ID=51022757

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201580035773.6A Expired - Fee Related CN106662823B (zh) 2014-06-30 2015-06-09 确定剂量的方法、检查设备、图案形成装置、衬底以及器件制造方法

Country Status (8)

Country Link
US (1) US9952517B2 (zh)
JP (1) JP6393397B2 (zh)
KR (1) KR20170015984A (zh)
CN (1) CN106662823B (zh)
IL (1) IL249462A0 (zh)
NL (1) NL2014938A (zh)
TW (1) TWI597580B (zh)
WO (1) WO2016000914A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111226172A (zh) * 2017-10-17 2020-06-02 Asml荷兰有限公司 散射仪以及使用声学辐射的散射测量方法

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
NL2011816A (en) * 2012-11-30 2014-06-04 Asml Netherlands Bv Method of determining dose and focus, inspection apparatus, patterning device, substrate and device manufacturing method.
CN106662823B (zh) 2014-06-30 2018-10-19 Asml荷兰有限公司 确定剂量的方法、检查设备、图案形成装置、衬底以及器件制造方法
KR102323388B1 (ko) * 2015-05-15 2021-11-05 케이엘에이 코포레이션 초점 감응성 오버레이 타겟을 이용한 초점 결정용 시스템 및 방법
NL2017466A (en) * 2015-09-30 2017-04-05 Asml Netherlands Bv Metrology method, target and substrate
EP3290911A1 (en) * 2016-09-02 2018-03-07 ASML Netherlands B.V. Method and system to monitor a process apparatus
EP3623869A1 (en) * 2018-09-14 2020-03-18 ASML Netherlands B.V. Method for measuring a parameter of a structure formed using a lithographic process
US10921716B1 (en) 2019-10-08 2021-02-16 International Business Machines Corporation Lithographic dose characterization

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101109910A (zh) * 2006-07-18 2008-01-23 Asml荷兰有限公司 检查方法及设备与光刻设备及器件制造方法
US20120044472A1 (en) * 2009-05-12 2012-02-23 Asml Netherlands B.V. Inspection Method for Lithography
WO2014082938A1 (en) * 2012-11-30 2014-06-05 Asml Netherlands B.V. Method of determining dose and focus, inspection apparatus, patterning device, substrate and device manufacturing method

Family Cites Families (47)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE69531854T2 (de) 1994-08-02 2004-08-19 Koninklijke Philips Electronics N.V. Verfahren zur wiederholten abbildung eines maskenmusters auf einem substrat
US6483580B1 (en) 1998-03-06 2002-11-19 Kla-Tencor Technologies Corporation Spectroscopic scatterometer system
JP3556472B2 (ja) 1998-06-18 2004-08-18 株式会社東芝 露光量測定方法と露光量測定用マスク
US6879400B2 (en) 2000-12-11 2005-04-12 International Business Machines Corporation Single tone process window metrology target and method for lithographic processing
US20030160163A1 (en) 2002-02-25 2003-08-28 Alan Wong Optical metrology target design for simultaneous measurement of multiple periodic structures
JP3971255B2 (ja) 2002-07-03 2007-09-05 株式会社東芝 露光量モニタ方法及び半導体デバイスの製造方法
US7352453B2 (en) * 2003-01-17 2008-04-01 Kla-Tencor Technologies Corporation Method for process optimization and control by comparison between 2 or more measured scatterometry signals
US7180576B2 (en) 2003-02-11 2007-02-20 Asml Netherlands B.V. Exposure with intensity balancing to mimic complex illuminator shape
US7119893B2 (en) 2003-04-10 2006-10-10 Accent Optical Technologies, Inc. Determination of center of focus by parameter variability analysis
AU2003300005A1 (en) 2003-12-19 2005-08-03 International Business Machines Corporation Differential critical dimension and overlay metrology apparatus and measurement method
US7534552B2 (en) 2004-12-23 2009-05-19 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
JP4580338B2 (ja) 2004-12-23 2010-11-10 エーエスエムエル ネザーランズ ビー.ブイ. リソグラフィ装置、エキシマ・レーザ、およびデバイス製造方法
US7439001B2 (en) 2005-08-18 2008-10-21 International Business Machines Corporation Focus blur measurement and control method
US7695876B2 (en) 2005-08-31 2010-04-13 Brion Technologies, Inc. Method for identifying and using process window signature patterns for lithography process control
DE102005046973B4 (de) 2005-09-30 2014-01-30 Globalfoundries Inc. Struktur und Verfahren zum gleichzeitigen Bestimmen einer Überlagerungsgenauigkeit und eines Musteranordnungsfehlers
JP4898419B2 (ja) 2006-01-05 2012-03-14 キヤノン株式会社 露光量のおよびフォーカス位置のオフセット量を求める方法、プログラムおよびデバイス製造方法
CN101827813A (zh) 2007-10-15 2010-09-08 Jsr株式会社 砜化合物、磺酸盐和放射线敏感性树脂组合物
NL1036245A1 (nl) 2007-12-17 2009-06-18 Asml Netherlands Bv Diffraction based overlay metrology tool and method of diffraction based overlay metrology.
NL1036597A1 (nl) 2008-02-29 2009-09-01 Asml Netherlands Bv Metrology method and apparatus, lithographic apparatus, and device manufacturing method.
NL1036856A1 (nl) 2008-04-24 2009-10-27 Asml Netherlands Bv Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method.
EP2149786A1 (en) 2008-08-01 2010-02-03 Unilever PLC Improvements relating to detergent analysis
US8129080B2 (en) 2008-09-19 2012-03-06 Tokyo Electron Limited Variable resist protecting groups
US20110295555A1 (en) 2008-09-30 2011-12-01 Asml Netherlands B.V. Method and System for Determining a Lithographic Process Parameter
EP2409195B1 (en) 2008-12-30 2019-05-08 ASML Netherlands BV Inspection method and apparatus
NL2004094A (en) 2009-02-11 2010-08-12 Asml Netherlands Bv Inspection apparatus, lithographic apparatus, lithographic processing cell and inspection method.
NL2004897A (en) 2009-06-25 2010-12-27 Asml Netherlands Bv Producing a marker pattern and measurement of an exposure-related property of an exposure apparatus.
CN102498441B (zh) 2009-07-31 2015-09-16 Asml荷兰有限公司 量测方法和设备、光刻系统以及光刻处理单元
JP2013502592A (ja) 2009-08-24 2013-01-24 エーエスエムエル ネザーランズ ビー.ブイ. メトロロジ方法および装置、リソグラフィ装置、リソグラフィプロセシングセル、およびメトロロジターゲットを備える基板
US10352875B2 (en) 2010-10-26 2019-07-16 Nikon Corporation Inspection apparatus, inspection method, exposure method, and method for manufacturing semiconductor device
NL2007765A (en) 2010-11-12 2012-05-15 Asml Netherlands Bv Metrology method and inspection apparatus, lithographic system and device manufacturing method.
IL217843A (en) 2011-02-11 2016-11-30 Asml Netherlands Bv A system and method for testing, a lithographic system, a cell for lithographic processing, and a method for producing a device
KR102057879B1 (ko) 2012-06-22 2019-12-20 에이에스엠엘 네델란즈 비.브이. 포커스를 결정하는 방법, 검사 장치, 패터닝 장치, 기판, 및 디바이스 제조 방법
US10698321B2 (en) 2012-11-21 2020-06-30 Kla-Tencor Corporation Process compatible segmented targets and design methods
NL2011706A (en) 2012-11-30 2014-06-04 Asml Netherlands Bv Method and apparatus for determining lithographic quality of a structure.
US10180628B2 (en) 2013-06-12 2019-01-15 Asml Netherlands B.V. Method of determining critical-dimension-related properties, inspection apparatus and device manufacturing method
KR102285895B1 (ko) 2014-03-31 2021-08-04 케이엘에이 코포레이션 산란측정 계측을 이용한 초점 측정
CN106662823B (zh) 2014-06-30 2018-10-19 Asml荷兰有限公司 确定剂量的方法、检查设备、图案形成装置、衬底以及器件制造方法
CN113204173B (zh) 2014-08-28 2024-04-09 Asml荷兰有限公司 检查设备、检查方法和制造方法
WO2016030255A2 (en) 2014-08-29 2016-03-03 Asml Netherlands B.V. Metrology method, target and substrate
WO2016078862A1 (en) 2014-11-21 2016-05-26 Asml Netherlands B.V. Metrology method and apparatus
CN107111245B (zh) 2014-12-19 2019-10-18 Asml荷兰有限公司 测量非对称性的方法、检查设备、光刻系统及器件制造方法
US9766554B2 (en) 2015-03-16 2017-09-19 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for estimating focus and dose of an exposure process
NL2016631A (en) 2015-04-21 2016-10-24 Asml Netherlands Bv Metrology method and apparatus, computer program and lithographic system.
IL302339B1 (en) 2015-06-12 2024-03-01 Asml Netherlands Bv Test device, test method, lithographic device, reticle device and manufacturing method
NL2016925A (en) 2015-06-18 2016-12-22 Asml Netherlands Bv Method of metrology, inspection apparatus, lithographic system and device manufacturing method
NL2017300A (en) 2015-08-27 2017-03-01 Asml Netherlands Bv Method and apparatus for measuring a parameter of a lithographic process, substrate and patterning devices for use in the method
NL2017466A (en) 2015-09-30 2017-04-05 Asml Netherlands Bv Metrology method, target and substrate

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101109910A (zh) * 2006-07-18 2008-01-23 Asml荷兰有限公司 检查方法及设备与光刻设备及器件制造方法
US20120044472A1 (en) * 2009-05-12 2012-02-23 Asml Netherlands B.V. Inspection Method for Lithography
WO2014082938A1 (en) * 2012-11-30 2014-06-05 Asml Netherlands B.V. Method of determining dose and focus, inspection apparatus, patterning device, substrate and device manufacturing method

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111226172A (zh) * 2017-10-17 2020-06-02 Asml荷兰有限公司 散射仪以及使用声学辐射的散射测量方法
US11536654B2 (en) 2017-10-17 2022-12-27 Asml Netherlands B.V. Scatterometer and method of scatterometry using acoustic radiation

Also Published As

Publication number Publication date
JP2017521709A (ja) 2017-08-03
KR20170015984A (ko) 2017-02-10
JP6393397B2 (ja) 2018-09-19
IL249462A0 (en) 2017-02-28
TW201606449A (zh) 2016-02-16
TWI597580B (zh) 2017-09-01
US9952517B2 (en) 2018-04-24
NL2014938A (en) 2016-03-31
CN106662823B (zh) 2018-10-19
WO2016000914A1 (en) 2016-01-07
US20160026096A1 (en) 2016-01-28

Similar Documents

Publication Publication Date Title
US9811003B2 (en) Metrology method and apparatus, substrate, lithographic system and device manufacturing method
CN104823113B (zh) 确定剂量和焦点的方法、检查设备、图案形成装置、衬底及器件制造方法
CN107111245B (zh) 测量非对称性的方法、检查设备、光刻系统及器件制造方法
CN106164775B (zh) 量测方法和设备、衬底、光刻系统和器件制造方法
US9069264B2 (en) Metrology method and apparatus, and device manufacturing method
CN106662823B (zh) 确定剂量的方法、检查设备、图案形成装置、衬底以及器件制造方法
US10180628B2 (en) Method of determining critical-dimension-related properties, inspection apparatus and device manufacturing method
US20130258310A1 (en) Metrology Method and Apparatus, Lithographic System and Device Manufacturing Method
CN108139682A (zh) 量测方法和设备、计算机程序及光刻系统
CN108292108A (zh) 计量目标、方法和设备、计算机程序和光刻系统
KR102217209B1 (ko) 메트롤로지 장치의 조정 또는 측정 타겟의 특성에 기초한 측정
KR102170147B1 (ko) 모듈레이션 기술을 이용한 메트롤로지를 위한 대체 타겟 디자인
US9958790B2 (en) Inspection methods, substrates having metrology targets, lithographic system and device manufacturing method
CN108475024A (zh) 用于在检查系统中聚焦的方法和装置

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20181019

Termination date: 20190609

CF01 Termination of patent right due to non-payment of annual fee