CN107924132A - 检查设备、检查方法和制造方法 - Google Patents

检查设备、检查方法和制造方法 Download PDF

Info

Publication number
CN107924132A
CN107924132A CN201580046689.4A CN201580046689A CN107924132A CN 107924132 A CN107924132 A CN 107924132A CN 201580046689 A CN201580046689 A CN 201580046689A CN 107924132 A CN107924132 A CN 107924132A
Authority
CN
China
Prior art keywords
radiation
image
scattering
target
target area
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201580046689.4A
Other languages
English (en)
Other versions
CN107924132B (zh
Inventor
A·J·登鲍埃夫
S·G·J·玛斯吉森
N·潘迪
S·威特
K·艾克玛
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASML Netherlands BV
Vrije Universiteit Amsterdam VU
Original Assignee
ASML Netherlands BV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASML Netherlands BV filed Critical ASML Netherlands BV
Priority to CN202110120313.0A priority Critical patent/CN113204173B/zh
Publication of CN107924132A publication Critical patent/CN107924132A/zh
Application granted granted Critical
Publication of CN107924132B publication Critical patent/CN107924132B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/956Inspecting patterns on the surface of objects
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • G03F7/70141Illumination system adjustment, e.g. adjustments during exposure or alignment during assembly of illumination system
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70641Focus
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03HHOLOGRAPHIC PROCESSES OR APPARATUS
    • G03H1/00Holographic processes or apparatus using light, infrared or ultraviolet waves for obtaining holograms or for obtaining an image from them; Details peculiar thereto
    • G03H1/04Processes or apparatus for producing holograms
    • G03H1/0443Digital holography, i.e. recording holograms with digital recording means
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N2201/00Features of devices classified in G01N21/00
    • G01N2201/12Circuits of general importance; Signal processing
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03HHOLOGRAPHIC PROCESSES OR APPARATUS
    • G03H1/00Holographic processes or apparatus using light, infrared or ultraviolet waves for obtaining holograms or for obtaining an image from them; Details peculiar thereto
    • G03H1/0005Adaptation of holography to specific applications
    • G03H2001/0033Adaptation of holography to specific applications in hologrammetry for measuring or analysing
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03HHOLOGRAPHIC PROCESSES OR APPARATUS
    • G03H1/00Holographic processes or apparatus using light, infrared or ultraviolet waves for obtaining holograms or for obtaining an image from them; Details peculiar thereto
    • G03H1/02Details of features involved during the holographic process; Replication of holograms without interference recording
    • G03H2001/0204Object characteristics
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03HHOLOGRAPHIC PROCESSES OR APPARATUS
    • G03H1/00Holographic processes or apparatus using light, infrared or ultraviolet waves for obtaining holograms or for obtaining an image from them; Details peculiar thereto
    • G03H1/04Processes or apparatus for producing holograms
    • G03H1/0443Digital holography, i.e. recording holograms with digital recording means
    • G03H2001/0454Arrangement for recovering hologram complex amplitude
    • G03H2001/0458Temporal or spatial phase shifting, e.g. parallel phase shifting method

Abstract

通过光刻过程在衬底(W)上形成量测目标。以空间相干辐射在不同的条件下照射包括一个或多个光栅结构的目标(T)。由所述目标区域衍射的辐射(650)与参考辐射(652)干涉,在图像检测器(623)处干涉形成干涉图案。捕获所述干涉图案的一个或多个图像。根据所捕获的图像和参考辐射的知识,计算检测器处的所收集的散射辐射的复数场。根据所述复数场,计算被每个光栅衍射的辐射的合成辐射量测图像(814、814’)。根据光栅衍射谱的相反部分的合成辐射量测图像(814、814’),获得对所述光栅中的不对称度的量度。使用合适的目标,可以根据所量度的不对称度,计算光刻过程的重叠和其他性能参数。

Description

检查设备、检查方法和制造方法
相关申请的交叉引用
本申请要求于2014年8月28日递交的欧洲申请EP14182658的优先权,并且通过引用将其全部内容并入到本文中。
技术领域
本发明涉及能够用于例如使用光刻技术在器件的制造过程中实施量测的检查设备和方法。本发明还涉及用在这种检查设备中的照射系统以及使用光刻技术制造器件的方法。本发明另外还涉及用于实施这些方法的计算机程序产品。
背景技术
光刻设备是一种将所需图案应用到衬底上,通常是衬底的目标部分上的机器。例如,可以将光刻设备用在集成电路(IC)的制造中。在这种情况下,可以将可选地称为掩模或掩模版的图案形成装置用于生成待形成在所述IC的单层上的电路图案。可以将该图案转移到衬底(例如,硅晶片)上的目标部分(例如,包括一部分管芯、一个或多个管芯)上。通常,图案的转移是通过把图案成像到设置在衬底上的辐射敏感材料(抗蚀剂)层上进行的。通常,单个的衬底将包含被连续形成图案的相邻目标部分的网络。
在光刻过程中,经常期望对所生成的结构进行测量,例如用于过程控制和验证。用于进行这种测量的多种工具是已知的,包括经常用于测量临界尺寸(CD)的扫描电子显微镜以及用于测量重叠(在器件中两个层的对准精度)的专用工具。近来,用于光刻领域的各种形式的散射仪已经被研发。这些装置将辐射束引导到目标上并测量被散射的辐射的一种或更多种性质(例如作为波长的函数的、在单个反射角处的强度;作为反射角的函数的、在一个或更多个波长处的强度;或作为反射角的函数的偏振),以获得衍射“光谱”,根据该衍射“光谱”可以确定目标的感兴趣的性质。
已知的散射仪的示例包括US2006033921A1和US2010201963A1中描述的类型的角分辨散射仪。这种散射仪所使用的目标是相对大的(例如40μm×40μm)光栅,测量束生成比光栅小的光斑(即光栅被欠填充)。除了通过重构进行的特征形状的测量外,还可以使用如在公开出版的专利申请US2006066855A1中描述的设备测量基于衍射的重叠。使用各个衍射级的暗场成像的基于衍射的重叠量测能够实现对较小目标的重叠测量。在国际专利申请WO2009/078708和WO2009/106279中可以发现暗场成像量测的示例,这些文献通过引用全文并入本文中。在公开的专利公开出版物US20110027704A、US20110043791A、US2011102753A1、US20120044470A、US20120123581A、US20130258310A、US20130271740A和WO2013178422A1中已经描述了所述技术的进一步发展。这些目标可以小于照射光斑并且可以被晶片上的产品结构围绕。使用复合光栅目标可以在一个图像中测量多个光栅。所有这些申请的内容通过参考也并入本文中。
在这种环境中将被用作量测工具的检查设备应该满足许多要求。实现这些要求通常涉及明显的折中方案。例如,具有低像差的高NA宽带光学装置导致通常由不同材料构成的许多透镜元件。这些元件中的每个元件对内部散射有贡献,这样的内部散射限制弱的目标的可检测性。并且,变得越来越难于在大的波长范围内具有好的抗反射涂层。具有如此多的光学部件使得设备难于根据量测应用而被适当地调节。
发明内容
本发明旨在提供一种用于实施上述类型的测量的替代的检查设备和方法。
根据本发明的第一方面,提供了一种用于测量目标结构的性质的检查设备,所述检查设备包括辐射源和与光学系统结合的图像检测器,所述光学系统限定以下束路径:
-照射路径,所述照射路径用于从所述辐射源接收辐射、形成空间相干的照射辐射的束和将所述照射辐射传递至衬底上的目标区域上;
-收集路径,所述收集路径用于从所述目标区域收集非零级散射辐射的至少一部分和将所收集的散射辐射传递至所述图像检测器;以及
-参考路径,所述参考路径用于将参考辐射传递至所述图像检测器,其中所述参考辐射是与散射辐射相干的,以便与所述散射辐射干涉并且在所述图像检测器处形成干涉图案,其中所述图像检测器被配置用于捕获所述干涉图案的一个或多个图像,并且其中所述检查设备还包括处理器,所述处理器被布置用于(i)接收表示所述一个或多个被捕获的图像的图像数据、(ii)根据所述图像数据和所述参考辐射的知识计算所述检测器处的所述散射辐射的复数场和(iii)根据所述复数场计算被目标区域内的周期性结构衍射的辐射的合成辐射量测图像。
这种设备可以用于执行所谓的“无透镜”成像。这避免了与复杂的宽带高NA物镜有关的难题。所获得并用于测量目标的性质的图像被称为“合成图像”,因为其在现实世界中并不存在;该合成图像只是作为数据存在,并且是根据表示复数场的数据计算得到的。可以设计复数场的计算并且之后设计合成图像的计算,以仿真想要在理想物理光学系统中执行的对辐射的处理。优点在于:这种理想物理光学系统在现实世界中是不能实现的,但在计算世界中可以实现。虽然所述系统被称为“无透镜的”,但并不排除包含例如用于准直、放大等的一个或多个光学元件。重点在于,合成图像的品质不再依赖于光学部件的品质。
“辐射量测”成像意味着使图像作为方向的函数来量化地表示被散射的辐射的强度、幅值和/或相位。在本申请中,辐射量测成像用于创建周期性结构的衍射效率的空间变化的图像。在基于小目标衍射量测的示例中,这些结构可以是小光栅。在本申请中,收集图像中的散射/衍射光,并根据该散射光计算所需的辐射量测图像。图像传感器可以定位成靠近目标区域,从而收集宽的不同角度的范围内的辐射。
目标区域中的“结构”可以是任意的。但是,在本公开中感兴趣的应用中,应该理解,目标区域中的结构可以包括其性质对于监测光刻过程的性能是感兴趣的一个或多个目标结构。结构的“衍射效率”是指沿给定方向发射的照射辐射的比例。对于目标区域内的周期性结构的情形,可以在检测器所捕获的角度范围内,测量每个衍射级的衍射效率。
在适于测量诸如重叠、聚焦或剂量等性能参数的实施例中,所述处理器还可以被布置用于(iv)在不同条件下重复步骤(i)至(iii)并且计算所述同一目标区域的至少一个另外的合成辐射量测图像以及(v)根据所述多个合成辐射量测图像计算所述目标结构中的不对称度的量度。
本发明还提供了一种用于测量目标结构的性质的方法,所述方法包括步骤:
(a)以空间相干照射辐射照射衬底上的目标区域;
(b)从所述目标区域收集非零级散射辐射的至少一部分,并将所收集的散射辐射传递至图像检测器;
(c)将参考辐射的束传递至所述图像检测器,其中所述参考辐射是与散射辐射相干的,以便与所收集的散射辐射干涉并且在所述图像检测器处形成干涉图案;
(d)用所述图像检测器捕获所述干涉图案的一个或多个图像;
(e)根据表示所捕获的一个或多个图像的图像数据和所述参考辐射的知识,计算所述检测器处的所收集的散射辐射的复数场;
(f)根据所计算的复数场,计算被目标区域内的周期性结构衍射的辐射的合成辐射量测图像。
本发明还提供了一种制造器件的方法,其中通过光刻过程在一系列衬底上形成器件特征和量测目标,其中用上述根据本发明的方法测量一个或多个已处理的衬底上的量测目标的性质,以及其中所测量的性质用于调整光刻过程的参数,以处理其他衬底。
本发明还提供了一种计算机程序产品,包含一个或多个机器可读指令序列,用于实现上述根据本发明的方法中的一个或多个计算步骤。
通过考虑以下关于示例性实施例的描述和附图,将理解这里所公开的设备和方法的这些和其他方面和优点。
附图说明
在此仅仅以示例的方式参照示意性的附图对本发明的实施例进行描述,在附图中相应的附图标记指示对应的部件,并且在附图中:
图1示出光刻设备;
图2示出根据本发明的检查设备能够用于其中的光刻单元或集群(cluster);
图3示意性示出适于实施已知的暗场成像检查方法的检查设备;
图4示出包括多个单个的目标光栅的复合量测目标;
图5示出被图4的设备捕获的图4的目标的图像;
图6示意性示出(a)根据本发明第一实施例的经修改的检查设备,具有(b)可选的光学收集系统的插图细节;
图7示意性示出根据本发明第二实施例的经修改的检查设备;
图8示出根据本发明实施例的使用例如图6、7、9或10的设备测量目标结构的性质的方法;
图9示出用于并行地测量多个目标的性质的检查设备的第四实施例。
具体实施方式
在详细地描述本发明的实施例之前,呈现本发明的实施例可以实施的示例环境是有意义的。
图1示意地示出了光刻设备LA。所述设备包括:照射系统(照射器)IL,其配置用于调节辐射束B(例如,UV辐射或DUV辐射);图案形成装置支撑件或支撑结构(例如掩模台)MT,其构造用于支撑图案形成装置(例如掩模)MA,并与配置用于根据特定的参数精确地定位图案形成装置的第一定位装置PM相连;两个衬底台(例如晶片台)WTa和WTb,每个衬底台被构造用于保持衬底(例如,涂覆有抗蚀剂的晶片)W,并且每个衬底台与配置用于根据特定的参数精确地定位衬底的第二定位装置PW相连;和投影系统(例如折射式投影透镜系统)PS,其配置成用于将由图案形成装置MA赋予辐射束B的图案投影到衬底W的目标部分C(例如包括一根或更多根管芯)上。参考框架RF连接各个部件,并且用作用于设置和测量图案形成装置和衬底的位置以及在它们上的特征的位置的参考物。
照射系统可以包括各种类型的光学部件,例如折射型、反射型、磁性型、电磁型、静电型或其它类型的光学部件、或其任意组合,以引导、成形或控制辐射。
所述图案形成装置支撑件以依赖于图案形成装置的方向、光刻设备的设计以及诸如例如图案形成装置是否保持在真空环境中等其他条件的方式保持图案形成装置。所述图案形成装置支撑件可以采用机械的、真空的、静电的或其它夹持技术来保持图案形成装置。所述图案形成装置支撑件MT可以是框架或台,例如,其可以根据需要成为固定的或可移动的。所述图案形成装置支撑件可以确保图案形成装置位于所需的位置上(例如相对于投影系统)。
这里所使用的术语“图案形成装置”应该被广义地理解为表示能够用于将图案在辐射束的横截面上赋予辐射束、以便在衬底的目标部分上形成图案的任何装置。应该注意的是,赋予辐射束的图案可能不与衬底的目标部分上的所需图案精确地对应(例如,如果所述图案包括相移特征或所谓的辅助特征)。通常,被赋予辐射束的图案将与在目标部分上形成的器件中的特定的功能层相对应,例如集成电路。
如这里所示的,所述设备是透射型的(例如使用透射型图案形成装置)。可替代地,所述设备可以是反射型的(例如使用如上所述类型的可编程反射镜阵列,或者使用反射掩模)。图案形成装置的示例包括掩模、可编程反射镜阵列以及可编程LCD面板。文中对术语“掩模版”或“掩模”的任何使用可被认为与更上位的术语“图案形成装置”是同义的。术语“图案形成装置”还可以解释为是指以数字形式存储用于控制这种可编程图案形成装置的图案信息的装置。
这里使用的术语“投影系统”可以广义地解释为包括任意类型的投影系统,包括折射型、反射型、反射折射型、磁性型、电磁型和静电型光学系统、或其任意组合,如对于所使用的曝光辐射所适合的、或对于诸如使用浸没液或使用真空之类的其他因素所适合的。这里使用的任何术语“投影透镜”可以认为是与更上位的术语“投影系统”同义。
所述光刻设备还可以是这种类型:其中衬底的至少一部分可以由具有相对高的折射率的液体(例如水)覆盖,以便填充投影系统和衬底之间的空间。浸没液体还可以施加到光刻设备中的其他空间,例如掩模和投影系统之间的空间。浸没技术用于提高投影系统的数值孔径在本领域是熟知的。
在操作中,照射器IL接收来自辐射源SO的辐射束。所述源和光刻设备可以是分立的实体(例如当该源为准分子激光器时)。在这种情况下,不会将该源考虑成形成光刻设备的一部分,并且通过包括例如合适的定向反射镜和/或扩束器的束传递系统BD的帮助,将所述辐射束从所述源SO传到所述照射器IL。在其它情况下,所述源可以是所述光刻设备的组成部分(例如当所述源是汞灯时)。可以将所述源SO和所述照射器IL、以及如果需要时设置的所述束传递系统BD一起称作辐射系统。
所述照射器IL可以例如包括用于调整所述辐射束的角强度分布的调整器AD、整合器IN和聚光器CO。所述照射器可以用于调节所述辐射束,以在其横截面中具有所需的均匀性和强度分布。
所述辐射束B入射到保持在图案形成装置支撑件MT上的所述图案形成装置MA上,并且通过所述图案形成装置来形成图案。已经穿过图案形成装置(例如,掩模)MA之后,所述辐射束B通过投影系统PS,所述投影系统将辐射束聚焦到所述衬底W的目标部分C上。通过第二定位装置PW和位置传感器IF(例如,干涉仪器件、线性编码器、二维编码器或电容传感器)的帮助,可以精确地移动所述衬底台WTa或WTb,例如以便将不同的目标部分C定位于所述辐射束B的路径中。类似地,例如在从掩模库的机械获取之后或在扫描期间,可以将所述第一定位装置PM和另一个位置传感器(在图1中没有明确地示出)用于相对于所述辐射束B的路径精确地定位图案形成装置(例如掩模)MA。
可以使用掩模对准标记M1、M2和衬底对准标记P1、P2来对准图案形成装置(例如掩模)MA和衬底W。尽管所示的衬底对准标记占据了专用目标部分,但是它们可以位于目标部分(这些公知为划线对齐标记)之间的空间中。类似地,在将多于一个的管芯设置在图案形成装置(例如掩模)MA上的情况下,所述掩模对准标记可以位于所述管芯之间。小的对准标记也可以被包括在管芯内、在器件特征之间,在这种情况下,期望所述标记尽可能小且不需要任何与相邻的特征不同的成像或处理条件。检测对准标记的对准系统将在下文中进一步描述。
所示的设备可以用在多种模式中。在扫描模式中,在对图案形成装置支撑件(例如掩模台)MT和衬底台WT同步地进行扫描的同时,将赋予所述辐射束的图案投影到目标部分C上(即,单一的动态曝光)。衬底台WT相对于图案形成装置支撑件(例如掩模台)MT的速度和方向可以通过所述投影系统PS的(缩小)放大率和图像反转特性来确定。在扫描模式中,曝光场的最大尺寸限制了单一的动态曝光中的所述目标部分的宽度(沿非扫描方向),而所述扫描移动的长度确定了所述目标部分的高度(沿扫描方向)。也可以有其他类型的光刻设备和操作模式,如在现有技术中已知的。例如,步进模式是已知的。在所谓的“无掩模”光刻术中,可编程图案形成装置被保持静止,但是具有变化的图案,衬底台WT被移动或扫描。
也可以采用上述使用模式的组合和/或变体,或完全不同的使用模式。
光刻设备LA是所谓的双平台类型,其具有两个衬底台WTa、WTb和两个站——曝光站EXP和测量站MEA,在曝光站和测量站之间衬底台可以被进行交换。当一个衬底台上的一个衬底在曝光站被进行曝光时,另一衬底可以被加载到测量站处的另一衬底台上且执行各种预备步骤。这能够实质地增加设备的生产率。所述预备步骤可以包括使用水平传感器LS对衬底的表面高度廓线进行绘图和使用对准传感器AS测量衬底上的对准标记的位置。如果当衬底台处于测量站以及处于曝光站时,位置传感器IF不能测量衬底台的位置,则可以设置第二位置传感器来使得衬底台相对于参考框架RF的位置能够在两个站处被追踪。替代所示出的双平台布置,已知且可使用其他的布置。例如,其中设置有衬底台和测量台的其他光刻设备是已知的。这些台在执行预备测量时是停靠在一起的,当衬底台经历曝光时分开。
如在图2中所示,光刻设备LA形成光刻单元LC(有时也称为光刻元或者光刻集群)的一部分,光刻单元LC还包括用以在衬底上执行曝光前和曝光后处理的设备。传统上这些包括用以沉积抗蚀剂层的旋涂器SC、用以对曝光后的抗蚀剂显影的显影器DE、激冷板CH和烘烤板BK。衬底操纵装置或机械人RO从输入/输出口I/O1、I/O2拾取衬底,然后将它们在不同的处理设备之间移动,然后将它们传递到光刻设备的进料台LB。经常统称为轨道的这些装置处在轨道控制单元TCU的控制之下,所述轨道控制单元TCU自身由管理控制系统SCS控制,所述管理控制系统SCS也经由光刻控制单元LACU控制光刻设备。因此,不同的设备可以被操作用于将生产率和处理效率最大化。
为了使被光刻设备曝光的衬底被正确地、一致地被曝光,希望检查被曝光的衬底、以测量各种性质,诸如连续层之间的重叠误差、线厚度、临界尺寸等等。由此,光刻元LC位于其中的制造设施还包括量测系统MET,所述量测系统MET接收已经在光刻元中被处理的衬底中的一些衬底或全部衬底。量测结果被直接或间接地提供至管理控制系统SCS。如果检测到误差,则可以对后续衬底的曝光进行调整,尤其是如果可以很快地进行检查,快得足以使相同批次的其他衬底还处于将被曝光。并且,已经曝光的衬底可以被剥去而进行重新加工,以提高产品良率,或者是被丢弃,由此避免对已知为有缺陷的衬底实施进一步的处理。在衬底的仅仅一些目标部分是有缺陷的情况中,可以仅对良好的那些目标部分实施进一步的曝光。
在量测系统MET中,使用检查设备确定衬底的性质,尤其是确定不同衬底或相同衬底的不同层的性质如何在层之间变化。检查设备可以集成到光刻设备LA或光刻元LC中,或者可以是独立的设备。为了使得能够最快速地进行测量,希望检查设备在曝光之后即刻测量被曝光的抗蚀剂层中的性质。然而,在抗蚀剂中的潜像具有非常低的对比度-在抗蚀剂的已经被曝光至辐射中的部分与那些未曝光至辐射中的部分之间的折射率的差非常小-并且不是所有的检查设备具有足够的灵敏度以进行潜像的有用测量。因此,可以在曝光后的烘烤步骤(PEB)之后进行测量,曝光后的烘烤步骤通常是对被曝光的衬底实施的第一步骤并且增加抗蚀剂的曝光部分与未曝光部分之间的对比度。在该阶段,在抗蚀剂中的图像可以称为半潜像。还可以对被显影的抗蚀剂图像进行测量-在这时抗蚀剂的曝光部分或者未曝光部分已经被移除-或者在诸如蚀刻等图案转移步骤之后进行测量。后一种可能性限制了重新加工有缺陷衬底的可能性,但是仍可以提供有用的信息。
图3(a)示意性示出实施所谓暗场成像量测术的检查设备的主要元件。设备可以是独立的装置或者合并到例如在测量站处的光刻设备LA中或者合并到光刻单元LC中。光轴由虚线O表示,其有多个贯穿设备的分支。在图3(b)中更详细地示出目标光栅结构T和衍射光线。
如在背景技术中引用的现有申请中所描述的,图3(a)的暗场成像设备可以是多用途角分辨散射仪的一部分,可替代光谱散射仪使用该多用途角分辨散射仪或者除了光谱散射仪还使用多用途角分辨散射仪。在该类型的检查设备中,由辐射源11发出的辐射被照射系统12调节。例如,照射系统12可以包括准直透镜系统、彩色滤光片、偏振片和孔装置。被调节的辐射遵循照射路径,在照射路径中它部分地被反射表面15反射并且通过显微镜物镜16聚焦成衬底W上的光斑S。量测目标T可以形成在衬底W上。透镜16具有高数值孔径(NA),优选至少0.9,更优选至少0.95。浸没流体可以被用于获得高于1的数值孔径(如果期望)。多用途散射仪可以具有两个或多个测量分支。附加地,另外的光学系统和分支将包括在实际的设备中,例如以收集参考辐射用于强度标准化或归一化、捕获目标的粗成像、聚焦等等。这些的细节可以在上述的现有出版文献中找到。出于本公开的目的,仅仅详细描述和示出感兴趣的用于暗场成像量测的测量分支。
在用于暗场成像的收集路径中,成像光学系统21在传感器23(例如CCD或CMOS传感器)上形成衬底W上的目标的图像。孔光阑20设置在收集路径中的平面P’中。平面P’是与物镜16的光瞳平面P(未示出)共轭的平面。孔光阑20还可以称为光瞳光阑。孔光阑20可以采用不同的形式,正如照射孔可以采用不同的形式。与透镜16的有效孔相结合,孔光阑20确定散射辐射的什么部分被用于产生传感器23上的图像。典型地,孔光阑20用于阻挡零级衍射束,使得形成在传感器23上的目标的图像仅仅由第一级束来形成。在两个第一级束被组合以形成图像的示例中,这将是所谓的暗场图像,等同于暗场显微术。然而,在本申请中,一次仅成像两个第一级中的一个,如以下所解释的。被传感器23捕获的图像被输出至图像处理器和控制器PU,这些装置的功能将依赖于正在被实施的测量的具体类型。出于当前目的,实施目标结构的不对称度的测量。不对称度测量结果可以与目标结构的知识相结合,以获得用于形成目标结构的光刻过程的性能参数的测量值。可以以这样的方式被测量的性能参数包括例如重叠、聚焦和剂量。
在量测目标T设置在衬底W上的情形中,这可以是1维光栅,被印制成使得在显影之后,栅条由实心的抗蚀剂线形成。目标可以是2维光栅,被印制成使得在显影之后,光栅由实心抗蚀剂柱或抗蚀剂中的通孔形成。栅条、柱或通孔可以替代地蚀刻在衬底中。这些光栅中的每个光栅是其性质可以使用检查设备被调查的目标结构的示例。
照射系统12的各个部件是可调整的,以在相同的设备中实施不同的量测“方案”。除了选择波长(颜色)和偏振作为具体的照射系统12的特性,具体的照射系统12也可以被调整用于实现不同的照射轮廓。因为平面P”与物镜16的光瞳平面P和检测器19的平面是共轭的,所以平面P”中的照射轮廓限定了入射到衬底W上的光在光斑S中的角分布。为了实现不同的照射轮廓,可以在照射路径中设置孔装置。孔装置可以包括安装在可移动滑块或轮上的不同孔。可替代地,孔装置可以包括可编程空间光调制器。作为另一替代方案,可以将光纤放置在平面P”中的不同部位处,并且可选择地被用于在它们的各自部位处传递光或不传递光。这些变更方式在以上引用的文献中都有讨论和举例说明。
在第一示例照射模式中,光线30a被提供使得入射角被示出在“I”处,并且被目标T反射的零级光线的路径被标记为“0”(不要与光轴“O”相混淆)。在第二照射模式中,可以提供光线30b,在该情况中入射角和反射角将交换。这两个照射模式将被认为是离轴照射模式。可以出于不同的目的而实现许多不同的照射模式。
如在图3(b)中更详细示出的,目标光栅T作为目标结构的示例被放置,其中衬底W垂直于物镜16的光轴O。在离轴照射轮廓的情况下,从偏离光轴O的一角度入射到光栅T上的照射光线I引起零级光线(实线0)和两个第一级光线(点线+1和双点线-1)。应该记住,利用被过填充的小目标光栅,这些光线只是覆盖衬底的、包括量测目标光栅T和其他特征的区域的许多平行光线的其中之一。由于照射光线30a的束具有有限的宽度(对允许有用量的光进入是必须的),入射光线I实际上将占据一角度范围,并且衍射光线0和+1/-1将稍微有点被展开。根据小目标的点扩展函数,每级+1和-1将在一角度范围上被进一步展开,而不是如所示的为单一理想光线。
还参考图3(a),在具有光线30a的第一照射模式中,来自目标光栅的+1级衍射光线将进入物镜16并且对传感器23处记录的图像有贡献。当使用第二照射模式时,光线30b以与光线30a相反的角度入射,因此-1级衍射光线进入物镜并且对图像有贡献。当使用离轴照射时,孔光阑20阻挡零级辐射。如在现有的公开文献中描述的,照射模式可以被限定具有在X和Y方向上的离轴照射。
通过将这些不同照射模式下的目标光栅的图像进行比较,可以获得不对称度测量。替代地,可以通过保持相同的照射模式、但是旋转目标来获得不对称度测量。虽然示出离轴照射,但是替代地可以使用目标的轴上照射,并且可以使用经修改的离轴孔20、以大致上仅仅使衍射光的一个第一级通过至传感器。在另一示例中,在孔光阑20的位置中使用棱镜,其具有将+1和-1级转移至传感器23上的不同部位处的效果,使得+1和-1级能够被检测和比较,而不需要两个连续图像捕获步骤。该技术在上述公开的专利申请US2011102753A1中被公开,其内容通过引用被并入本文中。替代第一级束或者除了第一级束,还可以将第二、第三和更高级束(在图3中没有示出)用在测量中。作为另一变更方式,离轴照射模式可以被保持不变,而目标本身在物镜16的下面被旋转180度,以使用相反的衍射级捕获图像。
图4示出根据已知实践的形成在衬底W上的复合目标。复合目标包括靠近地定位在一起的四个光栅32至35,使得这四个光栅将都在量测设备的照射束所形成的测量光斑S内。圆31表示衬底W上的光斑S的范围。因此,四个目标都同时被照射和同时被成像到传感器23上。在专用于重叠测量的示例中,光栅32至35它们本身是由重叠光栅形成的复合光栅,其中重叠光栅在形成于衬底W上的半导体器件的不同层中被图案化。光栅32至35可以具有被不同偏置的重叠偏移,以便便于在复合光栅的不同部分形成所在的层之间的重叠测量。光栅32至35也可以具有不同的取向,如图所示,以便在X方向和Y方向上衍射入射的辐射。在一个示例中,光栅32和34分别是具有+d、-d偏置的X方向光栅。这意味着,光栅32具有其重叠分量或成分,所述重叠分量或成分布置成使得如果它们都恰好被印制在它们的名义位置上,则所述重叠分量或成分之一将相对于另一重叠分量或成分偏移距离d。光栅34具有其分量或成分,所述分量或成分布置成使得如果被完好地印制,则将存在d的偏移,但是该偏移的方向与第一光栅的相反,等等。光栅33和35分别是具有偏移+d和-d的Y方向光栅。这些光栅的独立的图像可以在被传感器23捕获的图像中被识别。尽管四个光栅被示出,但是另一实施例可能需要更大的矩阵来获得所期望的精度。
图5示出可以在图3的设备中使用图4的目标、使用同时在X取向和Y取向上提供离轴照射的照射轮廓而形成在传感器23上并由传感器23检测的图像的示例。暗矩形40表示传感器上的像场,其中衬底上的照射光斑31被成像到相应的圆形区域41中。在该区域中,矩形区域42-45表示小目标光栅32至35的图像。如果光栅位于产品区域中,则产品特征也可以在该像场的周边处是可见的。图像处理器和控制器PU使用模式或图案识别来处理这些图像,以识别光栅32至35的独立的图像42至45。以这种方式,图像不必非常精确地在传感器框架内的特定位置处对准,这在整体上极大地提高了测量设备的生产率。然而,如果成像过程受到像场上的不均匀性的影响,则保持对精确对准的要求。在本发明的一个实施例中,四个位置P1至P4被识别且光栅被与这些已知的位置尽可能多地对准。
一旦光栅的独立的图像已经被识别,那些各个图像的强度可以被测量,例如通过对所识别的区域中的所选的像素强度值进行平均或求和来测量。图像的强度和/或其它性质可以相互对比,以获得对于四个或更多个光栅的不对称度的同时测量。这些结果可以与目标结构和偏置方案的知识相组合,以测量光刻过程的不同的参数。重叠性能是这种参数的重要示例,也是两个光刻层的横向对准的度量。重叠可以被更具体地定义,例如,可以被定义为在底光栅的顶部的中心与相应的顶光栅的底部的中心之间的横向位置差。为了获得光刻过程的其他参数的测量,可以使用不同的目标设计。再次,目标设计和偏置方案的知识可以与不对称度测量结果相结合,以获得所期望的性能参数的测量。已知目标设计例如用于根据这样获得的不对称度测量结果来获得剂量或聚焦的测量结果。
除了通过以上所述类型的暗场成像进行的不对称度测量外,还可以通过目标的直接成像进行重叠和其他参数的测量。
在诸如半导体制造等工业中所需的量测的量和精度一直是增加的。将在这种环境中被用作量测工具的检查设备应该满足许多要求。可以规定大的波长范围,例如从300nm至远超于1000nm。增加的精度需要具有低像差的高NA光学装置,以允许小的管芯内目标。使用周期性光栅结构作为目标的基于衍射的测量在基于图像的量测中变得有利。工具应该能够测量非常“弱”的目标,其中“弱”的目标表示由于在重叠层中的材料吸收而具有低衍射效率的目标。目标结构的特征可以被分段,这进一步降低目标的衍射效率。被分段的结构由较小的子结构的集合而形成。子结构被设计为尺寸更接近将通过调查被光刻过程形成的产品特征。
实现这些要求通常涉及显著的折中或妥协。例如,具有低像差的高NA宽带光学装置导致许多通常由不同材料构成的透镜元件。这些元件中的每一个元件对限制弱目标的可检测性的内部散射有贡献。并且,越来越难于在大的波长范围上具有良好的抗反射涂层。具有如此多的光学部件导致难于针对量测应用被正确调整的设备。
图6示意性示出用于实施与图3-5中的那些测量相类似的测量的检查设备。在该设备中,使用“无透镜成像”或相干衍射成像(CDI)的改进形式。还与数字全息术相关的CDI是已经建议用在显微术中的技术。在本公开中,CDI技术适于在衍射结构上实施量测,例如光栅结构的不对称度的测量。虽然不是必须完全无透镜,但所公开的设备避免需要非常复杂的高NA的宽带物镜和其他元件,这是为了满足未来应用中的性能需求所必须的。
图6(a)的设备包括辐射源611和图像传感器623。在该示例中辐射源611供给空间相干的辐射束630,不像已知设备中的源11。源611可以通过一个或多个窄带(单色的)激光源来形成,在这种情况中辐射将是空间相干的并且是时间相干的。替代地,并且假设在当前示例中,源611可以是空间相干的且具有低时间相干的宽带源。这种源可以是所谓的超连续光谱源或者“白光激光”。源611可以用照射系统612中的其他装置补充,从而以期望形式传递束630。例如,在一些实施例中源611和照射系统可以包括波长选择器613(以虚线示出)。这种波长选择器可以例如是声光可调滤光器(AOTF)。
图像传感器623可以是与已有设备中用作传感器23类似的CCD或CMOS传感器。如在已知的检查设备中,可以通过设置孔装置、可编程空间光调制器或空间分布滤光器来实现不同的照射模式。
在从源611至目标T的照射路径中,照射光学系统包括简单的反射镜640和低NA透镜642。透镜642将照射辐射束630在衬底W上的量测目标T的位置处聚焦成光斑S。定位系统(例如类似于光刻设备LA中的定位系统PW)将衬底W和目标T移至束630的焦点。光斑可以具有与图3-5中的光斑的尺寸和形状类似的尺寸和形状,例如大体上为直径为10至80μm的圆形,例如20至50μm,或40μm左右。在照射辐射束630以图示的倾斜角度入射的实施例中,光斑S可以是非圆形的,或者可以应用畸变光学装置以实现圆形光斑。为了简单起见,被(在零级处衍射的)目标反射的辐射646被示出为在648处截止(dumped)。在实际实施例中,可以使用反射的(零级)辐射,例如以确定作为位置控制机构的一部分的衬底的聚焦位置。包括被目标T散射的辐射的期望部分的辐射650被传感器623收集。不需要高NA物镜来收集物体辐射,辐射可以直接从目标传至传感器。在实际示例中,可以设置简单的收集光学系统,例如至少粗略地准直束(减少发散)。这种收集光学系统可以是简单的透镜,被示意性地示出在(b)处的插图中。不过仍然消除了复杂的高NA物镜。照射辐射可以在目标区域处被直接引导,绕过收集光学系统。这帮助避免由于光学系统的元件内的照射辐射的散射而导致的噪音。
除了收集的散射辐射650之外,参考辐射652也传递至传感器623。散射的辐射650和参考辐射652源自相同的源611,以便依赖于它们在传感器上的每个像素处的相对相位而彼此相干,结果形成在传感器处的干涉图案。在图示的示例中,通过用分束器654将照射辐射630的一部分分离并且经由可移动反射镜656、发散透镜658和折叠反射镜660将它传送至传感器,而获得参考辐射652。参考辐射650用在传感器623的场上具有相对均匀的幅值的“参考波”照射或泛射图像传感器623。参考波沿着相对于系统的光轴倾斜一定义好的角度的方向传播,使得参考波具有定义好的幅值和相位。可以被称为物波的散射辐射650具有未知的幅值和相位。
作为将照射辐射的一部分分离、以形成参考波的替代方案,也可以设置所谓的“自参考”布置。在那种情况中,高级散射场本身的一部分被分离,用作参考波。例如,通过用散射场干涉散射场的剪切复制,可以使自干涉布置起作用。
如将在下面进一步解释的,参考波与物波之间的干涉在传感器623上给出所得到的强度分布,其中该强度分布可以被处理器PU使用来计算散射物波的复数(complex)辐射场(“复数”这里意味着幅值和相位)。图像数据662被传递至处理器PU,表示这些捕获到的强度分布中的一个或更多个。然后,可以使用波传播算法来计算合成图像,而不需要成像光学装置21。
参考波不必是以一倾斜角度设置。然而,通过使用倾斜角度,可以在目标上引入具有高空间频率并且能够用于“解调制”来自单个图像采集的相位信息的条纹图案。参考波的角度不必太大,小于波长除以两倍的像素阵列节距(λ/(2*像素尺寸))。在典型的设置中,例如3-4度足以。没有该高频条纹图案,可以例如通过“相位步进”获得相位信息。如下所述,用于相位步进的一个方法是获取多个图像、同时改变参考束的相对相位。虽然可以这样做,但是这会对设置的稳定性提出非常严苛的要求,因此倾斜参考束是有利的。在其他方法中,可以通过空间调制来进行相位步进,使得在所谓的“超像素”中发现不同的相位阶跃。
传感器安置和它的像素阵列的节距应该被确定为使得像素阵列提供对干涉图案的充分采样。作为一个粗略的指导,像素间隔(节距)应该小于λ/2d,其中λ是照射辐射630中的(最长)波长,d是从目标T至图像传感器630的间隔。在实际示例中,间隔d可以是1cm的量级。传感器尺寸在每个方向上(X和Y)可以是d的几倍,例如是d的五倍或更多倍,是d的十倍或者甚至更高。在这一点上,将注意,图6和7中的视图在比例上是非常失真的,以允许清楚地示出光学系统。实际中,传感器可以比图中所建议的更靠近目标或者在范围上非常宽。例如,传感器可以具有距离d和长度/范围L,使得当从目标T看时它对应相对宽的角度e。角度e在每个维度上可以是100度以上,例如135度以上,例如大约150度。如在插图6(b)中所示,简单的准直透镜664可以用于增大至传感器的物理距离,同时仍然捕获散射辐射的大角度范围。传感器的范围不需要如所示的以目标定中心。仅仅需要基于照射辐射的入射角、照射辐射的波长和周期性光栅的节距而将传感器定位成用于捕获期望的衍射级。
例如在参考波的传送方面可以有变更方式。在所示的示例中,可移动反射镜656可以用于路径长度补偿,调整物波与参考波之间的光学路径差。如果源611是宽带源,诸如白光激光器,则利用反射镜的步进允许在大的波长范围上对复数辐射场进行光谱测量。由于宽带源的相干长度是相对小的,因此可以通过捕获图像、同时步进通过宽的位置范围来操作设备。这些位置中的仅与接近零的路径长度差相对应的一些位置将在相干长度内。其他位置将不产生复数场图像。应该注意,路径长度差可以在反射镜656的给定位置处、在传感器上的不同部位处是不同的。结果,在被采样的远场中的每个点在反射镜的不同位置处将具有最大条纹对比度。为了计算特定波长的相位/幅值,在计算中将仍然需要包括来自多个图像的信息。在低干涉源的情况中,将在整个图像上得到对比度变化。这会用测试目标上的测试测量来校准。
除了缓和对于像场的给定尺寸的设计挑战,消除复合物镜还允许实现更大的视场,这对于传统的光学装置来说简直不可能。代替光栅的2x2阵列,例如复合目标可以用视场内的5x2光栅、甚至是5x4光栅来成像。
为了获得明确的复数辐射场信息,可移动反射镜656的步进远远小于照射辐射的(最长)波长。在高容量制造示例(诸如半导体器件制造)中的目标的测量中,每次测量所花费的时间是至关重要的,不仅包括用于图像捕获本身的时间,而且包括在图像捕获之前用于移动和获取每个目标所花费的时间。一旦获得目标,步进移动反射镜656的同时捕获多个图像不可能显著增加整体量测时间。因此,在实际中所采用的步骤的数量可能非常大,即使被捕获的图像中的许多图像在后续的分析中贡献很小或者没有贡献。并且,如果获得较大的视场,则可以在一个捕获操作中测量多个单个的光栅或者其他目标结构。
在其他示例中,不需要移动诸如可移动反射镜656等部件就能实现相位步进。例如,反射或透射空间光调制器可以在较大的“超像素”中的不同像素位置处设置有不同的相位阶跃。可以通过将阶跃蚀刻在适当的材料或者通过更多的外部装置来实现不同的相位阶跃。例如基于液晶的空间光调制器可以用于调制相位。在其他的示例中,替代参考波的路径长度或者除了参考波的路径长度,参考波的波长也可以变化。假设波长和入射角是已知的,则可以计算复数辐射场。通过将滤光器插入照射路径中,和/或通过选择不同的辐射源,或调节可调源,可以进行波长选择。
换言之,通过用恒定的波长改变路径长度差、通过用恒定的路径长度差改变波长或者通过两种改变的组合,能够获得相位信息。如果期望,可以在散射之后应用波长选择。例如,波长选择滤光器可以插入图像传感器623的前面并且在捕获操作之间被改变。可以设置多个图像传感器623,并且用波长选择分束器分开收集路径。可以使相同图像传感器623中的不同像素对不同波长敏感,例如采用单芯片彩色图像传感器上的RGB滤色片阵列的方式。
图7示出另一变更方式。大多数部件与图6中示出的那些类似,且使用相同的附图标记。主要的不同是参考辐射652不直接从照射辐射630获取,而是由反射镜670从目标T反射的零级辐射646获取。这种变更方式可以简化光学布局或者不会简化光学布局。该变更方式的有利之处在于散射的辐射650(物波)和参考辐射652(参考波)在它们各自的光学路径的很大一部分上经历相同的影响。尤其地,目标带来的相对于光学系统的任何振动体验将大体相同地影响参考波和物波。因此,在被记录的复数场上的这些振动的影响将被减小。在该布置中的参考波将携载关于目标结构的某些信息,但是这将仅仅是平均信息,参考波作为相位参考(出于测量物波的复数辐射场的目的)仍然是有效的。
图8示出使用图6或7中的设备的完整测量过程。通过附图中示出的光学硬件的操作、结合处理器PU实现所述方法。可以在相同的处理器中实施(i)控制硬件的操作和(ii)处理图像数据662的功能,或者可以将这些功能分到不同的专用处理器中。图像数据的处理甚至不需要在相同的设备中进行或者甚至不需要在相同的国家进行。
在802a、802b……802n处,处理器PU从图像传感器23捕获和接收一组强度分布图像。还接收定义设备的与每个图像相关的操作参数的辅助数据(元数据)804,例如照射模式、反射镜656的位置等操作参数。该元数据可以随着每个图像被接收,或者可以为该组图像而被预先定义和存储。元数据还可以包括衬底和目标结构的信息。还接收或预先存储的是参考波规格要求806,其定义了参考波在整个图像传感器23上变化时的已知相位。不需要知道绝对相位,只要能够精确地知道在图像传感器上的相对相位阶跃和/或知道相对于可移动反射镜的任意初始位置的相对相位阶跃即可。可以设置附加的校准程序来获得该信息,而不是仅依赖于设计和计算。
基于接收的图像数据802a等、元数据804和参考波规格要求806,处理器PU计算复数辐射场810。这是整个图像传感器23上的物波(散射的辐射650)的幅值和相位的表示。该表示可被表达成幅值和相位值/像素位置(幅值和相位值每像素位置)的形式。表达方式的其他等同方式也是可以的。基于该复数辐射场,在过程812中的处理器PU可以在如果被理想的光学系统聚焦在图像传感器(类似于图3的传感器23)上则可以看见合成图像814时使用波传播算法计算合成图像814。
如在图8中示意示出的,合成图像814可以具有与图5中示出的真实图像相同的形式。仅仅通过示例的方式示出与复合目标中的每个单独光栅相对应的暗和亮矩形。合成图像可以是强度的图像,类似于在已知设备中捕获的真实图像。然而,合成图像不必必须是强度图像。也可以是光栅的相位图像,或者是强度/幅值两者,并且可以计算相位图像。如以上已经讨论的,如果使用目标的衍射光谱的相反部分产生两个图像,可以使用两个这样的图像计算每个光栅的不对称度。在图8中,示出第二合成图像814’。将可以理解,基于第二组图像802a’等,通过与图像814相同的过程获得第二合成图像,其中第二组图像是在目标的照射轮廓或取向已经旋转180度时使用图像传感器623捕获的。换言之,使用(例如)+1级衍射辐射产生合成图像814,而使用-1级衍射辐射产生合成图像814’。
在步骤820处,处理器PU比较图像814和814’中的不同光栅的图像的强度,以获得每个光栅的不对称度测量。在步骤822处,在复合目标中的多个光栅的被测的不对称度由预定的公式和/或校准曲线转换,以获得对感兴趣的参数的测量,诸如重叠OV、焦点F或剂量D等。从目标结构的知识得知公式,包括所应用的偏置方案。通过将一目标范围上的不对称度测量与诸如电子显微术(SEM、TEM)等其他技术进行的对感兴趣的参数的测量进行比较,可以获得校准曲线。
所示的过程针对于所感兴趣的全部目标被重复。请注意,过程的计算部分可以在时间和空间上与图像捕获分离开。计算不需要实时地完成,尽管当然实时完成计算是期望的。仅仅图像802a等的捕获需要衬底的存在,因此总体上会影响光刻器件制造过程的生产力吞吐量或生产量(productivity throughput)。
如以上所述的,被捕获的图像802a的数量可以大于所选择的数量,并且用于计算复数衍射场。可以根据需要选择所使用的数量。理论上,利用物波与参考波之间的不同(已知)相位阶跃捕获的四个图像应该足以获得明确的幅值和相位信息。替换地,利用照射辐射630的不同(已知)波长捕获的四个图像将是足够的。较多数量可以被用于提高测量确定性。如果可以使用目标结构和衬底的知识约束计算,则计算所需的图像的数量可以被减少。相位阶跃算法是已知的,其被证明对噪声将更具鲁棒性。例如,五步相移算法对相移器校正更具鲁棒性。存在多步算法,这样的多步算法不需要相位阶跃的知识,只要相同即可。还存在随机相位阶跃算法。例如参见James C Wyant的“Phase ShiftingInterferometry.nb.pdf”,Optics 513 Chapter 5,Chapter Notes,2011,可在_http://fp.optics.arizona.edu/jcwyant/Optics513/ChapterNotes/Chapter05/Note s/Phase%20Shifting%20Interferometry.nb.pdf处获得。
在上述的示例中,计算复数场810和计算合成图像814的步骤被示出为单独地顺序进行。这有可能是实际中进行的一种简便方式。然而,理论上,计算可能是相融合的,使得进行直接从捕获的图像802a等等至合成图像814的单个计算,而不用清楚地计算复数场。权利要求不应该解释为需要复数场的清楚计算作为区别数据阵列。
除了在目标的合成图像被已知的暗场成像散射仪的图像传感器看到时计算目标的合成图像外,设备还可以在衍射图案的合成图像在光瞳图像传感器中被看见时计算衍射图案的合成图像。与已知的设备不同,不需要将收集的辐射分成不同的光学分支来获得这些不同的图像。
图9示出检查设备900,在该检查设备900中可以并行地测量大量的目标。在该示例中,三个光学系统902、904、906安装在支撑结构910上。每个光学系统包括图6、7中所示类型的检查设备。如将被理解的,利用衬底上的目标和光学系统的适当间隔,可以同时捕获多个目标T1、T2、T3。在区别于物理图像捕获步骤的步骤中由复数辐射场数据计算得到图像814等等的事实意味着许多设计约束被放松。这些更放松的约束中的一些在上面被讨论。在图9的并行实施方式中,合成图像的被计算的聚焦和大的视场允许用于在X、Y和Z(聚焦)方向上同时捕获几个目标的宽的留边范围(margin)。
结论
文中所公开的检查设备能够改善测量光学系统的带宽和视场,而不会相关地增大物镜和其他部件的复杂度和成本。可以用非常简单的光学装置覆盖非常大的波长范围。从应用的视角看,非常希望有大的波长范围,以提高测量的精确度并且对于使得难于在特定已知波长处进行测量的特定过程具有鲁棒性。可选地可以使用多个CCD或类似图像传感器623来覆盖从例如300nm至1500nm或更多的波长范围。
物波与直接从源或从反射的零级获得的参考波的相干混合还提供光学放大(“零差”),这确保大的动态范围和检测非常低对比度的光栅的能力。另一方面,在“自参考”实施例中,参考波可以是散射辐射650的经修改的复制或副本。自参考实施例的有利之处在于例如信号的稳定性,因为参考来自于测量信号。
迄今为止提及的任何“光学装置”是完全消色差的,并且对于聚焦误差是非常宽容的,因为整个图像形成是通过计算方法进行的。例如在允许感兴趣的区域(ROI,图5)被定义以在进行比较的两个图像中精确地包括目标的相同部分方面,这种聚焦的宽容度是重要的。如在下面图10中可以看到的,允许并行地从几个目标捕获图像也可能是有用的,而不用在捕获的时候分别地聚焦每个目标。
由于图像传感器623是在“傅里叶型”平面中,局部化噪声(诸如灰尘、死像素)的影响会在合成图像中的所有像素上展开,并且对计算的图像具有较小的影响。(与直接在CCD或类似传感器上成像相反)。
通过设置如文中所公开的检查设备可以改进使用光刻过程制造器件的方法,其中使用检查设备测量经处理的衬底、以测量光刻过程的性能的参数,并且调整过程的参数、以改进或保持用于后续衬底的处理的光刻过程的性能。
虽然上述的目标结构是为了测量目的而具体设计和形成的量测目标,但是在其他实施例中可以在作为形成在衬底上的器件的功能部分的目标上测量性质。许多器件具有矩形的、光栅状的结构。如在文中所使用的术语“目标光栅”和“目标结构”不需要结构已经为正在进行的测量而被具体设置。
与光学系统硬件相关的,实施例可以包括计算机程序,所述计算机程序包括定义计算合成图像和/或控制检查设备的方法、以实现那些量测方案的照射模式和其他方面的一个或多个机器可读指令序列。该计算机程序可以例如在用于图像计算/控制过程的独立的计算机系统中执行。替代地,计算步骤可以完全地或部分地在图6、7或9中的设备中的单元PU里进行和/或在图2的控制单元LACU中进行。还可以设置有在其中存储这种计算机程序的数据存储介质(例如,半导体存储器、磁盘或光盘)。
在以下编号的各方面中提供了根据本发明的其他实施例:
1.一种用于测量目标结构的性质的检查设备,所述检查设备包括辐射源和与光学系统结合的图像检测器,所述光学系统限定以下束路径:
-照射路径,所述照射路径用于从所述辐射源接收辐射、形成空间相干的照射辐射的束和将所述照射辐射传递至衬底上的目标区域上;
-收集路径,所述收集路径用于从所述目标区域收集非零级散射辐射的至少一部分和将所收集的散射辐射传递至所述图像检测器;以及
-参考路径,所述参考路径用于将参考辐射传递至所述图像检测器,其中所述参考辐射是与散射辐射相干的,以便与所述散射辐射干涉并且在所述图像检测器处形成干涉图案,其中所述图像检测器被配置用于捕获所述干涉图案的一个或多个图像,并且其中所述检查设备还包括处理器,所述处理器被布置用于(i)接收表示所述一个或多个被捕获的图像的图像数据、(ii)根据所述图像数据和所述参考辐射的知识计算所述检测器处的所述散射辐射的复数场和(iii)根据所述复数场计算被目标区域内的周期性结构衍射的辐射的合成辐射量测图像。
2.根据方面1的检查设备,其中所述参考路径包括所述衬底的所述目标区域,从所述散射辐射的一部分获得所述参考辐射。
3.根据方面2的检查设备,其中从所述散射辐射的零级部分获得所述参考辐射。
4.根据方面2的检查设备,其中从所述散射辐射的非零级部分获得所述参考辐射。
5.根据前述方面中的任一方面的检查设备,其中所述照射路径和所述参考路径中的一者或两者包括可移动元件,所述可移动元件在所述散射辐射和所述参考辐射在图像检测器处干涉的情况下用于调整所述散射辐射与所述参考辐射之间的光学路径差。
6.根据方面5的检查设备,其中所述处理器被配置用于根据用不同光学路径差捕获的干涉图案的图像计算所述复数场。
7.根据方面6的检查设备,其中所述照射辐射是宽带辐射,并且所述处理器被配置用于根据用小于所述宽带辐射的相干长度的光学路径差捕获的干涉图案的一个或多个图像计算所述复数场。
8.根据前述方面中的任一方面的检查设备,包括用于选择所述照射辐射的波长的波长选择器。
9.根据方面8的检查设备,其中所述处理器被配置用于根据用不同的波长捕获的所述干涉图案的图像计算所述复数场。
10.根据前述方面中的任一方面的检查设备,其中所述收集路径包括用于减小所述检测器处的所述散射辐射的发散的一个或多个元件。
11.根据前述方面中的任一方面的检查设备,其中还使用定义目标结构的已知性质的信息计算所述复数场。
12.根据方面11的检查设备,其中所述处理器被布置用于从所述合成辐射量测图像提取与同一目标区域中的多个独立的目标结构相关的信息,每个目标结构被表示在所述合成辐射量测图像内的不同区域处。
13.根据前述方面中的任一方面的检查设备,其中所述处理器还被配置用于通过组合来自合成辐射量测图像的信息来计算一个或多个目标结构的性质,其中所述合成辐射量测图像由表示在不同条件下被捕获的同一目标区域的图像数据计算而得到。
14.根据方面13的检查设备,其中所述性质是不对称度,在不同条件下的所收集的散射辐射包括目标结构的衍射光谱的相反部分。
15.根据方面13或14的检查设备,其中所述处理器还被布置用于(iv)在不同条件下重复步骤(i)至(iii)并且计算所述同一目标区域的至少一个另外的合成辐射量测图像以及(v)根据所述多个合成辐射量测图像计算所述目标结构中的不对称度的量度。
16.根据方面14或15的检查设备,其中所述处理器被配置用于为多个目标结构计算不对称度的测量值,并且其中所述处理器还被布置用于使用所述多个目标结构的已知特性和所述测量值来计算用于形成所述目标结构的光刻过程的性能参数。
17.根据方面16的检查设备,其中所述光刻过程的所述性能参数是重叠、聚焦和剂量中的一个。
18.根据方面16或17的检查设备,其中所述处理器被布置用于从相同的所捕获的图像提取与所述多个目标结构相关的信息,每个目标结构被表示在所述合成图像中的不同区域处。
19.根据前述方面中的任一方面的检查设备,其中为了从多个目标区域并行地捕获干涉图案的图像而设置多个光学系统和图像检测器。
20.根据前述方面中的任一方面的检查设备,其中所述处理器还被配置用于计算表示所述目标的所述衍射光谱的一个或多个被选择部分的合成衍射图案。
21.一种用于测量目标结构的性质的方法,所述方法包括步骤:
(a)以空间相干照射辐射照射衬底上的目标区域;
(b)从所述目标区域收集非零级散射辐射的至少一部分,并将所收集的散射辐射传递至图像检测器;
(c)将参考辐射的束传递至所述图像检测器,其中所述参考辐射是与散射辐射相干的,以便与所收集的散射辐射干涉并且在所述图像检测器处形成干涉图案;
(d)用所述图像检测器捕获所述干涉图案的一个或多个图像;
(e)根据表示所捕获的一个或多个图像的图像数据和所述参考辐射的知识,计算所述检测器处的所收集的散射辐射的复数场;
(f)根据所计算的复数场,计算被目标区域内的周期性结构衍射的辐射的合成辐射量测图像。
22.根据方面21的方法,其中从所述目标区域散射的辐射获得所述参考辐射。
23.根据方面22的方法,其中从所述目标区域散射的零级辐射获得所述参考辐射。
24.根据方面22的方法,其中从所述目标区域散射的非零级辐射获得所述参考辐射。
25.根据方面21至24中的任一方面的方法,还包括:在所述散射辐射和所述参考辐射在图像检测器处干涉的情况下,调整所述散射辐射与所述参考辐射之间的光学路径差。
26.根据方面25的方法,其中步骤(e)包括:根据用不同光学路径差捕获的干涉图案的图像,计算所述复数场。
27.根据方面26的方法,其中所述照射辐射是宽带辐射,并且所述步骤(e)包括:根据用小于所述宽带辐射的相干长度的光学路径差捕获的干涉图案的一个或多个图像,计算所述复数场。
28.根据方面21至27中的任一方面的方法,其中波长选择器用于选择所述照射辐射的波长。
29.根据方面28的方法,其中步骤(e)包括:根据用不同的波长捕获的所述干涉图案的图像,计算所述复数场。
30.根据方面21至29中的任一方面的方法,其中所述收集步骤(b)使用用于减小所述检测器处的所述散射辐射的发散的一个或多个元件。
31.根据方面21至30中的任一方面的方法,其中在步骤(e)中,还使用定义目标结构的已知性质的信息,计算所述复数场。
32.根据方面31的方法,其中步骤(f)包括:从所述合成辐射量测图像提取与同一目标区域中的多个独立的目标结构相关的信息,每个目标结构被表示在所述合成辐射量测图像内的不同区域处。
33.根据方面21至32中的任一方面的方法,还包括步骤:
(g)在不同条件下,重复步骤(a)至(f),以计算所述同一目标区域的至少一个另外的合成辐射量测图像,在不同条件下的所收集的散射辐射包括目标结构的衍射光谱的相反部分;以及
(h)根据所述多个合成辐射量测图像,计算所述目标结构中的不对称度的量度。
34.根据方面33的方法,其中所述处理器被配置用于为多个目标结构计算不对称度的测量值,并且其中所述处理器还被布置用于使用所述多个目标结构的已知特性和所述测量值来计算用于形成所述目标结构的光刻过程的性能参数。
35.一种制造器件的方法,其中通过光刻过程在一系列衬底上形成器件特征和量测目标,其中用根据方面21至34中的任一方面的方法测量一个或多个已处理的衬底上的量测目标的性质,以及其中所测量的性质用于调整光刻过程的参数,以处理其他衬底。
36.一种计算机程序产品,包含一个或多个机器可读指令序列,用于实现根据方面21至34中的任一方面的方法的步骤(e)。
37.一种计算机程序产品,包含一个或多个机器可读指令序列,用于实现根据方面21至34中的任一方面的方法的步骤(e)和(f)。
38.一种计算机程序产品,包含一个或多个机器可读指令序列,用于实现根据方面33和34中的任一方面的方法的步骤(e)、(f)和(h)。
虽然上文已经做出了具体参考,将本发明的实施例用于光学光刻术的情况中,应该注意到,本发明可以用在其它的应用中,例如压印光刻术,并且只要情况允许,不局限于光学光刻术。在压印光刻术中,图案形成装置中的形貌或拓扑限定了在衬底上产生的图案。可以将所述图案形成装置的形貌印刷到提供给所述衬底的抗蚀剂层中,在其上通过施加电磁辐射、热、压力或其组合来使所述抗蚀剂固化。在所述抗蚀剂固化之后,所述图案形成装置被从所述抗蚀剂上移走,并在抗蚀剂中留下图案。
这里使用的术语“辐射”和“束”包含全部类型的电磁辐射,包括:紫外(UV)辐射(例如具有或约为365、355、248、193、157或126nm的波长)和极紫外(EUV)辐射(例如具有在5-20nm范围内的波长),以及粒子束,例如离子束或电子束。
在允许的情况下,术语“透镜”可以表示各种类型的光学部件中的任何一种或其组合,包括折射式的、反射式的、磁性的、电磁的以及静电的光学部件。
具体实施例的前述说明将充分地揭示本发明的一般属性,以致于其他人通过应用本领域的知识可以在不需要过多的实验、不背离本发明的整体构思的情况下针对于各种应用容易地修改和/或适应这样的具体实施例。因此,基于这里给出的教导和启示,这种修改和适应应该在所公开的实施例的等价物的范围和含义内。应该理解,这里的术语或措辞是为了举例描述的目的,而不是限制性的,使得本说明书的术语或措辞由本领域技术人员根据教导和启示进行解释。
本发明的覆盖度和范围不应该受上述的示例性实施例的任何一个限制,而应该仅根据随附的权利要求及其等价物限定。

Claims (15)

1.一种用于测量目标结构的性质的检查设备,所述检查设备包括辐射源和与光学系统结合的图像检测器,所述光学系统限定以下束路径:
-照射路径,所述照射路径用于从所述辐射源接收辐射、形成空间相干的照射辐射的束和将所述照射辐射传递至衬底上的目标区域上;
-收集路径,所述收集路径用于从所述目标区域收集非零级散射辐射的至少一部分和将所收集的散射辐射传递至所述图像检测器;以及
-参考路径,所述参考路径用于将参考辐射传递至所述图像检测器,其中所述参考辐射是与散射辐射相干的,以便与所述散射辐射干涉并且在所述图像检测器处形成干涉图案,其中所述图像检测器被配置用于捕获所述干涉图案的一个或多个图像,并且其中所述检查设备还包括处理器,所述处理器被布置用于(i)接收表示所述一个或多个被捕获的图像的图像数据、(ii)根据所述图像数据和所述参考辐射的知识计算所述检测器处的所述散射辐射的复数场和(iii)根据所述复数场计算被目标区域内的周期性结构衍射的辐射的合成辐射量测图像。
2.根据前述权利要求中的任一项的检查设备,其中所述照射路径和所述参考路径中的一者或两者包括可移动元件,所述可移动元件在所述散射辐射和所述参考辐射在图像检测器处干涉的情况下用于调整所述散射辐射与所述参考辐射之间的光学路径差。
3.根据权利要求2的检查设备,其中所述处理器被配置用于根据用不同光学路径差捕获的干涉图案的图像计算所述复数场。
4.根据前述权利要求中的任一项的检查设备,其中所述处理器被布置用于从所述合成辐射量测图像提取与同一目标区域中的多个独立的目标结构相关的信息,每个目标结构被表示在所述合成辐射量测图像内的不同区域处。
5.根据前述权利要求中的任一项的检查设备,其中所述处理器还被配置用于通过组合来自合成辐射量测图像的信息来计算一个或多个目标结构的性质,其中所述合成辐射量测图像由表示在不同条件下被捕获的同一目标区域的图像数据计算而得到。
6.根据权利要求5的检查设备,其中所述处理器还被布置用于(iv)在不同条件下重复步骤(i)至(iii)并且计算所述同一目标区域的至少一个另外的合成辐射量测图像以及(v)根据所述多个合成辐射量测图像计算所述目标结构中的不对称度的量度。
7.一种用于测量目标结构的性质的方法,所述方法包括步骤:
(a)以空间相干照射辐射照射衬底上的目标区域;
(b)从所述目标区域收集非零级散射辐射的至少一部分,并将所收集的散射辐射传递至图像检测器;
(c)将参考辐射的束传递至所述图像检测器,其中所述参考辐射是与散射辐射相干的,以便与所收集的散射辐射干涉并且在所述图像检测器处形成干涉图案;
(d)用所述图像检测器捕获所述干涉图案的一个或多个图像;
(e)根据表示所捕获的一个或多个图像的图像数据和所述参考辐射的知识,计算所述检测器处的所收集的散射辐射的复数场;
(f)根据所计算的复数场,计算被目标区域内的周期性结构衍射的辐射的合成辐射量测图像。
8.根据权利要求7的方法,还包括:在所述散射辐射和所述参考辐射在图像检测器处干涉的情况下,调整所述散射辐射与所述参考辐射之间的光学路径差。
9.根据权利要求7或8的方法,其中波长选择器用于选择所述照射辐射的波长。
10.根据权利要求7至9中的任一项的方法,其中所述收集步骤(b)使用用于减小所述检测器处的所述散射辐射的发散的一个或多个元件。
11.根据权利要求7至10中的任一项的方法,其中在步骤(e)中,还使用定义目标结构的已知性质的信息,计算所述复数场。
12.根据权利要求7至11中的任一项的方法,还包括步骤:
(g)在不同条件下,重复步骤(a)至(f),以计算所述同一目标区域的至少一个另外的合成辐射量测图像,在不同条件下的所收集的散射辐射包括目标结构的衍射光谱的相反部分;以及
(h)根据所述多个合成辐射量测图像,计算所述目标结构中的不对称度的量度。
13.根据权利要求12的方法,其中所述处理器被配置用于为多个目标结构计算不对称度的测量值,并且其中所述处理器还被布置用于使用所述多个目标结构的已知特性和所述测量值来计算用于形成所述目标结构的光刻过程的性能参数。
14.一种制造器件的方法,其中通过光刻过程在一系列衬底上形成器件特征和量测目标,其中用根据权利要求7至13中的任一项的方法测量一个或多个已处理的衬底上的量测目标的性质,以及其中所测量的性质用于调整光刻过程的参数,以处理其他衬底。
15.一种计算机程序产品,包含一个或多个机器可读指令序列,用于实现根据权利要求7至13中的任一项的方法的步骤(e)。
CN201580046689.4A 2014-08-28 2015-08-13 检查设备、检查方法和制造方法 Active CN107924132B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN202110120313.0A CN113204173B (zh) 2014-08-28 2015-08-13 检查设备、检查方法和制造方法

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
EP14182658 2014-08-28
EP14182658.6 2014-08-28
PCT/EP2015/068702 WO2016030205A1 (en) 2014-08-28 2015-08-13 Inspection apparatus, inspection method and manufacturing method

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN202110120313.0A Division CN113204173B (zh) 2014-08-28 2015-08-13 检查设备、检查方法和制造方法

Publications (2)

Publication Number Publication Date
CN107924132A true CN107924132A (zh) 2018-04-17
CN107924132B CN107924132B (zh) 2021-02-12

Family

ID=51421895

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201580046689.4A Active CN107924132B (zh) 2014-08-28 2015-08-13 检查设备、检查方法和制造方法
CN202110120313.0A Active CN113204173B (zh) 2014-08-28 2015-08-13 检查设备、检查方法和制造方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN202110120313.0A Active CN113204173B (zh) 2014-08-28 2015-08-13 检查设备、检查方法和制造方法

Country Status (6)

Country Link
US (1) US9632039B2 (zh)
KR (1) KR101960403B1 (zh)
CN (2) CN107924132B (zh)
IL (1) IL250577B (zh)
TW (1) TWI635272B (zh)
WO (1) WO2016030205A1 (zh)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111929986A (zh) * 2020-09-25 2020-11-13 歌尔股份有限公司 纳米压印工艺监测方法、监测装置及纳米压印设备
CN112262345A (zh) * 2018-06-13 2021-01-22 Asml荷兰有限公司 量测设备
CN113661447A (zh) * 2019-04-04 2021-11-16 Asml荷兰有限公司 用于预测衬底图像的方法和设备
CN113966488A (zh) * 2019-06-13 2022-01-21 Asml荷兰有限公司 量测方法和用于训练用于量测的数据结构的方法

Families Citing this family (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
NL2011816A (en) * 2012-11-30 2014-06-04 Asml Netherlands Bv Method of determining dose and focus, inspection apparatus, patterning device, substrate and device manufacturing method.
WO2016000914A1 (en) 2014-06-30 2016-01-07 Asml Netherlands B.V. Method of determining dose, inspection apparatus, patterning device, substrate and device manufacturing method
US10185303B2 (en) * 2015-02-21 2019-01-22 Kla-Tencor Corporation Optimizing computational efficiency by multiple truncation of spatial harmonics
US10042324B2 (en) * 2015-06-30 2018-08-07 Synaptics Incorporated Optical fingerprint imaging using holography
US9846128B2 (en) * 2016-01-19 2017-12-19 Applied Materials Israel Ltd. Inspection system and a method for evaluating an exit pupil of an inspection system
US10346964B2 (en) * 2016-02-02 2019-07-09 Steven M. Ebstein System for actinic inspection of semiconductor masks
US11002688B2 (en) * 2016-02-02 2021-05-11 Steven M. Ebstein System for actinic inspection of semiconductor masks
WO2017157645A1 (en) 2016-03-15 2017-09-21 Stichting Vu Inspection method, inspection apparatus and illumination method and apparatus
DK3469425T3 (da) * 2016-06-09 2023-10-16 Asml Netherlands Bv Strålingskilde
CN109564391A (zh) * 2016-07-21 2019-04-02 Asml荷兰有限公司 测量目标的方法、衬底、量测设备以及光刻设备
EP3336605A1 (en) * 2016-12-15 2018-06-20 ASML Netherlands B.V. Method of measuring a structure, inspection apparatus, lithographic system and device manufacturing method
US10551749B2 (en) 2017-01-04 2020-02-04 Kla-Tencor Corporation Metrology targets with supplementary structures in an intermediate layer
EP3370486A1 (en) 2017-03-02 2018-09-05 ASML Netherlands B.V. Radiation source
US11112369B2 (en) * 2017-06-19 2021-09-07 Kla-Tencor Corporation Hybrid overlay target design for imaging-based overlay and scatterometry-based overlay
EP3422103A1 (en) * 2017-06-26 2019-01-02 ASML Netherlands B.V. Method of determining a performance parameter of a process
US11357458B2 (en) 2017-07-31 2022-06-14 Lawrence Livermore National Security, Llc High-contrast, convergent x-ray imaging with laser-Compton sources
IL273680B2 (en) * 2017-10-05 2024-04-01 Asml Netherlands Bv A metrology system and method for determining a characteristic of one or more structures on a substrate
DE102018201935B4 (de) * 2018-02-08 2022-12-15 Carl Zeiss Smt Gmbh Verfahren zur Vermessung von Strukturen auf einem Substrat für die Mikrolithographie
KR102544707B1 (ko) * 2018-02-27 2023-06-16 에이에스엠엘 네델란즈 비.브이. 기판 상의 하나 이상의 구조체의 특성을 결정하기 위한 계측 장치 및 방법
EP3531191A1 (en) * 2018-02-27 2019-08-28 Stichting VU Metrology apparatus and method for determining a characteristic of one or more structures on a substrate
NL2021848A (en) * 2018-04-09 2018-11-06 Stichting Vu Holographic metrology apparatus.
EP3614207A1 (en) * 2018-08-21 2020-02-26 ASML Netherlands B.V. Metrology apparatus
NL2021852A (en) 2018-08-01 2018-11-09 Asml Netherlands Bv Metrology apparatus and method for determining a characteristic of one or more structures on a substrate
US11531280B2 (en) 2018-08-29 2022-12-20 Asml Holding N.V. Compact alignment sensor arrangements
KR20210145287A (ko) * 2019-05-13 2021-12-01 에이에스엠엘 네델란즈 비.브이. 대상물의 다수의 다양한 이미지의 동시 획득을 위한 검출 장치
EP3754427A1 (en) * 2019-06-17 2020-12-23 ASML Netherlands B.V. Metrology method and apparatus for of determining a complex-valued field
US20220299888A1 (en) * 2019-06-17 2022-09-22 Asml Netherlands B.V. Metrology method and apparatus for of determining a complex-valued field
KR20210033342A (ko) 2019-09-18 2021-03-26 삼성전자주식회사 초 해상도 홀로그래픽 현미경
US20230008139A1 (en) * 2019-12-05 2023-01-12 Asml Holding N.V. Overlay measurement system using lock-in amplifier technique
KR20220101695A (ko) 2019-12-17 2022-07-19 에이에스엠엘 네델란즈 비.브이. 암시야 디지털 홀로그래픽 현미경 및 관련된 계측 방법
EP3839635A1 (en) 2019-12-17 2021-06-23 ASML Netherlands B.V. Dark field digital holographic microscope and associated metrology method
KR20220122743A (ko) * 2020-01-29 2022-09-02 에이에스엠엘 네델란즈 비.브이. 기판 상의 주기적 구조체를 측정하는 메트롤로지 방법 및 디바이스
EP3876037A1 (en) * 2020-03-06 2021-09-08 ASML Netherlands B.V. Metrology method and device for measuring a periodic structure on a substrate
EP3964892A1 (en) 2020-09-02 2022-03-09 Stichting VU Illumination arrangement and associated dark field digital holographic microscope
US20220291143A1 (en) * 2021-03-11 2022-09-15 Kla Corporation Optical metrology utilizing short-wave infrared wavelengths
EP4246231A1 (en) 2022-03-18 2023-09-20 Stichting VU A method for determining a vertical position of a structure on a substrate and associated apparatuses

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102460129A (zh) * 2009-06-22 2012-05-16 Asml荷兰有限公司 物体检查系统和方法
CN102681365A (zh) * 2012-05-18 2012-09-19 中国科学院光电技术研究所 一种投影物镜波像差检测装置及方法
WO2013143814A1 (en) * 2012-03-27 2013-10-03 Asml Netherlands B.V. Metrology method and apparatus, lithographic system and device manufacturing method

Family Cites Families (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB0409572D0 (en) 2004-04-29 2004-06-02 Univ Sheffield High resolution imaging
US7791727B2 (en) 2004-08-16 2010-09-07 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US7580131B2 (en) * 2007-04-17 2009-08-25 Asml Netherlands B.V. Angularly resolved scatterometer and inspection method
GB0709796D0 (en) 2007-05-22 2007-06-27 Phase Focus Ltd Three dimensional imaging
GB0724448D0 (en) 2007-12-17 2008-01-30 Phase Focus Ltd Method and apparatus for providing image data
NL1036245A1 (nl) 2007-12-17 2009-06-18 Asml Netherlands Bv Diffraction based overlay metrology tool and method of diffraction based overlay metrology.
NL1036597A1 (nl) 2008-02-29 2009-09-01 Asml Netherlands Bv Metrology method and apparatus, lithographic apparatus, and device manufacturing method.
NL1036857A1 (nl) 2008-04-21 2009-10-22 Asml Netherlands Bv Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method.
GB0817650D0 (en) 2008-09-26 2008-11-05 Phase Focus Ltd Improvements in the field of imaging
NL2004094A (en) 2009-02-11 2010-08-12 Asml Netherlands Bv Inspection apparatus, lithographic apparatus, lithographic processing cell and inspection method.
GB0906449D0 (en) 2009-04-15 2009-05-20 Phase Focus Ltd Improvements in imaging
WO2010145951A2 (en) 2009-06-17 2010-12-23 Asml Netherlands B.V. Method of overlay measurement, lithographic apparatus, inspection apparatus, processing apparatus and lithographic processing cell
KR101461457B1 (ko) 2009-07-31 2014-11-13 에이에스엠엘 네델란즈 비.브이. 계측 방법 및 장치, 리소그래피 시스템, 및 리소그래피 처리 셀
CN102483582B (zh) 2009-08-24 2016-01-20 Asml荷兰有限公司 量测方法和设备、光刻设备、光刻处理单元和包括量测目标的衬底
JP5663027B2 (ja) * 2009-10-12 2015-02-04 エーエスエムエル ネザーランズ ビー.ブイ. 基板上のオブジェクトの概略構造を決定する方法、検査装置、コンピュータプログラム、及びコンピュータ可読媒体
JP2013518261A (ja) * 2010-01-27 2013-05-20 エーエスエムエル ホールディング エヌ.ブイ. 空間フィルタを有するホログラフィックマスク検査システム
GB201006593D0 (en) 2010-04-20 2010-06-02 Phase Focus Ltd Characteristic determination
GB2481589B (en) 2010-06-28 2014-06-11 Phase Focus Ltd Calibration of a probe in ptychography
WO2012022584A1 (en) 2010-08-18 2012-02-23 Asml Netherlands B.V. Substrate for use in metrology, metrology method and device manufacturing method
GB201016088D0 (en) 2010-09-24 2010-11-10 Phase Focus Ltd Improvements in imaging
CN103201682B (zh) 2010-11-12 2015-06-17 Asml荷兰有限公司 量测方法和设备、光刻系统和器件制造方法
WO2012062501A1 (en) 2010-11-12 2012-05-18 Asml Netherlands B.V. Metrology method and apparatus, and device manufacturing method
KR101521146B1 (ko) 2011-11-09 2015-05-18 지고 코포레이션 이중 패스 간섭측정식 인코더 시스템
WO2013143723A1 (en) * 2012-03-29 2013-10-03 Asml Holding N.V. Compact self-contained holographic and interferometric apparatus
NL2010458A (en) 2012-04-16 2013-10-17 Asml Netherlands Bv Lithographic apparatus, substrate and device manufacturing method background.
NL2010734A (en) 2012-05-29 2013-12-02 Asml Netherlands Bv Metrology method and apparatus, substrate, lithographic system and device manufacturing method.
NL2009367C2 (en) 2012-08-27 2014-03-03 Stichting Vu Vumc Microscopic imaging apparatus and method to detect a microscopic image.
US9581430B2 (en) 2012-10-19 2017-02-28 Kla-Tencor Corporation Phase characterization of targets

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102460129A (zh) * 2009-06-22 2012-05-16 Asml荷兰有限公司 物体检查系统和方法
WO2013143814A1 (en) * 2012-03-27 2013-10-03 Asml Netherlands B.V. Metrology method and apparatus, lithographic system and device manufacturing method
CN102681365A (zh) * 2012-05-18 2012-09-19 中国科学院光电技术研究所 一种投影物镜波像差检测装置及方法

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112262345A (zh) * 2018-06-13 2021-01-22 Asml荷兰有限公司 量测设备
CN112262345B (zh) * 2018-06-13 2024-03-12 Asml荷兰有限公司 量测设备
CN113661447A (zh) * 2019-04-04 2021-11-16 Asml荷兰有限公司 用于预测衬底图像的方法和设备
CN113966488A (zh) * 2019-06-13 2022-01-21 Asml荷兰有限公司 量测方法和用于训练用于量测的数据结构的方法
CN111929986A (zh) * 2020-09-25 2020-11-13 歌尔股份有限公司 纳米压印工艺监测方法、监测装置及纳米压印设备

Also Published As

Publication number Publication date
IL250577A0 (en) 2017-03-30
WO2016030205A1 (en) 2016-03-03
CN107924132B (zh) 2021-02-12
IL250577B (en) 2020-08-31
CN113204173A (zh) 2021-08-03
KR101960403B1 (ko) 2019-03-20
US9632039B2 (en) 2017-04-25
US20160061750A1 (en) 2016-03-03
TWI635272B (zh) 2018-09-11
KR20170044720A (ko) 2017-04-25
CN113204173B (zh) 2024-04-09
TW201625935A (zh) 2016-07-16

Similar Documents

Publication Publication Date Title
CN107924132A (zh) 检查设备、检查方法和制造方法
JP6697560B2 (ja) メトロロジ方法及び装置
CN104471484B (zh) 用于光刻术的量测
CN104350424B (zh) 量测方法和设备、衬底、光刻系统以及器件制造方法
CN107111245B (zh) 测量非对称性的方法、检查设备、光刻系统及器件制造方法
CN105452962B (zh) 量测方法和设备、光刻系统和器件制造方法
CN106662824B (zh) 检查装置、检查方法和设备制造方法
CN106030414B (zh) 目标布置的优化和相关的目标
CN104823113B (zh) 确定剂量和焦点的方法、检查设备、图案形成装置、衬底及器件制造方法
CN105814491B (zh) 检查设备和方法、具有量测目标的衬底、光刻系统和器件制造方法
CN104395830B (zh) 确定聚焦的方法、检查设备、图案形成装置、衬底以及器件制造方法
CN101819384B (zh) 检验设备、光刻设备、光刻处理单元以及检验方法
CN106062634B (zh) 测量涉及光刻术的制造过程的过程参数
CN105900015B (zh) 用于光刻度量的方法、设备和衬底
CN108700819A (zh) 光刻设备和用于执行测量的方法
CN107750350A (zh) 量测方法、检查设备、光刻系统和器件制造方法
CN105359039B (zh) 检验设备和方法、光刻设备、光刻处理单元以及器件制造方法
CN102498441A (zh) 量测方法和设备、光刻系统以及光刻处理单元
CN105308508A (zh) 确定与临界尺寸相关的性质的方法、检查装置和器件制造方法
JP6393397B2 (ja) リソグラフィ装置の照射線量決定方法、検査装置およびデバイス製造方法
TW201921147A (zh) 量測參數之方法及裝置
JP2020518848A (ja) メトロロジパラメータ決定及びメトロロジレシピ選択
CN107924146A (zh) 光刻设备对准传感器和方法
TW201921156A (zh) 度量衡方法及器件
CN113196175A (zh) 测量图案化过程的参数的方法、量测设备、目标

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant