KR20220122743A - 기판 상의 주기적 구조체를 측정하는 메트롤로지 방법 및 디바이스 - Google Patents

기판 상의 주기적 구조체를 측정하는 메트롤로지 방법 및 디바이스 Download PDF

Info

Publication number
KR20220122743A
KR20220122743A KR1020227026561A KR20227026561A KR20220122743A KR 20220122743 A KR20220122743 A KR 20220122743A KR 1020227026561 A KR1020227026561 A KR 1020227026561A KR 20227026561 A KR20227026561 A KR 20227026561A KR 20220122743 A KR20220122743 A KR 20220122743A
Authority
KR
South Korea
Prior art keywords
illumination
detection
radiation
aperture profile
zones
Prior art date
Application number
KR1020227026561A
Other languages
English (en)
Inventor
파트리시우스 알로이시우스 야코버스 틴네만스
패트릭 바르나르
바스코 토마스 테너
휴고 아우구스티누스 요셉 크라머
브람 안토니우스 게라르두스 로만스
바스티안 람베르투스 빌헬무스 마리누스 반 데 벤
아메트 부락 쿤불
알렉산더 프라세티야 코니넨베르그
Original Assignee
에이에스엠엘 네델란즈 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from EP20161488.0A external-priority patent/EP3876037A1/en
Application filed by 에이에스엠엘 네델란즈 비.브이. filed Critical 에이에스엠엘 네델란즈 비.브이.
Publication of KR20220122743A publication Critical patent/KR20220122743A/ko

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T7/00Image analysis
    • G06T7/0002Inspection of images, e.g. flaw detection
    • G06T7/0004Industrial image inspection
    • G06T7/0006Industrial image inspection using a design-rule based approach
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/17Systems in which incident light is modified in accordance with the properties of the material investigated
    • G01N21/47Scattering, i.e. diffuse reflection
    • G01N21/4788Diffraction
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70641Focus
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/7065Defects, e.g. optical inspection of patterned layer for defects
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T5/00Image enhancement or restoration
    • G06T5/80Geometric correction
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2207/00Indexing scheme for image analysis or image enhancement
    • G06T2207/30Subject of image; Context of image processing
    • G06T2207/30108Industrial image inspection
    • G06T2207/30148Semiconductor; IC; Wafer

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Theoretical Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • General Health & Medical Sciences (AREA)
  • Health & Medical Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Quality & Reliability (AREA)
  • Analytical Chemistry (AREA)
  • Biochemistry (AREA)
  • Computer Vision & Pattern Recognition (AREA)
  • Immunology (AREA)
  • Pathology (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Length Measuring Devices By Optical Means (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Analysing Materials By The Use Of Radiation (AREA)
  • Geometry (AREA)

Abstract

적어도 하나의 파장을 갖는 조명 방사선으로 기판 상의 주기적 구조체를 측정하는 방법이 개시되며, 주기적 구조체는 적어도 하나의 피치를 갖는다. 상기 방법은 상기 피치 및 상기 파장의 비에 기초하여: 푸리에 공간 내의 1 이상의 조명 구역을 포함하는 조명 어퍼처 프로파일; 측정을 위한 주기적 구조체의 방위; 및 푸리에 공간 내의 1 이상의 분리된 검출 구역을 포함하는 검출 어퍼처 프로파일 중 1 이상을 구성하는 단계를 포함한다. 이 구성은: ⅰ) 적어도 한 쌍의 상보적인 회절 차수들의 회절된 방사선이 검출 어퍼처 프로파일 내에 캡처되고, ⅱ) 상기 회절된 방사선이 1 이상의 분리된 검출 구역의 적어도 80 %를 채우도록 이루어진다. 주기적 구조체는 구성된 조명 어퍼처 프로파일, 검출 어퍼처 프로파일 및 주기적 구조체의 방위 중 1 이상을 적용하면서 측정된다.

Description

기판 상의 주기적 구조체를 측정하는 메트롤로지 방법 및 디바이스
본 출원은 2020년 1월 29일에 출원된 EP 출원 20154343.6 및 2020년 3월 6일에 출원된 EP 출원 20161488.0 및 2020년 7월 21일에 출원된 EP 출원 20186831.2의 우선권을 주장하며, 이들은 본 명세서에서 그 전문이 인용참조된다.
본 발명은 기판 상의 구조체들의 특성을 결정하는 메트롤로지 방법 및 디바이스에 관한 것이다.
리소그래피 장치는 기판 상에 원하는 패턴을 적용시키도록 구성되는 기계이다. 리소그래피 장치는, 예를 들어 집적 회로(IC)의 제조 시에 사용될 수 있다. 예를 들어, 리소그래피 장치는 기판(예를 들어, 웨이퍼) 상에 제공된 방사선-감응재(레지스트)층 상으로 패터닝 디바이스(예를 들어, 마스크)의 패턴(흔히 "디자인 레이아웃" 또는 "디자인"이라고도 함)을 투영할 수 있다.
기판 상에 패턴을 투영하기 위해, 리소그래피 장치는 전자기 방사선을 사용할 수 있다. 이 방사선의 파장은 기판 상에 형성될 수 있는 피처(feature)들의 최소 크기를 결정한다. 현재 사용중인 전형적인 파장들은 365 nm(i-line), 248 nm, 193 nm 및 13.5 nm이다. 4 내지 20 nm의 범위 내의 파장, 예를 들어 6.7 nm 또는 13.5 nm를 갖는 극자외(EUV) 방사선을 사용하는 리소그래피 장치가 사용되어, 예를 들어 193 nm의 파장을 갖는 방사선을 사용하는 리소그래피 장치보다 기판 상에 더 작은 피처들을 형성할 수 있다.
저(low)-k1 리소그래피가 리소그래피 장치의 전형적인 분해능 한계보다 작은 치수들을 갖는 피처들을 처리하는 데 사용될 수 있다. 이러한 공정에서, 분해능 공식은 CD = k1×λ/NA로서 표현될 수 있으며, 이때 λ는 채택되는 방사선의 파장이고, NA는 리소그래피 장치 내의 투영 광학기의 개구수(numerical aperture)이며, CD는 "임계 치수"[일반적으로, 프린트되는 최소 피처 크기, 이 경우에는 반-피치(half-pitch)]이고, k1은 경험적인 분해능 인자이다. 일반적으로, k1이 작을수록, 특정한 전기적 기능 및 성능을 달성하기 위하여 회로 설계자에 의해 계획된 형상 및 치수들과 비슷한 패턴을 기판 상에 재현하기가 더 어려워진다. 이 어려움을 극복하기 위해, 정교한 미세조정 단계들이 리소그래피 투영 장치 및/또는 디자인 레이아웃에 적용될 수 있다. 이들은, 예를 들어 NA의 최적화, 커스터마이징 조명 방식(customized illumination scheme), 위상 시프팅 패터닝 디바이스들의 사용, 디자인 레이아웃에서의 광 근접 보정(optical proximity correction: OPC, 때로는 "광학 및 공정 보정"이라고도 칭함)과 같은 디자인 레이아웃의 다양한 최적화, 또는 일반적으로 "분해능 향상 기술들"(resolution enhancement techniques: RET)로 정의되는 다른 방법들을 포함하며, 이에 제한되지는 않는다. 대안적으로, 리소그래피 장치의 안정성을 제어하는 엄격한 제어 루프가 저 k1에서 패턴의 재현을 개선하는 데 사용될 수 있다.
리소그래피 공정들에서는, 예를 들어 공정 제어 및 검증(verification)을 위해, 흔히 생성되는 구조체들의 측정들을 수행하는 것이 바람직하다. 이러한 측정들을 수행하는 다양한 툴들이 알려져 있으며, 스캐닝 전자 현미경 또는 다양한 형태의 메트롤로지 장치들, 예컨대 스케터로미터들을 포함한다. 이러한 툴들을 지칭하는 일반적인 용어는 메트롤로지 장치 또는 검사 장치일 수 있다.
메트롤로지 디바이스가 메트롤로지 디바이스에 의해 캡처된 이미지에 전산적으로 복원된 수차 보정(computationally retrieved aberration correction)들을 적용할 수 있다. 이러한 메트롤로지 디바이스들의 설명은 코히런트 조명(coherent illumination)을 사용하고 전산 보정 방법의 기초로서 이미지와 관련된 필드의 위상을 복원하는 것을 언급한다. 코히런트 이미징은 몇 가지 문제를 가지며, 이에 따라 이러한 디바이스에서 (공간적) 인코히런트 방사선을 사용하는 것이 바람직할 것이다.
본 발명의 실시예들은 청구항들 및 상세한 설명에 개시되어 있다.
본 발명의 제 1 실시형태에서, 적어도 하나의 파장을 갖는 조명 방사선으로 기판 상의 주기적 구조체를 측정하는 방법이 제공되고, 주기적 구조체는 적어도 하나의 피치를 가지며, 상기 방법은: 상기 피치 및 상기 파장의 비에 기초하여, 푸리에 공간 내의 1 이상의 조명 구역을 포함하는 조명 어퍼처 프로파일(illumination aperture profile); 측정을 위한 주기적 구조체의 방위(orientation); 및 푸리에 공간 내의 1 이상의 분리된 검출 구역을 포함하는 검출 어퍼처 프로파일 중 1 이상을: ⅰ) 적어도 한 쌍의 상보적인 회절 차수들의 회절된 방사선이 검출 어퍼처 프로파일 내에 캡처되고, ⅱ) 상기 회절된 방사선이 1 이상의 분리된 검출 구역의 적어도 80 %를 채우도록 구성하는 단계; 및 구성된 조명 어퍼처 프로파일, 검출 어퍼처 프로파일 및 주기적 구조체의 방위 중 1 이상을 적용하면서 주기적 구조체를 측정하는 단계를 포함한다.
본 발명의 제 2 실시형태에서, 기판 상의 주기적 구조체를 측정하는 메트롤로지 디바이스가 제공되고, 메트롤로지 디바이스는: 푸리에 공간 내의 1 이상의 분리된 검출 구역을 포함하는 검출 어퍼처 프로파일; 및 푸리에 공간 내의 1 이상의 조명 구역을 포함하는 조명 어퍼처 프로파일을 포함하며, 상기 검출 어퍼처 프로파일, 상기 조명 어퍼처 프로파일, 및 측정되는 주기적 구조체를 포함하는 기판의 기판 방위 중 1 이상은 주기적 구조체의 적어도 하나의 피치 및 상기 주기적 구조체를 측정하는 데 사용되는 조명 방사선의 적어도 하나의 파장의 비에 기초하여: ⅰ) 적어도 한 쌍의 상보적인 회절 차수들이 검출 어퍼처 프로파일 내에 캡처되고, ⅱ) 상기 상보적인 회절 차수들의 쌍의 방사선이 1 이상의 분리된 검출 구역의 적어도 80 %를 채우도록 구성가능하다.
또 다른 실시형태에서, 적어도 하나의 파장을 갖는 조명 방사선으로 기판 상의 적어도 하나의 주기적 피치를 갖는 주기적 구조체를 측정하는 메트롤로지 디바이스가 제공되고, 메트롤로지 디바이스는: 조명 어퍼처 프로파일; 및 조명 어퍼처 프로파일 및 상기 피치 및 상기 파장의 비에 기초하여 측정을 위해 적어도 한 쌍의 상보적인 회절 차수들이 검출 어퍼처 프로파일 내에 캡처되도록 구성가능한, 구성가능한 검출 어퍼처 프로파일 및/또는 기판 방위를 포함한다.
또 다른 실시형태에서, 적어도 하나의 파장을 갖는 조명 방사선으로 기판 상의 적어도 하나의 주기적 피치를 갖는 주기적 구조체를 측정하는 메트롤로지 디바이스가 제공되고, 메트롤로지 디바이스는: 기판을 유지하는 기판 지지체를 포함하며, 기판 지지체는 그 광축을 중심으로 회전가능하고, 메트롤로지 디바이스는 상기 피치 및 파장의 비에 의존하여 광축을 중심으로 기판을 회전시킴으로써 조명 어퍼처 프로파일을 최적화하도록 작동가능하다.
이제 첨부된 개략적인 도면들을 참조하여, 단지 예시의 방식으로만 본 발명의 실시예들을 설명할 것이다:
도 1은 리소그래피 장치의 개략적인 개요도;
도 2는 리소그래피 셀의 개략적인 개요도;
도 3은 반도체 제조를 최적화하기 위한 3 가지 핵심 기술들 간의 협력을 나타내는 전체론적(holistic) 리소그래피의 개략적인 표현을 도시하는 도면;
도 4는 스케터로메트리 장치를 개략적으로 나타내는 도면;
도 5는 (a) 제 1 쌍의 조명 어퍼처들을 사용하는 본 발명의 실시예들에 따른 타겟들을 측정하는 데 사용하기 위한 다크 필드 스케터로미터(dark field scatterometer)의 개략적인 다이어그램, (b) 주어진 방향의 조명에 대한 타겟 격자의 상세한 회절 스펙트럼; (c) 회절 기반 오버레이(DBO) 측정들을 위해 스케터로미터를 사용함에 있어서 또 다른 조명 모드들을 제공하는 제 2 쌍의 조명 어퍼처들, 및 (d) 제 1 및 제 2 쌍의 어퍼처들을 조합한 제 3 쌍의 조명 어퍼처들을 포함하는 도면;
도 6은 본 발명의 실시예들에 따른 타겟들을 측정하는 데 사용하기 위한 메트롤로지 디바이스의 개략적인 다이어그램;
도 7은 (a) 제 1 실시예에 따른 제 1 조명 퓨필 및 검출 퓨필 프로파일들, (b) 제 2 실시예에 따른 제 2 조명 퓨필 및 검출 퓨필 프로파일들, 및 (c) 제 3 실시예에 따른 제 3 조명 퓨필 및 검출 퓨필 프로파일들을 나타내는 도면;
도 8은 (a) 웨이퍼 회전이 없는 구성, 및 (b) 본 발명의 실시예들에 따른 6 개의 연속적인 λ/P 비들에 대한 웨이퍼 회전을 갖는 구성에 대한 조명 퓨필 및 검출 퓨필 프로파일들을 나타내는 도면;
도 9는 일 실시예에 따른 X-타겟들 및 Y-타겟들에 대해 상이한 조명 조건들을 갖는 조명 프로파일을 얻기 위한 구성을 개략적으로 나타내는 도면;
도 10a 내지 도 10c는 이러한 오버필링(overfill)된 검출 NA를 달성하기 위한 3 가지 제안된 조명 구성들을 나타내는 도면;
도 11은 각각의 캡처된 회절 차수를 개별적으로 이미징하는 8-부분 웨지 개념을 나타내는 도면;
도 12는 8-부분 웨지 개념의 또 다른 실시예를 나타내는 도면;
도 13은 본 발명의 실시예들에서 이용가능한 특정 조명 NA 및 검출 NA를 나타내는 도면;
도 14는 본 발명의 실시예들에서 이용가능한 또 다른 특정 조명 NA 및 검출 NA를 나타내는 도면;
도 15는 제 1 실시예에 따른 조명 및 검출 NA 모두를 구성하기 위한 장치를 개략적으로 나타내는 도면;
도 16은 도 15의 광학 웨지 대신에 사용될 수 있는 광학 요소의 개략적인 도면;
도 17은 도 15의 광학 웨지 대신에 사용될 수 있는 추가 광학 요소들의 개략적인 도면;
도 18은 제 2 실시예에 따른 조명 및 검출 NA 모두를 구성하기 위한 장치를 개략적으로 나타내는 도면;
도 19는 제 3 실시예에 따른 조명 및 검출 NA 모두를 구성하기 위한 장치를 개략적으로 나타내는 도면; 및
도 20은 본 명세서에 개시된 시스템 및/또는 방법을 제어하는 컴퓨터 시스템의 블록 다이어그램이다.
본 명세서에서, "방사선" 및 "빔"이라는 용어는 (예를 들어, 365, 248, 193, 157 또는 126 nm의 파장을 갖는) 자외 방사선 및 EUV(예를 들어, 약 5 내지 100 nm 범위 내의 파장을 갖는 극자외 방사선)를 포함하는 모든 형태의 전자기 방사선을 포괄하는 데 사용된다.
본 명세서에서 채택된 "레티클", "마스크" 또는 "패터닝 디바이스"라는 용어는 기판의 타겟부에 생성될 패턴에 대응하여 입사하는 방사선 빔에 패터닝된 단면을 부여하는 데 사용될 수 있는 일반적인 패터닝 디바이스를 언급하는 것으로 폭넓게 해석될 수 있다. 또한, "광 밸브(light valve)"라는 용어가 이러한 맥락에서 사용될 수도 있다. 전형적인 마스크[투과형 또는 반사형, 바이너리(binary), 위상-시프팅, 하이브리드(hybrid) 등] 이외에, 여타의 이러한 패터닝 디바이스의 예시들로 프로그램가능한 거울 어레이 및 프로그램가능한 LCD 어레이를 포함한다.
도 1은 리소그래피 장치(LA)를 개략적으로 도시한다. 리소그래피 장치(LA)는 방사선 빔(B)(예를 들어, UV 방사선, DUV 방사선 또는 EUV 방사선)을 컨디셔닝(condition)하도록 구성되는 조명 시스템(일루미네이터라고도 함)(IL); 패터닝 디바이스(예를 들어, 마스크)(MA)를 지지하도록 구성되고, 소정 파라미터들에 따라 패터닝 디바이스(MA)를 정확히 위치시키도록 구성된 제 1 위치설정기(PM)에 연결되는 마스크 지지체(예를 들어, 마스크 테이블)(MT); 기판(예를 들어, 레지스트-코팅된 웨이퍼)(W)을 유지하도록 구성되고, 소정 파라미터들에 따라 기판 지지체를 정확히 위치시키도록 구성된 제 2 위치설정기(PW)에 연결되는 기판 지지체(예를 들어, 웨이퍼 테이블)(WT); 및 기판(W)의 (예를 들어, 1 이상의 다이를 포함하는) 타겟부(C) 상으로 패터닝 디바이스(MA)에 의해 방사선 빔(B)에 부여된 패턴을 투영하도록 구성되는 투영 시스템(예를 들어, 굴절 투영 렌즈 시스템)(PS)을 포함한다.
작동 시, 조명 시스템(IL)은 예를 들어 빔 전달 시스템(BD)을 통해 방사선 소스(SO)로부터 방사선 빔을 수용한다. 조명 시스템(IL)은 방사선을 지향, 성형, 및/또는 제어하기 위하여, 굴절, 반사, 자기, 전자기, 정전기 및/또는 다른 타입의 광학 구성요소들, 또는 여하한의 그 조합과 같은 다양한 타입들의 광학 구성요소들을 포함할 수 있다. 일루미네이터(IL)는 패터닝 디바이스(MA)의 평면에서 방사선 빔의 단면에 원하는 공간 및 각도 세기 분포를 갖기 위해, 방사선 빔(B)을 컨디셔닝하는 데 사용될 수 있다.
본 명세서에서 사용되는 "투영 시스템"(PS)이라는 용어는, 사용되는 노광 방사선에 대하여, 및/또는 침지 액체의 사용 또는 진공의 사용과 같은 다른 인자들에 대하여 적절하다면, 굴절, 반사, 카타디옵트릭(catadioptric), 아나모픽(anamorphic), 자기, 전자기 및/또는 정전기 광학 시스템, 또는 여하한의 그 조합을 포함하는 다양한 타입의 투영 시스템을 내포하는 것으로서 폭넓게 해석되어야 한다. 본 명세서의 "투영 렌즈"라는 용어의 어떠한 사용도 "투영 시스템"(PS)이라는 좀 더 일반적인 용어와 동의어로 간주될 수 있다.
리소그래피 장치(LA)는 투영 시스템(PS)과 기판(W) 사이의 공간을 채우기 위해서, 기판의 적어도 일부분이 비교적 높은 굴절률을 갖는 액체, 예컨대 물로 덮일 수 있는 형태로 구성될 수 있다 - 이는 침지 리소그래피라고도 한다. 침지 기술에 대한 더 많은 정보는 US6952253에서 주어지며, 이는 본 명세서에서 인용참조된다.
또한, 리소그래피 장치(LA)는 2 이상의 기판 지지체들(WT)("듀얼 스테이지"라고도 함)을 갖는 타입으로 이루어질 수 있다. 이러한 "다수 스테이지" 기계에서는 기판 지지체들(WT)이 병행하여 사용될 수 있으며, 및/또는 기판 지지체(WT) 중 하나에 위치된 기판(W)이 기판(W) 상에 패턴을 노광하는 데 사용되고 있는 동안, 다른 기판 지지체(WT) 상의 또 다른 기판(W)에서는 다른 기판(W)의 후속한 노광의 준비작업 단계가 수행될 수 있다.
기판 지지체(WT)에 추가하여, 리소그래피 장치(LA)는 측정 스테이지를 포함할 수 있다. 측정 스테이지는 센서 및/또는 세정 디바이스를 유지하도록 배치된다. 센서는 투영 시스템(PS)의 속성 또는 방사선 빔(B)의 속성을 측정하도록 배치될 수 있다. 측정 스테이지는 다수 센서들을 유지할 수 있다. 세정 디바이스는 리소그래피 장치의 일부, 예를 들어 투영 시스템(PS)의 일부 또는 침지 액체를 제공하는 시스템의 일부를 세정하도록 배치될 수 있다. 측정 스테이지는 기판 지지체(WT)가 투영 시스템(PS)으로부터 멀어질 때 투영 시스템(PS) 아래로 이동할 수 있다.
작동 시, 방사선 빔(B)은 마스크 지지체(MT) 상에 유지되어 있는 패터닝 디바이스, 예를 들어 마스크(MA) 상에 입사되며, 패터닝 디바이스(MA)에 존재하는 패턴(디자인 레이아웃)에 의해 패터닝된다. 마스크(MA)를 가로질렀으면, 방사선 빔(B)은 투영 시스템(PS)을 통과하며, 이는 기판(W)의 타겟부(C) 상에 상기 빔을 포커스한다. 제 2 위치설정기(PW) 및 위치 측정 시스템(IF)의 도움으로, 기판 지지체(WT)는 예를 들어 포커스 및 정렬된 위치에서 방사선 빔(B)의 경로 내에 상이한 타겟부(C)들을 위치시키도록 정확하게 이동될 수 있다. 이와 유사하게, 제 1 위치설정기(PM) 및 가능하게는 (도 1에 명확히 도시되지 않은) 또 다른 위치 센서는 방사선 빔(B)의 경로에 대해 패터닝 디바이스(MA)를 정확히 위치시키는 데 사용될 수 있다. 패터닝 디바이스(MA) 및 기판(W)은 마스크 정렬 마크들(M1, M2) 및 기판 정렬 마크들(P1, P2)을 이용하여 정렬될 수 있다. 비록, 예시된 기판 정렬 마크들(P1, P2)은 지정된(dedicated) 타겟부들을 차지하고 있지만, 그들은 타겟부들 사이의 공간들 내에 위치될 수도 있다. 기판 정렬 마크들(P1, P2)은 이들이 타겟부들(C) 사이에 위치될 때 스크라이브-레인 정렬 마크(scribe-lane alignment mark)들로 알려져 있다.
도 2에 나타낸 바와 같이, 리소그래피 장치(LA)는 때때로 리소셀(lithocell) 또는 (리소)클러스터라고도 칭하는 리소그래피 셀(LC)의 일부분을 형성할 수 있으며, 이는 흔히 기판(W) 상에 노광-전(pre-exposure) 및 노광-후(post-exposure) 공정들을 수행하는 장치를 포함한다. 통상적으로, 이들은 레지스트 층들을 증착시키는 스핀 코터(spin coater: SC), 노광된 레지스트를 현상하는 디벨로퍼(developer: DE), 칠 플레이트(chill plate: CH) 및, 예를 들어 기판(W)의 온도를 컨디셔닝하는, 예를 들어 레지스트 층들에서 용매를 컨디셔닝하는 베이크 플레이트(bake plate: BK)를 포함한다. 기판 핸들러 또는 로봇(RO)이 입력/출력 포트들(I/O1, I/O2)로부터 기판(W)들을 집어올리고, 이들을 상이한 공정 장치 사이에서 이동시키며, 리소그래피 장치(LA)의 로딩 베이(loading bay: LB)로 기판(W)들을 전달한다. 흔히 집합적으로 트랙이라고도 하는 리소셀 내의 디바이스들은 통상적으로, 예를 들어 리소그래피 제어 유닛(LACU)을 통해 리소그래피 장치(LA)를 제어할 수도 있는 감독 제어 시스템(supervisory control system: SCS)에 의해 자체 제어될 수 있는 트랙 제어 유닛(TCU)의 제어를 받는다.
리소그래피 장치(LA)에 의해 노광되는 기판(W)들이 올바르고 일관성있게(consistently) 노광되기 위해서는, 후속한 층들 간의 오버레이 오차, 라인 두께, 임계 치수(CD) 등과 같은 패터닝된 구조체들의 속성들을 측정하도록 기판들을 검사하는 것이 바람직하다. 이를 위해, 리소셀(LC)에 검사 툴들(도시되지 않음)이 포함될 수 있다. 오차가 검출되는 경우, 특히 검사가 동일한 뱃치(batch) 또는 로트(lot)의 다른 기판(W)들이 노광 또는 처리되기 전에 행해진다면, 예를 들어 후속한 기판들의 노광에 대해 또는 기판(W)들에서 수행되어야 하는 다른 처리 단계들에 대해 조정이 수행될 수 있다.
메트롤로지 장치라고도 칭해질 수 있는 검사 장치가 기판(W)의 속성들을 결정하는 데 사용되며, 특히 상이한 기판(W)들의 속성들이 어떻게 변하는지 또는 동일한 기판(W)의 상이한 층들과 연계된 속성들이 층마다 어떻게 변하는지를 결정하는 데 사용된다. 검사 장치는 대안적으로 기판(W) 상의 결함들을 식별하도록 구성될 수 있으며, 예를 들어 리소셀(LC)의 일부일 수 있거나, 리소그래피 장치(LA)에 통합될 수 있거나, 또는 심지어 독립형 디바이스(stand-alone device)일 수 있다. 검사 장치는 잠상(latent image)(노광 후 레지스트 층 내의 이미지), 또는 반(semi)-잠상[노광-후 베이크 단계(PEB) 후 레지스트 층 내의 이미지], 또는 현상된 레지스트 이미지(이때, 레지스트의 노광된 부분 또는 노광되지 않은 부분은 제거되었음), 또는 심지어 (에칭과 같은 패턴 전사 단계 후) 에칭된 이미지에 대한 속성들을 측정할 수 있다.
전형적으로, 리소그래피 장치(LA)에서의 패터닝 공정은 기판(W) 상의 구조체들의 높은 치수 및 배치 정확성을 필요로 하는 처리에서 가장 중요한 단계들 중 하나이다. 이 높은 정확성을 보장하기 위해, 3 개의 시스템들이 도 3에 개략적으로 도시된 바와 같이 소위 "전체론적" 제어 환경에서 조합될 수 있다. 이 시스템들 중 하나는 메트롤로지 툴(MET)(제 2 시스템) 및 컴퓨터 시스템(CL)(제 3 시스템)에 (가상으로) 연결되는 리소그래피 장치(LA)이다. 이러한 "전체론적" 환경의 핵심은 이 3 개의 시스템들 간의 협력을 최적화하여 전체 공정 윈도우를 향상시키고 리소그래피 장치(LA)에 의해 수행된 패터닝이 공정 윈도우 내에 유지될 것을 보장하도록 엄격한 제어 루프를 제공하는 것이다. 공정 윈도우는 특정 제조 공정이 정의된 결과(예를 들어, 기능적 반도체 디바이스)를 산출하는 공정 파라미터들(예를 들어, 도즈, 포커스, 오버레이)의 범위를 정의한다 - 전형적으로, 이 안에서 리소그래피 공정 또는 패터닝 공정의 공정 파라미터들이 변동하게 된다.
컴퓨터 시스템(CL)은 패터닝될 디자인 레이아웃(의 일부)을 사용하여, 어느 분해능 향상 기술이 사용될지를 예측하고, 전산(computational) 리소그래피 시뮬레이션들 및 계산들을 수행하여 어느 마스크 레이아웃 및 리소그래피 장치 설정들이 패터닝 공정의 가장 큰 전체 공정 윈도우를 달성하는지를 결정할 수 있다[도 3에서 제 1 스케일(SC1)의 양방향 화살표로 도시됨]. 전형적으로, 분해능 향상 기술들은 리소그래피 장치(LA)의 패터닝 가능성들과 매칭하도록 배치된다. 또한, 컴퓨터 시스템(CL)은 공정 윈도우 내에서 [예를 들어, 메트롤로지 툴(MET)로부터의 입력을 사용하여] 리소그래피 장치(LA)가 현재 작동하고 있는 곳을 검출하여, 예를 들어 차선의 처리로 인해 결함들이 존재할 수 있는지를 예측하는 데 사용될 수 있다[도 3에서 제 2 스케일(SC2)의 "0"을 가리키는 화살표로 도시됨].
메트롤로지 툴(MET)은 정확한 시뮬레이션 및 예측을 가능하게 하기 위해 컴퓨터 시스템(CL)에 입력을 제공할 수 있고, 예를 들어 리소그래피 장치(LA)의 캘리브레이션 상태에서 가능한 드리프트를 식별하기 위해 리소그래피 장치(LA)에 피드백을 제공할 수 있다[도 3에서 제 3 스케일(SC3)의 다수 화살표들로 도시됨].
리소그래피 공정들에서는, 예를 들어 공정 제어 및 검증을 위해, 흔히 생성되는 구조체들의 측정들을 수행하는 것이 바람직하다. 이러한 측정들을 수행하는 다양한 툴들이 알려져 있으며, 스캐닝 전자 현미경 또는 다양한 형태의 메트롤로지 장치들, 예컨대 스케터로미터들을 포함한다. 알려진 스케터로미터들의 예시들은 흔히 지정된 메트롤로지 타겟들, 예컨대 언더필링 타겟(underfilled target)들(측정 빔이 격자보다 작은 스폿을 발생시키도록 충분히 큰, 단순한 격자 또는 상이한 층들에서의 겹쳐진 격자들의 형태의 타겟) 또는 오버필링 타겟(overfilled target)들(조명 스폿이 부분적으로 또는 완전히 타겟을 포함함)의 제공에 의존한다. 또한, 메트롤로지 툴들, 예를 들어 격자와 같은 언더필링 타겟을 조명하는 각도 분해 스케터로미터(angular resolved scatterometer)의 사용은 소위 재구성 방법들의 사용을 허용하고, 여기서 타겟 구조체의 수학적 모델과 산란된 방사선의 상호작용의 시뮬레이션 및 시뮬레이션 결과들과 측정 결과들의 비교로부터 격자의 속성들이 계산될 수 있다. 시뮬레이션된 상호작용이 실제 타겟으로부터 관찰되는 것과 유사한 회절 패턴을 생성할 때까지 모델의 파라미터들이 조정된다.
스케터로미터들은 스케터로미터의 대물렌즈의 퓨필 또는 퓨필과의 켤레면에 센서를 가짐으로써 -일반적으로, 퓨필 기반 측정들로 칭해지는 측정들- , 또는 이미지 평면 또는 이미지 평면과의 켤레면에 센서를 가짐으로써 -이 경우, 측정들은 일반적으로 이미지 또는 필드 기반 측정들로 칭해짐- , 리소그래피 공정의 파라미터들의 측정들을 허용하는 다목적 기구이다. 이러한 스케터로미터들 및 관련 측정 기술들은, 본 명세서에서 그 전문이 인용참조되는 특허 출원들 US20100328655, US2011102753A1, US20120044470A, US20110249244, US20110026032 또는 EP1,628,164A에서 더 설명된다. 앞서 언급된 스케터로미터는 연질 x-선 및 가시광선으로부터 근적외선(near-IR) 파장 범위까지의 광을 사용하여 다수 격자들로부터 다수 타겟들을 하나의 이미지에서 측정할 수 있다.
스케터로미터와 같은 메트롤로지 장치가 도 4에 도시되어 있다. 이는 기판(W) 상으로 방사선(5)을 투영하는 광대역(백색 광) 방사선 투영기(2)를 포함한다. 반사 또는 산란된 방사선(10)은 정반사된 방사선(specular reflected radiation: 10)의 스펙트럼(6)[즉, 파장(λ)의 함수로서 세기(I)의 측정]을 측정하는 분광계 검출기(spectrometer detector: 4)로 통과된다. 이 데이터로부터, 검출된 스펙트럼을 야기하는 프로파일(8) 또는 구조체가, 예를 들어 RCWA(Rigorous Coupled Wave Analysis) 및 비-선형 회귀(non-linear regression)에 의해, 또는 시뮬레이션된 스펙트럼들의 라이브러리와 비교함으로써, 처리 유닛(PU)에 의해 재구성될 수 있다. 일반적으로, 재구성을 위해 구조체의 일반적인 형태가 알려지며, 구조체가 만들어진 공정의 정보로부터 일부 파라미터들이 가정되어, 스케터로메트리 데이터로부터 결정될 구조체의 몇몇 파라미터들만이 남게 된다. 이러한 스케터로미터는 수직-입사(normal-incidence) 스케터로미터 또는 경사-입사(oblique-incidence) 스케터로미터로서 구성될 수 있다.
제 1 실시예에서, 스케터로미터(MT)는 각도 분해 스케터로미터이다. 이러한 스케터로미터에서는, 재구성 방법들이 측정된 신호에 적용되어 격자의 속성들을 재구성하거나 계산할 수 있다. 이러한 재구성은, 예를 들어 타겟 구조체의 수학적 모델과 산란된 방사선의 상호작용의 시뮬레이션 및 시뮬레이션 결과들과 측정 결과들의 비교로부터 발생할 수 있다. 수학적 모델의 파라미터들은 시뮬레이션된 상호작용이 실제 타겟으로부터 관찰되는 것과 유사한 회절 패턴을 생성할 때까지 조정된다.
제 2 실시예에서, 스케터로미터(MT)는 분광 스케터로미터(spectroscopic scatterometer)(MT)이다. 이러한 분광 스케터로미터(MT)에서, 방사선 소스에 의해 방출된 방사선은 타겟 상으로 지향되고, 타겟으로부터 반사 또는 산란된 방사선은 정반사된 방사선의 스펙트럼(즉, 파장의 함수로서 세기의 측정)을 측정하는 분광계 검출기로 지향된다. 이 데이터로부터, 검출된 스펙트럼에 의해 생성되는 타겟의 프로파일 또는 구조체는, 예를 들어 RCWA(Rigorous Coupled Wave Analysis) 및 비-선형 회귀에 의해, 또는 시뮬레이션된 스펙트럼들의 라이브러리와의 비교에 의해 재구성될 수 있다.
제 3 실시예에서, 스케터로미터(MT)는 엘립소메트릭 스케터로미터(ellipsometric scatterometer)이다. 엘립소메트릭 스케터로미터는 각각의 편광 상태들에 대해 산란된 방사선을 측정함으로써 리소그래피 공정의 파라미터들의 결정을 허용한다. 이러한 메트롤로지 장치는, 예를 들어 메트롤로지 장치의 조명 섹션에서 적절한 편광 필터들을 사용함으로써 편광된 광(예를 들어, 선형, 원형 또는 타원형)을 방출한다. 메트롤로지 장치에 적절한 소스가 편광된 방사선도 제공할 수 있다. 기존 엘립소메트릭 스케터로미터의 다양한 실시예들이, 본 명세서에서 그 전문이 인용참조되는 미국 특허 출원들 11/451,599, 11/708,678, 12/256,780, 12/486,449, 12/920,968, 12/922,587, 13/000,229, 13/033,135, 13/533,110 및 13/891,410에서 설명된다.
스케터로미터(MT)의 일 실시예에서, 스케터로미터(MT)는 반사된 스펙트럼 및/또는 검출 구성에서 비대칭을 측정함으로써 2 개의 오정렬된 격자들 또는 주기적 구조체들의 오버레이를 측정하도록 구성되며, 비대칭은 오버레이의 크기와 관련된다. 2 개의 (전형적으로 겹쳐진) 격자 구조체들는 2 개의 상이한 층들(반드시 연속 층들인 것은 아님)에 적용될 수 있고, 실질적으로 웨이퍼 상의 동일한 위치에 형성될 수 있다. 스케터로미터는, 예를 들어 공유 특허 출원 EP1,628,164A에서 설명된 바와 같은 대칭적 검출 구성을 가져, 여하한의 비대칭이 명확하게 구별될 수 있도록 한다. 이는 격자들에서 오정렬을 측정하는 간단한 방식을 제공한다. 주기적 구조체들의 비대칭을 통해 타겟이 측정됨에 따라 주기적 구조체들을 포함한 두 층들 간의 오버레이 오차를 측정하는 추가 예시들은, 본 명세서에서 그 전문이 인용참조되는 PCT 특허 출원 공개공보 WO 2011/012624 또는 미국 특허 출원 US 20160161863에서 찾아볼 수 있다.
다른 관심 파라미터들은 포커스 및 도즈일 수 있다. 포커스 및 도즈는, 본 명세서에서 그 전문이 인용참조되는 미국 특허 출원 US2011-0249244에 설명된 바와 같이 스케터로메트리에 의해(또는 대안적으로 스캐닝 전자 현미경에 의해) 동시에 결정될 수 있다. 포커스 에너지 매트릭스(FEM - 포커스 노광 매트릭스라고도 함)의 각 지점에 대해 임계 치수 및 측벽 각도 측정들의 고유한 조합을 갖는 단일 구조체가 사용될 수 있다. 임계 치수 및 측벽 각도의 이 고유한 조합들이 이용가능한 경우, 포커스 및 도즈 값들은 이 측정들로부터 고유하게 결정될 수 있다.
메트롤로지 타겟은 리소그래피 공정에 의해 대부분은 레지스트에, 그러나 예를 들어 에칭 공정 후에도 형성되는 복합 격자들의 앙상블(ensemble)일 수 있다. 전형적으로, 격자들 내의 구조체들의 피치 및 선폭은 메트롤로지 타겟들로부터 오는 회절 차수들을 캡처할 수 있도록 측정 광학기(특히, 광학기의 NA)에 크게 의존한다. 앞서 나타낸 바와 같이, 회절 신호는 두 층들 간의 시프트들('오버레이'라고도 함)을 결정하는 데 사용될 수 있거나, 또는 리소그래피 공정에 의해 생성되는 바와 같은 원래 격자의 적어도 일부를 재구성하는 데 사용될 수 있다. 이 재구성은 리소그래피 공정의 품질 지침을 제공하는 데 사용될 수 있으며, 리소그래피 공정의 적어도 일부를 제어하는 데 사용될 수 있다. 타겟들은 더 작은 하위-세분화(sub-segmentation)를 가질 수 있으며, 이는 타겟에서 디자인 레이아웃의 기능부의 치수들을 모방하도록 구성된다. 이 하위-세분화로 인해, 타겟들은 디자인 레이아웃의 기능부와 더 유사하게 거동하여, 전체 공정 파라미터 측정들이 디자인 레이아웃의 기능부와 더 많이 닮도록 할 것이다. 타겟들은 언더필링 모드 또는 오버필링 모드에서 측정될 수 있다. 언더필링 모드에서, 측정 빔은 전체 타겟보다 작은 스폿을 발생시킨다. 오버필링 모드에서, 측정 빔은 전체 타겟보다 큰 스폿을 발생시킨다. 이러한 오버필링 모드에서는, 상이한 타겟들을 동시에 측정하는 것이 가능하며, 이에 따라 상이한 처리 파라미터들을 동일한 시간에 결정할 수도 있다.
특정 타겟을 사용하는 리소그래피 파라미터의 전체 측정 품질은 적어도 부분적으로 이 리소그래피 파라미터를 측정하는 데 사용되는 측정 레시피(recipe)에 의해 결정된다. "기판 측정 레시피"라는 용어는 측정 자체의 1 이상의 파라미터, 측정되는 1 이상의 패턴의 1 이상의 파라미터, 또는 둘 모두를 포함할 수 있다. 예를 들어, 기판 측정 레시피에 사용되는 측정이 회절-기반 광학 측정인 경우, 측정의 파라미터들 중 1 이상은 방사선의 파장, 방사선의 편광, 기판에 대한 방사선의 입사각, 기판 상의 패턴에 대한 방사선의 방위 등을 포함할 수 있다. 측정 레시피를 선택하는 기준 중 하나는, 예를 들어 처리 변동들에 대한 측정 파라미터들 중 하나의 민감도일 수 있다. 더 많은 예시들이, 본 명세서에서 그 전문이 인용참조되는 미국 특허 출원 US2016-0161863 및 공개된 미국 특허 출원 US2016/0370717A에서 설명된다.
도 5a는 메트롤로지 장치의 일 실시예, 및 더 구체적으로 다크 필드 스케터로미터를 나타낸다. 도 5b에는 타겟(T) 및 타겟을 조명하는 데 사용되는 측정 방사선의 회절된 광선들이 더 상세히 예시된다. 예시된 메트롤로지 장치는 다크 필드 메트롤로지 장치로서 알려진 타입으로 이루어진다. 메트롤로지 장치는 독립형 디바이스이거나, 예를 들어 측정 스테이션에서의 리소그래피 장치(LA) 또는 리소그래피 셀(LC)에 통합될 수 있다. 장치 전체에 걸쳐 수 개의 브랜치들을 갖는 광축이 점선(O)으로 나타내어진다. 이 장치에서, 소스(11)(예를 들어, 제논 램프)에 의해 방출된 광이 렌즈들(12, 14) 및 대물 렌즈(16)를 포함한 광학 시스템에 의하여 빔 스플리터(15)를 통해 기판(W) 상으로 지향된다. 이 렌즈들은 4F 구성의 이중 시퀀스(double sequence)로 배치된다. 상이한 렌즈 구성이 여전히 검출기 상에 기판 이미지를 제공하는 것을 전제로 사용될 수 있으며, 동시에 공간-주파수 필터링을 위해 중간 퓨필-평면의 접근을 허용한다. 그러므로, 방사선이 기판 상에 입사하는 각도 범위는, 본 명세서에서 (켤레) 퓨필 평면이라고 칭하는 기판 평면의 공간 스펙트럼을 나타내는 평면 내의 공간 세기 분포를 정의함으로써 선택될 수 있다. 특히, 이는 대물 렌즈 퓨필 평면의 배면-투영된 이미지(back-projected image)인 평면에서 렌즈들(12 및 14) 사이에 적절한 형태의 어퍼처 플레이트(aperture plate: 13)를 삽입함으로써 행해질 수 있다. 나타낸 예시에서, 어퍼처 플레이트(13)는 13N 및 13S로 표시된 상이한 형태들을 가지며, 상이한 조명 모드들이 선택되게 한다. 본 예시들에서의 조명 시스템은 오프-액시스(off-axis) 조명 모드를 형성한다. 제 1 조명 모드에서는, 어퍼처 플레이트 13N이 단지 설명을 위해 '북'으로 지정된 방향으로부터 오프-액시스 조명을 제공한다. 제 2 조명 모드에서는, 어퍼처 플레이트 13S가 유사하지만 '남'으로 표시된 반대 방향으로부터의 조명을 제공하는 데 사용된다. 상이한 어퍼처들을 사용함으로써 다른 조명 모드들이 가능하다. 퓨필 평면의 나머지는 바람직하게는 어두운데(dark), 이는 바람직한 조명 모드 외의 여하한의 불필요한 방사선이 바람직한 측정 신호들과 간섭할 것이기 때문이다.
도 5b에 나타낸 바와 같이, 타겟(T)이 대물 렌즈(16)의 광축(O)에 수직인 기판(W)과 배치된다. 기판(W)은 지지체(도시되지 않음)에 의해 지지될 수 있다. 축(O)을 벗어난 각도로부터 타겟(T)에 입사하는 측정 방사선의 광선(I)은 0차 광선[실선(0)] 및 2 개의 1차 광선들[1점쇄선(+1) 및 2점쇄선(-1)]을 발생시킨다. 오버필링되는 작은 타겟을 이용하면, 이 광선들은 메트롤로지 타겟(T) 및 다른 피처들을 포함한 기판의 영역을 덮는 많은 평행 광선들 중 하나에 불과하다는 것을 기억하여야 한다. 플레이트(13) 내의 어퍼처가 (유용한 양의 광을 수용하는 데 필요한) 유한 폭을 갖기 때문에, 입사 광선들(I)은 사실상 다양한 각도들을 차지할 것이고, 회절된 광선들(0 및 +1/-1)은 어느 정도 확산(spread out)될 것이다. 작은 타겟의 점확산 함수(point spread function)에 따르면, 각각의 차수 +1 및 -1은 나타낸 바와 같은 단일의 이상적인 광선이 아니라, 다양한 각도들에 걸쳐 더 확산될 것이다. 타겟들의 격자 피치들 및 조명 각도들은, 대물 렌즈에 들어가는 1차 광선들이 중심 광축과 밀접하게 정렬되도록 디자인되거나 조정될 수 있다는 것을 유의한다. 도 5a 및 도 5b에 예시된 광선들은 순전히 다이어그램에서 이들이 더 쉽게 구별될 수 있도록 어느 정도 축선을 벗어나 도시된다.
기판(W) 상의 타겟(T)에 의해 회절된 1차들 중 적어도 하나가 대물 렌즈(16)에 의해 수집되고, 빔 스플리터(15)를 통해 다시 지향된다. 도 5a로 되돌아가면, 제 1 및 제 2 조명 모드들은 북(N) 및 남(S)으로 표시된 정반대의 어퍼처들을 지정함으로써 예시된다. 측정 방사선의 입사 광선(I)이 광축의 북쪽으로부터인 경우, 즉 제 1 조명 모드가 어퍼처 플레이트 13N을 사용하여 적용되는 경우, +1(N)으로 표시되는 +1 회절 광선들이 대물 렌즈(16)에 들어간다. 대조적으로, 제 2 조명 모드가 어퍼처 플레이트 13S를 사용하여 적용되는 경우, [-1(S)로 표시된] -1 회절 광선들이 렌즈(16)에 들어가는 광선들이다.
제 2 빔 스플리터(17)가 회절된 빔들을 2 개의 측정 브랜치들로 분할한다. 제 1 측정 브랜치에서는, 광학 시스템(18)이 0차 및 1차 회절 빔들을 이용하여 제 1 센서(19)(예를 들어, CCD 또는 CMOS 센서) 상에 타겟의 회절 스펙트럼(퓨필 평면 이미지)을 형성한다. 각각의 회절 차수가 센서 상의 상이한 지점을 타격하여, 이미지 처리가 차수들을 비교하고 대조할 수 있도록 한다. 센서(19)에 의해 캡처된 퓨필 평면 이미지는 메트롤로지 장치를 포커싱하고, 및/또는 1차 빔의 세기 측정들을 정규화하는 데 사용될 수 있다. 또한, 퓨필 평면 이미지는 재구성과 같은 많은 측정을 위해 사용될 수 있다.
제 2 측정 브랜치에서는, 광학 시스템(20, 22)이 센서(23)(예를 들어, CCD 또는 CMOS 센서) 상에 타겟(T)의 이미지를 형성한다. 제 2 측정 브랜치에서, 퓨필-평면에 대해 켤레인 평면에 어퍼처 스톱(aperture stop: 21)이 제공된다. 어퍼처 스톱(21)은, 센서(23) 상에 형성된 타겟의 이미지가 -1차 또는 +1차 빔으로부터만 형성되도록 0차 회절 빔을 차단하는 기능을 한다. 센서들(19 및 23)에 의해 캡처된 이미지들은 이미지를 처리하는 프로세서(PU)로 출력되며, 이의 기능은 수행되는 측정들의 특정 타입에 의존할 것이다. 본 명세서에서, '이미지'라는 용어는 넓은 의미로 사용된다는 것을 유의한다. 이러한 것으로서 격자 라인들의 이미지는 -1차 및 +1차 중 하나만이 존재하는 경우에 형성되지 않을 것이다.
도 5에 나타낸 어퍼처 플레이트(13) 및 필드 스톱(21)의 특정 형태들은 순전히 예시들이다. 본 발명의 또 다른 실시예에서, 타겟들의 온-액시스(on-axis) 조명이 사용되고, 오프-액시스 어퍼처를 갖는 어퍼처 스톱이 사용되어, 실질적으로 회절된 광의 단 하나의 1차를 센서로 통과시킨다. 다른 실시예들에서, 1차 빔들 대신에, 또는 이에 추가하여 2차, 3차 및 더 높은 차수의 빔들(도 5에 도시되지 않음)이 측정에 사용될 수 있다.
측정 방사선을 이 상이한 타입들의 측정에 순응가능하게 만들기 위해, 어퍼처 플레이트(13)는 디스크 주위에 형성되는 다수의 어퍼처 패턴들을 포함할 수 있으며, 이는 회전되어 제 자리에 원하는 패턴을 야기한다. 어퍼처 플레이트 13N 또는 13S는 한 방향으로(셋업에 의존하여 X 또는 Y) 방위지정되는 격자들을 측정하기 위해 사용될 수 있다는 것을 유의한다. 직교 격자의 측정을 위해서는, 90°및 270°에 걸친 타겟의 회전이 구현될 수 있다. 상이한 어퍼처 플레이트들이 도 5c 및 도 5d에 도시된다. 이들의 사용, 및 장치의 많은 다른 변형예들 및 적용예들은 앞서 언급된 사전 공개된 출원들에서 설명된다.
방금 설명된 메트롤로지 툴은 (예를 들어, 우수한 기계-대-기계 매칭을 위한) 낮은 수차 및 (예를 들어, 넓은 적용 범위를 지원하는) 큰 파장 범위를 필요로 한다. 기계-대-기계 매칭은 (현미경) 대물 렌즈의 수차 변동이 충분히 작은지에 의존하며, 요건은 까다롭고 항상 충족되지는 않는다. 또한, 이는 본질적으로 광학 수차를 악화시키지 않고 파장 범위를 확대하는 것이 가능하지 않음을 의미한다. 또한, 재화의 비용, 툴의 부피 및/또는 질량은 상당하며, 동일한 웨이퍼를 동시에 측정하기 위해 다수 센서들을 제공함으로써 병렬화(parallelization)를 통해 웨이퍼 샘플링 밀도를 증가시킬(웨이퍼당 더 많은 포인트들, 로트당 더 많은 웨이퍼들) 가능성을 제한한다.
이러한 문제점들 중 적어도 일부를 해결하기 위해, 전산 이미징/위상 복원 접근법을 채택하는 메트롤로지 장치가 본 명세서에서 인용참조되는 미국 특허 공개공보 US2019/0107781에서 설명되었다. 이러한 메트롤로지 디바이스는 예외적이지 않거나 심지어 비교적 평범한 수차 성능을 갖는 비교적 간단한 센서 광학기를 사용할 수 있다. 이러한 것으로서, 센서 광학기는 수차들을 갖도록 허용될 수 있고, 따라서 비교적 왜곡된 이미지(aberrated image)를 생성할 수 있다. 물론, 단순히 센서 광학기 내에서 더 큰 수차를 허용하는 것은 이러한 광학 수차들의 효과를 보상하기 위해 무언가가 수행되지 않는 한 이미지 품질에 용납할 수 없는 영향을 미칠 것이다. 그러므로, 전산 이미징 기술들이 센서 광학기 내의 수차 성능에 대한 완화의 부정적인 영향을 보상하는 데 사용된다.
이러한 접근법에서, 타겟의 세기 및 위상은 타겟의 하나 또는 다수의 세기 측정들로부터 복원된다. 위상 복원은 (예를 들어, 위상 복원 알고리즘을 도출/설계하기 위해 시작점을 형성하는 손실 함수 내의 포함을 위해) 메트롤로지 타겟의 사전 정보를 사용할 수 있다. 대안적으로, 또는 사전 정보 접근과 조합하여, 다이버시티(diversity) 측정들이 수행될 수 있다. 다이버시티를 달성하기 위해, 이미징 시스템은 측정들 사이에서 약간 변경된다. 다이버시티 측정의 일 예시는 스루-포커스 스테핑, 즉 상이한 포커스 위치들에서 측정들을 얻는 것이다. 다이버시티를 도입하기 위한 대안적인 방법들은, 예를 들어 상이한 조명 파장들 또는 상이한 파장 범위를 사용하는 것, 조명을 변조하는 것, 또는 측정들 사이에서 타겟 상의 조명의 입사각을 변화시키는 것을 포함한다. 위상 복원 자체는 앞서 언급된 US2019/0107781, 또는 특허 출원 EP3480554(또한 본 명세서에서 인용참조됨)에 기재된 것에 기초할 수 있다. 이는 세기 측정으로부터, 타겟과 조명 방사선의 상호작용이 그 전기장 또는 복소값 필드(complex-valued field)의 관점에서 설명되도록 대응하는 위상 복원을 결정하는 것을 설명한다(여기서 "복소"는 진폭 및 위상 정보가 모두 존재한다는 것을 의미함). 세기 측정은 종래의 메트롤로지에서 사용되는 것보다 낮은 품질로 이루어질 수 있으며, 따라서 설명된 바와 같이 포커스가 맞지 않을 수 있다. 설명된 상호작용은 타겟 바로 위의 전기장 및/또는 자기장의 표현을 포함할 수 있다. 이러한 실시예에서, 조명된 타겟 전기장 및/또는 자기장 이미지는 타겟과 평행한 평면에서 (예를 들어, 2-차원) 표면 상의 무한소 전기 및/또는 자기 전류 이중극들에 의해 등가 소스 설명으로서 모델링된다. 이러한 평면은, 예를 들어 타겟 바로 위의 평면, 예를 들어 모델 평면의 위치가 중요하지는 않지만, 레일리 기준에 따라 포커스에 있는 평면일 수 있다: 일단 한 평면에서의 진폭 및 위상이 알려지면, 이들은 컴퓨터를 사용하여 어느 다른 평면으로(포커스에 있는, 포커스가 맞지 않는, 또는 심지어 퓨필 평면으로) 전파될 수 있다. 대안적으로, 설명은 타겟의 복소 투과(complex transmission) 또는 이의 2-차원 등가물을 포함할 수 있다.
위상 복원은 회절된 방사선에 대한 타겟과 조명 방사선 사이의 상호작용의 효과를 모델링하여 모델링된 세기 패턴을 얻는 것; 및 모델 내에서 전기장/복소-값 필드의 위상 및 진폭을 최적화하여, 모델링된 세기 패턴과 검출된 세기 패턴 간의 차이를 최소화하는 것을 포함할 수 있다. 더 구체적으로, 측정 획득 동안, (예를 들어, 타겟의) 이미지가 (검출 평면에서) 검출기 상에 캡처되고, 그 세기가 측정된다. 위상 복원 알고리즘이 사용되어, 예를 들어 타겟과 평행한 (예를 들어, 타겟 바로 위) 평면에서 전기장의 진폭 및 위상을 결정한다. 위상 복원 알고리즘은 센서의 순방향 모델(예를 들어, 수차들이 고려됨)을 사용하여, 검출 평면에서 필드의 세기 및 위상에 대한 모델링된 값들을 얻도록 타겟을 전산적으로 이미징한다. 타겟 모델이 필요하지는 않다. 모델링된 세기 값들과 검출된 세기 값들 사이의 차이는 (예를 들어, 반복적으로) 위상 및 진폭에 관하여 최소화되고, 결과적인 대응하는 모델링된 위상 값은 복원된 위상인 것으로 간주된다. 메트롤로지 적용예들에서 복소-값 필드를 사용하는 특정 방법들은 PCT 출원 PCT/EP2019/052658에 기재되어 있으며, 이 또한 본 명세서에서 인용참조된다.
하지만, 앞서 언급된 공보들에 설명된 바와 같은 조명된 전산 이미징 기반 메트롤로지 센서는 (주로) 공간적 코히런트 또는 부분적으로 공간적 코히런트 방사선과 사용하기 위해 디자인된다. 이는 다음의 단점들을 유도한다:
· 광학 크로스토크 성능은 (부분적) 코히런트 점확산 함수가 (근접) 인코히런트 점확산 함수보다 상당히 크다는 사실에 의해 심하게 영향을 받는다. 이는 이웃하는 커스터머 구조체들에서의 변동들이 메트롤로지 타겟의 측정된 세기 비대칭(예를 들어, 이로부터 오버레이 또는 포커스가 추론됨)에 미치는 영향으로 인해 공정 변동 성능을 제한한다. 또한, 주어진 동일한 검출 NA에 대해, 인코히런트 분해능(한계)은 코히런트 분해능(한계)의 두 배나 좋으며, 이는 또한 (상이하지만 관련된 관점에서) 광학 크로스토크를 감소시키는 데 유익하다는 것을 주목한다.
· 상당한 양의 전산 하드웨어를 필요로 하는 (반복적인) 위상 복원이 필요하며, 이는 메트롤로지 센서의 전체 재화 비용을 증가시킨다. 또한, 위상 복원은 위상을 복원하는 데 요구되는 필요한 정보를 제공하기 위해 다수의 다이버시티 측정들에 기초한다. 실제로 2 내지 10 개의 다이버시티 측정들이 필요하므로, 센서 획득 시간 및/또는 복잡성이 증가하는 것으로 추산된다. 예를 들어, 다이버시티는 다수 포커스 레벨들에서 순차적으로 측정들을 수행함으로써 얻어질 수 있다. 그러므로, 디포커스 이미지(defocused image)들을 단계적으로 얻는 것이 느려서, 느린 측정 속도 및 낮은 스루풋을 유도한다. 간단한 계산이 이를 입증한다. 4 개의 (각도) 방향들과 5 개의 (순차적으로 캡처된) 파장들의 각 조합에 대해 5 개의 스루-포커스 이미지들이 취해지고 각각의 이미지를 캡처하는 데 1 ms가 걸린다고 가정하면, 각각의 타겟을 측정하는 데 약 100 ms가 걸릴 것이다. 이는 스테이지들을 이동시키고 파장들을 전환하는 데 걸리는 시간은 포함하지 않는다. 또한, (통상적으로 반복적인) 위상 복원 계산 자체는 전산 집약적이며, 솔루션에 수렴하는 데 긴 시간이 걸릴 수 있다.
· 코히런트 조명된 전산 이미징 기반 메트롤로지 센서에 대해, 검출 NA(개구수)가 조명 NA보다 크기 때문에, x-타겟 및 y-타겟에 대한 +1차 및 -1차 회절의 순차적 측정(이에 따라, 4 개의 조명 모드들 사이에서 전환할 수 있는 기능)을 허용하는 전환가능한 일루미네이터를 가질 것이 요구된다. 특히, 다크필드 이미징은 +1차 및 -1차 회절의 이미지들이 특정 λ/P 비들에 대해 결국 서로 겹쳐지게 될 수 있기 때문에 이를 필요로 한다. 하나의 (낮은 NA) 코히런트 일루미네이터 및 4 개의 (큰 NA) 검출 퓨필들을 갖는 대안예(전환가능한 일루미네이터가 필요하지 않음)는 λ/P 비들의 원하는 범위에 대해 이용가능한 k-공간/퓨필 공간/푸리에 공간/솔리드 각도 공간(용어들은 동의어로 사용될 수 있음)에 맞지 않는다. 이는 조명의 복잡성, 부피 및 재화 비용을 증가시키며, 이는 웨이퍼 샘플링 밀도를 증가시키기 위해 다수 센서들을 병렬화하고자 하는 경우에 불리하다. +1차 및 -1차 회절의 이러한 순차적 측정의 추가적인 단점은, 센서가 조명 소스의 (공간 평균) 시간적 도즈 변동들에 대해 둔감하지 않다는 것이다.
이러한 문제를 해결하기 위해, 공간적 인코히런트 또는 근접 근사(또는 적어도 멀티모드) 조명된 전산 이미징 기반 메트롤로지 센서를 사용하는 것이 제안된다. 이러한 메트롤로지 센서는, 예를 들어 비대칭 및 이로부터 도출되는 파라미터들, 예컨대 오버레이 및 포커스의 측정을 위한 다크필드 메트롤로지 센서일 수 있다. 나머지 설명에 대해, 인코히런트 조명이라는 용어는 공간적 인코히런트 조명 또는 이의 근접 근사를 설명하는 데 사용될 것이다.
단색 이미지 형성이 공간적으로 인코히런트인 것으로 가정될 수 있는 두 가지 조건들/가정들이 있다; 이 두 가지 조건들/가정들은 다음과 같다:
Figure pct00001
여기서, kx,ky는 퓨필 공간(k 공간)에서의 x 및 y 파라미터들이고,
Figure pct00002
는 대상물 (스칼라) 전기장 함수 O(x,y)의 각도 스펙트럼 표현을 나타내며, λ는 파장이고,
Figure pct00003
는 쾰러(Kohler) 타입 조명 퓨필 κ에 걸친 적분을 나타내며, δ는 디랙 델타 함수를 나타낸다. 실제로 조명 공간 코히런스 길이(예를 들어, 타겟 근처 또는 검출기 근처에서 표현됨)는 0보다 클 것이며, 즉 일루미네이터는 이상적인 쾰러 타입이 아니지만, 앞선 가정들은 여전히 유효하거나 그 경우에도 이루어져 (근접) 공간 인코히런트 이미지 형성의 전산 모델을 유도한다는 것을 유의한다. 비-단색 조명의 경우, 이러한 인코히런트 이미징 형식주의의 확장은 세 번째 가정 하에서 가능하며, 이는 타겟 응답이 파장에 (크게) 의존하지 않는다는 것이다.
(예를 들어) 오버레이 및/또는 포커스 타겟 근처의 (상이한 주기적 피치들을 갖는) 구조체들로부터의 광학 크로스토크를 억제하면서, 공간적 인코히런트 조명의 구현을 돕기 위해, 한 쌍의 상보적인 고차 회절들(예를 들어, +1차 및 -1차)가 퓨필 공간(k-공간)에서 (예를 들어, 고정된) 검출 어퍼처 프로파일과 일치할 것을 보장하도록 조명 파장(λ)(여기서, λ는 예를 들어 작지 않은 조명 대역폭의 경우에 중심 파장과 같음) 및 타겟 피치(P)의 λ/P 비에 의존하여 조명 퓨필의 위치가 선택되는 최적화된 조명 구성이 제안된다. 일 실시예에서, 조명 NA는 검출 NA와 동일하거나 이보다 더 크게 설정된다. 예를 들어, 약간 더 큰 것은 최대 5 % 더 크거나, 최대 10 % 더 크거나, 최대 15 % 더 크거나, 또는 최대 20 % 더 클 수 있다. 선택적인 실시예에서, 퓨필 공간은 X 및 Y에서 동시 검출을 가능하게 하기 위해 방향당 하나씩 두 쌍의 회절 차수들(및 이에 따른 2 개의 입사 조명 각도 방향들)에 의해 공유될 수 있다. 본 명세서의 교시들은 (이러한 시스템들의 더 큰 조명 NA로 인해) 인코히런트 시스템들에 대한 특정 적용가능성을 갖지만, 그렇게 제한되지는 않으며, 본 명세서에 개시된 개념들은 코히런트 및 부분적 또는 근접 코히런트 시스템들에 적용가능하다는 것을 유의한다.
검출 어퍼처 프로파일을 고정된 상태로 유지하는 것이 광학 디자인을 단순화할 수 있다. 하지만, 대안적인 구현은 조명 어퍼처 프로파일을 고정하고 동일한 요건들에 따라 검출 어퍼처 프로파일을 구성하는 것을 포함할 수 있다. 또한, 조명 및 검출 어퍼처 프로파일들 모두는 검출 퓨필의 위치와 일치하는 회절 차수들을 유지하기 위해 조명 및 검출 퓨필 위치 모두를 조정하도록 구성가능할 수 있다.
본 개시내용의 맥락에서 한 쌍의 상보적인 회절 차수들은, 예를 들어 동일한 차수의 회절 차수들의 여하한의 고차(즉, 비-0차) 쌍(예를 들어, +1차 및 -1차)을 포함할 수 있다. 상보적인 회절 차수들의 쌍은 실질적으로 상이한 방향들(예를 들어, 반대 방향들)로부터의 2 개의 분리된 조명들, 예를 들어 제 1 조명 방향으로부터의 조명으로부터의 -1차 회절 및 제 2 조명 방향으로부터의 조명으로부터의 +1차 회절로부터 기원할 수 있다. 대안적으로, 상보적인 회절 차수들의 쌍은 단일 조명 빔으로부터 기원하여, 조명 어퍼처 프로파일의 구성 및/또는 검출 어퍼처 프로파일에 따른 주기적 구조체의 방위 및 파장/피치 조합이 이러한 단일 조명 빔으로부터 발생하는 -1차 및 +1차 회절들 모두를 캡처하도록 할 수 있다.
공간 인코히런트 조명(또는 근접 근사)을 사용하는 추가적인 이점은, 예를 들어 유한 대역폭으로 확장된 소스를 사용할 가능성을 가능하게 한다는 것이며; 소스와 같은 레이저의 사용은 이것이 실제로 공간 코히런트 조명을 위한 것이므로 필수가 아니다.
X-타겟 또는 Y-타겟 중 어느 하나(또는 둘 모두)에 대한 +1차 및 -1차 회절을 동시에 측정하는 것은, 세기 잡음 및 파장 잡음(예를 들어, 모드 호핑)의 영향이 억제되기 쉽고, 더 잘 억제될 가능성이 높다는 이점을 갖는다.
도 6은 일 실시예에 따른 이러한 메트롤로지 툴을 개략적으로 나타낸다. 이는 단순화된 표현이며, 개시된 개념들은 예를 들어 도 5에 예시된 바와 같은 메트롤로지 툴(또한 단순화된 표현)에서 구현될 수 있다는 것을 유의한다.
확장된 및/또는 다수-파장 소스일 수 있는 조명 소스(SO)가 [예를 들어, 멀티모드 섬유(MF)를 통해] 소스 조명(SI)을 제공한다. 예를 들어, 여기에서 렌즈(L1, L2) 및 대물 렌즈(OL)에 의해 표현되는 광학 시스템은 대물 렌즈(OL)의 퓨필 평면(푸리에 평면)에 위치되는 공간 필터 또는 마스크(SF)를 포함한다(또는 필터링을 위해 이 퓨필 평면에 액세스가 제공됨). 광학 시스템은 기판(S)의 타겟(T) 상으로 필터링된 소스 조명(SIF)을 투영하고 포커싱한다. 이러한 것으로서, 조명 퓨필 NA 및 위치가 필터(SF)에 의해 정의되도록 구성가능한 조명 프로파일이 제공된다. 회절된 방사선(+1, -1)은 검출 거울들(DM) 및 렌즈들(L3)에 의해 카메라들/검출기들(DET)(회절 차수당 하나의 카메라 또는 단일 카메라 또는 여하한의 다른 구성을 포함할 수 있음)로 안내된다. 이러한 것으로서, 검출 퓨필 NA 및 위치는 검출 거울들(DM)의 면적 및 위치에 의해 정의된다.
이러한 구성에서, 검출 거울들 및 이에 따른 검출 퓨필은 고정된 크기(NA) 및 위치를 가질 수 있다(이것이 물리적으로 더 실용적이기 때문임). 이러한 것으로서, 조명 퓨필 프로파일이 특정 타겟 피치(또는 엄밀히 말하면 및 적절하게는 조명 파장이 변동될 수 있는 경우, 파장-대-피치 비 λ/P)에 따라 구성가능한 것으로 제안된다. 조명 프로파일의 구성가능성은 회절된 방사선(예를 들어, +1차 및 -1차 회절들)이 검출 거울들(예를 들어, 거울당 하나의 차수)과 정렬되고 이에 의해 실질적으로 캡처되도록 이루어지며; 즉, +1차 및 -1차 회절의 위치는 퓨필 공간에서 검출 거울들에 의해 정의되는 검출 퓨필들과 대응하고 정렬된다.
일 실시예에서, +1차 및 -1차의 중첩/정렬은 차수들 중 하나의 전체가 1 이상 또는 2 이상의 분리된 검출 구역들에 의해 정의되는 검출 퓨필들 중 하나와 겹치도록 이루어질 수 있다(예를 들어, 검출 거울들 또는 다른 검출 광학 요소들에 의해 캡처됨). 다른 실시예들에서, +1차 및 -1차의 적어도 95 %, 적어도 90 %, 적어도 80 % 또는 적어도 70 %가 1 이상 또는 2 이상의 분리된 검출 구역들에 의해 정의되는 검출 퓨필들을 채우거나 겹칠 수 있다(예를 들어, 검출 거울들에 의해 캡처됨). 다른 구성들에서, 관련 범위는 >= 1 % 또는 >= 10 %이다. 대물렌즈 NA가 1이고 거의 완전 개방 조명 프로파일이 사용된다고 가정하면(도 7c 참조), 1 %가 대략 0.10[사인-각도]의 검출 NA에 대응할 것이다. 특히 관련이 있는 것은 검출 구역들 각각이 대응하는 회절 차수로 대부분 채워진다는 것이다(무한히 큰 타겟을 가정하면, 회절 차수가 각도 공간, 즉 검출 퓨필 공간에서 디랙 델타 함수를 형성하도록 함). 이는 앞선 방정식에서 쾰러 일루미네이터에 대한 합산과 유사하다. 전파할 수 있는 모든 각도들이 존재하는 것이 바람직하다. 각도 공간이 1[사인-각도](즉, 90 도의 각도)로 제한되므로, 수학적 (공간 코히런트) 관점에서 이상적이었을 -∞로부터 +∞까지의 합산은 가능하지 않다.
이러한 것으로서, 상기 방법은 적어도 한 쌍의 상보적인 회절 차수들의 방사선이 1 이상의 분리된 검출 구역을 적어도 80 %, 85 %, 90 % 또는 95 % 채우도록 파장/피치 조합에 기초하여 조명 어퍼처 프로파일 및/또는 주기적 구조체의 방위를 구성하는 단계를 제공할 수 있다. 일 실시예에서, 이 구성하는 단계는 적어도 한 쌍의 상보적인 회절 차수들의 방사선이 1 이상의 분리된 검출 구역을 적어도 100 % 채우도록 이루어질 수 있다.
검출 어퍼처 프로파일 및 조명 어퍼처 프로파일이 각각 조명 퓨필 평면 및 검출 퓨필 평면에서 반드시 물리적 어퍼처들로서 생성되는 것을 아님을 이해하여야 한다. 또한, 어퍼처들은 다른 위치들에서 제공되어, 이 어퍼처들이 조명 퓨필 평면 및 검출 퓨필 평면으로 전파될 때, 이들이 각각 상기 검출 어퍼처 프로파일 및 상기 조명 어퍼처 프로파일을 제공하도록 할 수 있다.
분리된 조명 구역들 각각이 상기 1 이상의 검출 구역들 중 각 하나에 대응할 수 있다. 각각의 조명 구역은 그 대응하는 검출 구역보다 크거나 동일한 크기일 수 있고; 예를 들어, 각각의 조명 구역은 그 대응하는 검출 구역보다 30 % 이상 크지 않을 수 있다. 단일 조명 구역은 검출 어퍼처 프로파일에 사용되는 푸리에 공간 이외의 이용가능한 푸리에 공간 및 조명 어퍼처 프로파일과 검출 어퍼처 프로파일 사이의 마진을 포함할 수 있다.
조명 퓨필 프로파일의 구성가능성은 적절한 특정 공간 필터(SF)의 선택에 의해 달성될 수 있다. 필터들은, 예를 들어 필터 휠에 수동으로 삽입되거나 장착될 수 있다. 다른 필터링 옵션들은 공간 필터(SF) 대신에 공간 광 변조기(SLM) 또는 디지털 마이크로미러 디바이스(DMD)를 제공하거나, 또는 심지어 조명 프로파일이 직접 구성될 수 있는 공간적으로 구성가능한 광 소스를 제공하는 것을 포함한다. 여하한의 이러한 방법 또는 원하는 조명 프로파일을 획득 및/또는 구성하기 위한 여하한의 다른 방법이 사용될 수 있다. 조명 어퍼처 프로파일은 푸리에 공간에서 1 이상의 조명 구역; 예를 들어, 2 개의 실질적으로 상이한 각도 방향들(예를 들어, 2 개의 반대 방향들)에서 주기적 구조체를 조명하기 위한 2 개의 조명 구역들 또는 타겟 방향당 2 개의 실질적으로 상이한 각도 방향들(예를 들어, 2 개의 반대 방향들)에서 주기적 구조체를 조명하기 위한 4 개의 조명 구역들을 포함할 수 있다.
도 7a는 검출 퓨필(DP)이 (예를 들어, 4 개의 검출 거울들에 의해 정의된 바와 같은) 4 개의 검출 퓨필 구역들(DPR)을 포함하는 구성을 예시하며, 이는 동시에 X-타겟 및 Y-타겟에 대한 양 및 음의 회절 차수 정보를 측정하기 위해 구성될 수 있다. 이러한 것으로서, 조명 퓨필(IP)은 X 및 Y 방위당 2 개의 반대 (각도) 방향들에서 타겟을 조명하기 위해 4 개의 조명 구역들(ILR)을 포함하며, λ/P 비에 따라 결과적인 4 개의 1차 회절들[즉, 방향당 +1, -1, 조명 구역(ILR)당 하나의 차수가 캡처됨]이 각각의 검출 퓨필 구역(DPR)과 k-공간(푸리에 공간 또는 각도 공간이라고도 함)에서 각각 일치하고 따라서 각각의 검출 거울에 의해 캡처되도록 구성된다. 알려진 바와 같이, 조명 퓨필 구역들은 퓨필 공간에서 검출 퓨필 구역들과 겹치지 않아야 한다(즉, 퓨필은 배타적 조명 구역들 및 검출 구역들로 분할되지만, 일부 공간은 어느 쪽도 아닐 수 있다). 도 7b에 나타낸 대안적인 실시예에서, 검출 퓨필(DP)은 단지 2 개의 검출 퓨필 구역들(DPR)(예를 들어, 2 개의 검출 거울들)을 가지며, 이는 광학 크로스토크를 감소시키는 증가된 검출 NA를 허용하는 이점을 갖는다. 이러한 것으로서, 조명 프로파일은 또한 2 개의 반대 (각도) 방향들에서 타겟을 조명하기 위해 2 개의 조명 구역들(ILR)을 갖는다. 하지만, 이는 X 및 Y에서 별도의 측정을 의미할 것이다.
구체적인 예시로서, 검출 NA 및 조명 NA는 각각 (예를 들어, 도 7a의 예시에서): 4xNA=0.18 내지 0.23을 포함할 수 있다. 예를 들어, 검출 NA 및 조명 NA는 각각 4xNA=0.21을 포함할 수 있다. 각각의 경우에, 조명 NA들은 검출 NA들과 동일하거나 (예를 들어, 약간) 더 클 수 있다. 도 7b의 예시에서, 검출 NA는 예를 들어 2xNA=0.23 내지 0.27(예를 들어, 2xNA=0.25)일 수 있고, 대응하여 더 큰 조명 NA를 가질 수 있다(예를 들어, 이는 여전히 더 크고, 예를 들어 2xNA=0.3일 수 있음). 조명 NA는 +1, -1 검출 차수들에 대한 검출 NA를 오버필링하도록 이루어질 수 있다. 이러한 맥락에서 오버필링은, 무한한 크기의 타겟에 대해, 회절 차수가 검출 퓨필 평면에서 디랙 델타 펄스를 형성한다는 것을 의미한다. 물론, 실제로 타겟들은 유한한 크기(예를 들어, 10 ㎛ x 10 ㎛)를 가지므로, 회절 차수들의 에너지가 퓨필 공간에서 확산된다. 이 때문에, 검출 NA보다 큰 NA를 갖도록 일루미네이터를 증가시키는 것이 이미지 형성을 인코히런트 극단(incoherent extreme)에 더 가까워지게 도울 수 있다는 이점을 가질 수 있다. 이와 관련하여, 단색 이미지 형성이 앞서 설명된 공간적 인코히런트인 것으로 가정될 수 있는 두 조건들/가정들에 대한 방정식들에 주목한다; 즉, 여기에서 공간적 상호 코히런스 함수가 디랙 델타 함수로 축소되어 타겟의 위상 정보의 필요 없이 이미지 형성이 연산되게 한다.
도 7c는 구성가능한/프로그램가능한 일루미네이터의 필요를 없애는 또 다른 조명 구성을 나타낸다. 이 실시예에서, 조명 구역(ILR)은 이용가능한 k-공간의 대부분; 예를 들어, 검출 퓨필 구역들(DPR) 및 그 사이의 마진(M)을 제외한 모든 공간을 포함하여 타겟 및/또는 주위 구조체들의 정반사(0차)로부터의 광학 크로스토크를 회피한다. 이 마진을 더 잘 나타내기 위해, 도면은 겹쳐진 조명 퓨필 및 검출 퓨필(IP+DP)을 나타낸다. 이 구체적인 예시에서, 이 마진은 0.08 사인-각도와 동일한 폭을 갖지만, 예를 들어 0.05 내지 0.12, 0.05 내지 0.1, 또는 0.07 내지 0.09의 범위 내에 있을 수 있다. 이러한 채워진 조명 프로파일은, 예를 들어 0.9보다 크거나 0.92보다 큰 NA를 가질 수 있다. 이러한 채워진 조명 프로파일은 도 7b에 나타낸 바와 같은 단일 방향 검출 퓨필(2 개의 검출 퓨필 구역들)과 사용될 수 있다.
조명 NA 및 검출 NA(들) 모두가 여전히 상이한 λ/p 비들에 대해 최적화된 조명을 가지면서 크기 및 위치가 고정되어 있는 이러한 구성은 더 작은 센서 부피, 질량 및 재화 비용을 가능하게 한다. 이는 측정 속도 및/또는 웨이퍼 샘플링 밀도를 증가시키기 위해(즉, 로트로부터의 모든/더 많은 웨이퍼들 및/또는 웨이퍼당 더 많은 메트롤로지 타겟들을 측정하기 위해) 이러한 센서들의 배수를 병렬로 사용하는 경우에 중요하다.
검출 NA와 같거나 약간 더 큰 조명 NA를 갖는 것은 결과적인 이미징 형성이 공간 인코히런트 이미징 형성에 근접하도록 실질적인 관점에서; 예를 들어, 인코히런트 이미징 모델이 검출된 카메라 이미지를 정확하게 연산/예측하기 위해 전산적으로 사용될 수 있는 시점까지 충분한 것으로 보여질 수 있다. 예를 들어, 관련 논의는 본 명세서에서 인용참조되는 J. Goodman에 의한 책 "Statistical Optics"(ISBN 1119009456, 9781119009450)의 섹션 7.2 및 방정식 7.2-61에서 찾아볼 수 있다. 이러한 방식으로 검출된 카메라 이미지를 연산/예측할 수 있는 것이 [예를 들어, 위너(Wiener)와 같이] 디컨볼루션을 통해 검출 광학 수차에 대한 보정을 허용하며, 이는 연산 비용이 저렴하다는 이점을 갖는다. 이러한 방식으로, 전체 벡터 문제는 2 개의 스칼라 문제로 분할될 수 있다. 수차들이 MTF(변조 전달 함수)에서 0들이 있도록 이루어지는 경우, (예를 들어, L1-Total-Variation 정규화와 같은) 정규화가 이 0들에 대처하는 데 사용될 수 있다. 이러한 정규화는 앞서 언급된 EP3480554에서 설명된다.
인코히런트 센서에 대해, 변조 전달 함수(MTF)는 기울어지며, 이는 측정된 정보의 신호-대-잡음 비(S/N 비)가 타겟을 구성하는 공간 주파수들에 의존한다는 것을 의미한다. 결과적인 오버레이(및/또는 포커스) 추론의 S/N 비를 최대화하기 위해, 열악한 S/N을 갖는 공간 주파수 성분을 과도하게 확대하지 않는 것이 바람직하다. 그러므로, 제안된 디컨볼루션 작업은 차선의 오버레이 S/N 비를 유도할 것이므로 유효 MTF를 다시 평평하게 만들지 않아야 한다. (각각의 공간 주파수 성분에 대해) S/N 비와 디컨볼루션 이득의 최적 밸런싱은 (정확히 그렇게 하는 것처럼) 위너 필터; 및 이에 따른 디컨볼루션과 같은 "위너"를 유도할 수 있다.
일단 캡처되면, 카메라 이미지는 관심 파라미터, 예를 들어 오버레이를 추론하도록 처리될 수 있다. 이미지에 대해 수행되는 일부 처리 작업들은, 예를 들어: 에지 검출, 세기 추산, 주기적 피팅(이미지에 존재하는 경우) 중 1 이상을 포함할 수 있다. 이러한 모든 작업들은 (부분적으로) 컨볼루션 작업(또는 후속한 연속되는 다수 컨볼루션들), 예를 들어 세기 추산을 위해 픽셀들을 측정하는 관심 구역 커널로서 기록될 수 있다. 보정-커널은 이러한 모든 작업들과 조합될 수 있다. 또한, 이러한 접근법은 수차 보정 작업이 필드 위치에 의존적으로 만들어지는 것을 가능하게 한다. 이 방식으로 필드 수차들 뿐만 아니라 퓨필 수차들도 보정할 수 있다.
작업 흐름에 대한 일 예시는 깨끗한 이미지(Iclean) 및 원시 측정(Iraw)에 대해 다음과 같을 수 있다.
Figure pct00004
여기서, K는 보정-커널을 나타내고, *는 컨볼루션 연산자를 나타낸다. 깨끗한 이미지 및 원시 이미지가 관심 구역 커널(ROI 커널) R로 처리되는 경우:
Figure pct00005
보정 커널(K)과 추가 수학적 연산들을 위한 커널(들), 예를 들어 ROI 커널(R)의 컨볼루션은 중대한 측정 경로의 외부에서, 예를 들어 측정 작업의 시작 시에 계산될 수 있다. 또한, 모든 측정들에 대해 일반적이므로, 각각의 수학적 연산에 대해 한 번만 수행될 필요가 있다. 이 접근법은 획득되는 모든 이미지를 보정-커널과 컨볼루션하는 것보다 훨씬 더 시간-효율적일 것이다.
일 실시예에서, 보정 컨볼루션 커널은 컨볼루션 뉴럴 네트워크와 조합될 수 있다. 예를 들어, 컨볼루션들[예를 들어, 수차 보정, PSF 재형성(reshaping) 및 ROI 선택 컨볼루션들]의 평가(또는 기능)는 하나 또는 다수의 층들을 포함하는 컨볼루션 뉴럴 네트워크를 사용하여 구현될 수 있다. 이는 큰 풋프린트 커널을 갖는 하나의 컨볼루션이 더 작은 풋 크기의 커널들을 갖는 다수 컨볼루션들로 나누어질 수 있다는 것을 의미한다. 이러한 방식으로, 수차들의 필드 의존성이 뉴럴 네트워크에 의해 구현/커버될 수 있다.
추가적인 가능성은 파면 코딩(의 형태)을 포함하고, (예를 들어) 사용가능한 포커스 범위를 확대하며, 및/또는 1 이상의 다른 측면에 대한 성능을 최적화하는 것이다. 이는 센서 광학기 내의 (디자인된) 수차들의 의도적인 도입을 포함하며, 이는 전산 수차 보정에 의해 보정될 수 있다. 이는 포커스 변동들에 대한 민감도를 감소시키고, 이에 따라 사용가능한 포커스 범위를 효과적으로 증가시킨다. 예를 들어, 다음의 참조 문헌이 더 상세한 내용을 포함하고, 본 명세서에서 인용참조된다: Dowski Jr, Edward R., 및 Kenneth S. Kubala. "Modeling of wavefront-coded imaging systems"(Visual Information Processing XI, vol. 4736, pp. 116-126, International Society for Optics and Photonics, 2002).
추가적인 가능성은 (하드웨어, 소프트웨어 또는 이들의 하이브리드로 구현될 수 있는) 아포다이제이션(apodization)에 의해 (근접) 인코히런트 점확산 함수(PSF) 형상을 재형성하는 것을 포함할 수 있다. 수차가 있는 센서(aberrated sensor)가 소정 왜곡된 PSF를 유도한다. 수차 보정을 통해, PSF는 이상적인/수차가 없는 센서의 것으로 재형성될 수 있다. 추가적으로, 광학 크로스토크는 아포다이제이션을 적용하는 수단에 의해 결과적인 PSF의 사이드로브(sidelobe)들을 억제함으로써 더 감소될 수 있다. 구체적인 예시로서, 전산 아포다이제이션이 적용되어 결과적인 PSF가 (레이디얼) 해닝 윈도 함수의 형상에 근사하도록 할 수 있다.
예를 들어, 수차 보정을 위한 추가 이미지 보정 기술은 잔차 오차에 기초할 수 있다. 예를 들어, 이 오차를 캘리브레이션하는 여러 방식들이 존재한다:
· 잔차 오차의 일부는 0 도 및 180 도 회전 하에서 타겟을 측정함으로써 결정될 수 있다. 이는 광학기의 불균형을 포착하지만, 크로스토크와 같은 효과들을 완전히 포착하지는 않는다.
· 필드-의존적 성분에 대한 잔차 오차는 상이한 XY 시프트들 하에서 타겟을 이미징함으로써 포착될 수 있다.
· 크로스토크 오차는 상이한 환경들로 테스트 타겟들을 측정함으로써 포착될 수 있다.
이러한 잔차 오차 캘리브레이션들은 측정 시간에 대한 영향을 감소시키기 위해 제한된 타겟 세트에서 결정될 수 있다.
일부 회절 기반 오버레이 기술들에 대해, 타겟은 그 층들 각각에서 상이한 피치들을 포함할 수 있다. 이러한 경우, 검출 NA는 하나의 조명 광선/위치가 두 피치들의 기여를 검출/캡처될 수 있게 하도록 충분히 커야 한다(검출기/카메라 레벨에서 두 피치들 사이에 코히런트 간섭이 있어야 함).
센서의 광축을 중심으로 한 웨이퍼의 (예를 들어, 프로그램가능한) 회전(또는 적어도 센서의 광축을 중심으로 한 타겟의 회전)을 포함하는 것이 더 제안된다. 이는 조명 및/또는 검출 NA들을 증가시키고/최대화하고, 및/또는 (더 이용가능한 k-공간을 해제함으로써) 지지될 수 있는 λ/P 비를 증가시키기 위해 사용될 수 있다. 대안적으로 또는 추가적으로, 이러한 회전 능력은 이웃 구조체들로부터의 크로스토크를 더 억제하는 데 사용될 수 있는데, 이는 검출 퓨필들 중 하나에 대해 4 개(또는 2 개)의 조명 퓨필들의 상이한 위치를 유도할 것이기 때문이다.
그러므로, 이러한 실시예에서, 웨이퍼 회전과 조합하여 최적화되는 조명 및 검출 퓨필 지오메트리를 사용하는 것이 제안되며, 여기서 (예를 들어, 이미 설명된 바와 같은) 조명 지오메트리 및 웨이퍼 회전 중 하나 또는 둘 모두는 λ/P 비에 의존한다.
도 8은 이러한 웨이퍼 회전이 검출(및 조명) NA를 증가시키고, 및/또는 사용가능한 λ/P 비들의 범위를 증가시키기 위해 어떻게 사용될 수 있는지에 대한 일 예시를 나타낸다. 도 8a는 웨이퍼 회전 없는 구성을 나타낸다(즉, 겹쳐진 도 7a의 조명 및 검출 프로파일들임). 이 섹션에서 설명되는 원리들은 도 7의 조명 및 검출 프로파일들 중 어느 하나(예를 들어, 도 7b 또는 7c) 또는 본 발명의 범위 내의 여하한의 다른 구성에 동등하게 적용된다는 것을 유의한다. 웨이퍼 회전 없이, 고정된 검출 위치(DPR)에 대해, 조명 위치들(ILR)은 증가하는 λ/P 비에 대해 화살표들을 따라 이동한다. 이는 검출 및 조명 NA들이 사용될 수 있는 λ/P 비들을 크게 제한하지 않고는 (박스에 의해 나타낸 바와 같이) 예시된 것보다 클 수 없다는 것을 의미하며, 그렇지 않은 경우에 조명 및 검출 NA들은 겹친다. 특히, 다수의 중간 비들[예를 들어, 각각의 조명 위치(ILR)가 가장 가까운 검출 구역(DPR)에 근접하는 화살표들로 나타낸 각 경로의 중간 부분에 대응함]이 이용가능하지 않을 것이다.
도 8b는 각각 증가하는 λ/P 비들에 대한 6 개의 연속적인 조명 프로파일들[(λ/P)1 내지 (λ/P)6]을 나타내며, 여기서 조명 프로파일 최적화는 광축을 중심으로 한 웨이퍼 회전을 포함한다(도면에서는 웨이퍼 대신에 센서가 회전되는 것처럼 보인다는 것을 유의한다). (동일한 주어진 전체 NA에 대해) 조명 및 검출 NA들은 도면 상단에 나타낸 크기 비교로 도 8b에서 더 크다는 것을 알 수 있는 한편, 조명 및 검출은 λ/P 비들의 범위에 걸쳐 분리된 채로 유지된다. 회전은 단지 일부 λ/P 비들에 대해 채택되어, 예를 들어 주어진 NA/검출 프로파일에 대한 범위를 증가시킬 수 있다.
또한, 주위 구조체들의 주기적 피치들을 고려하여(예를 들어, 세기 비대칭, 오버레이, 포커스 등과 같은 관심 파라미터에 대한 이 주위 구조체들의 기여를 약화시키기 위해), 조명 프로파일 및/또는 λ/P 비 범위를 최적화하기 위해 λ/P 비에 따라 웨이퍼를 회전시키는 이 개념은 본 명세서에 개시된 개념들 중 어느 다른 하나와 독립적으로 메트롤로지 디바이스 상에서, 및 나타낸 것들과 상이한 많은 조명 및 검출 프로파일들 및 구성들에 대해 채택될 수 있다.
일 실시예에서, 회전은 도 7c에 예시된 것과 같은 큰 일루미네이터 실시예에서 조명 및 검출 퓨필들 사이의 마진(M)을 최적화하기 위해; 예를 들어, 정보를 전달하지 않지만 광자 산탄 잡음(photon shot noise)에 기여하는 정반사된 광의 누출을 감소시키기 위해 수행될 수 있다.
검출 NA 및/또는 λ/P 비들의 허용가능한 범위를 최대화하기 위한 다른 옵션들은 다음을 포함할 수 있다:
· 웨이퍼를 그 (국부적) 법선을 중심으로 회전시킴.
· 센서를 그 광학 중심축을 중심으로 회전시킴.
· 웨이퍼 상의 타겟(주기적 패턴) 방향을 회전시킴.
· x-타겟 및 y-타겟 측정을 2 개의 개별 센서들로 분할함.
· +1차 및 -1차 회절 측정을 2 개의 개별 센서들로 분할함.
· 파장 범위를 분할하는 것에 의해 λ/P 비 범위를 2 이상의 센서들로 나눔.
· 피치 범위를 분할하는 것에 의해 λ/P 비 범위를 2 이상의 센서들로 나눔.
· 고체/액체 침지 렌즈를 사용하여 이용가능한 k-공간을 증가시킴.
· 앞선 여하한의 하이브리드/순열/조합(2 개보다 많은 개별 센서들에 걸친 분할을 포함함).
설명된 바와 같이, 앞선 실시예들 중 다수는 X 및 Y 타겟들에 대한 회절 차수들의 상보적인 쌍들 각각에 대해 분리된 조명 및 검출 퓨필들을 사용한다. 최적의 조명 조건들, 예를 들어 편광 조건들은 X 및 Y 타겟들에 대해 상이할 수 있다. 구체적인 예시로서, X 타겟들은 수평 편광된 광을 필요로 할 수 있는 한편, Y 타겟들은 수직 편광된 광을 필요로 할 수 있다. (도 5에 예시된 바와 같은) 메트롤로지 디바이스가 (예를 들어, X 및 Y에 대해) 단일 획득 동안 동일한 설정을 갖는 것이 전형적이다. 대안적으로, 최적의 조건들을 얻기 위해, 다수(예를 들어, 2 번)의 획득들이 이루어질 수 있다. 이는 속도의 저하를 초래한다.
이제 X 및 Y 타겟들의 측정을, 이 타겟들의 상이한 세트들에 대해 상이한 조명 조건들로, 더 구체적으로는 Y 타겟들에 대한 X 타겟들에 대해 병행하여(및 두 방향들에서 동시에) 가능하게 하는 구성들이 설명될 것이다. 일 예시에서, 상이한 조명 조건들은: 편광 상태, 파장, 세기 및 온-지속시간(on-duration)[즉, 검출기 상의 통합 시간(integration time)에 대응함] 중 1 이상에서 상이한 것을 포함할 수 있다. 이러한 방식으로, 동일한 측정 품질에 대해 두 배 더 짧은 획득 시간이 가능하다.
도 9는 X 및 Y에 대해 별개의 편광 설정들을 가능하게 하는 가능한 구현을 나타낸다. 이는 수평 편광(XH)을 갖는 X 조명 퓨필 및 수직 편광(YV)을 갖는 Y 조명 퓨필을 나타낸다. 이 퓨필들은 편광 빔스플리터(PBS)와 같은 적절한 광학 요소를 사용하여 조합되어, 조합된 조명 퓨필(XH+YV)를 얻으며, 이는 그 후 측정에 사용될 수 있다. 나타낸 구성은 변동되는 조명 조건이 편광 이외의 무언가일 때 간단하게 조정될 수 있다. 이러한 것으로서, 편광 빔스플리터(PBS)는 상이한 파장들의 조명 퓨필들을 조합하거나 온-지속시간들을 상이하게 하는 또 다른 적절한 빔 조합 요소로 대체될 수 있다. 이러한 구성은 조명 경로들이 X 및 Y 조명에 대해 상이한 경우에 적용가능하다; 당업자에게 명백한 바와 같이, 이러한 상이한 조명 경로들을 제공하는 많은 상이한 방식들이 존재한다.
대안적인 구성에서, 예를 들어 퓨필들이 프로그램가능한 경우, 편광기들(또는 조명 조건에 의존하는 다른 요소들)이 각각의 각 퓨필의 경로 내에 배치될 수 있다. 프로그램가능한 퓨필은, 예를 들어 임베디드 프로그램가능한 디지털 마이크로미러 디바이스 또는 유사한 디바이스를 포함하는 모듈식 조명에 의해 구현될 수 있다. 조명 조건을 변화시키는 여하한의 적절한 광학 요소(들)가 퓨필 평면의 분리된 구역들에서 작용하도록 툴의 퓨필 평면에 제공될 수 있다.
본 명세서에서 설명된 많은 실시예들에서, 조명은 검출 NA(퓨필 공간에서 분리된 검출 구역들)의 오버필링을 달성하도록 구성된다. 분리된 검출 구역들의 오버필링은 원하는 회절 차수들(예를 들어, 하나 또는 2 개의 방위들에서 타겟으로부터의 상보적인 +1차, -1차 쌍)의 회절 조명이 분리된 검출 구역들에 의해 정의된 퓨필 공간(푸리에 공간)의 100 %를 채우는 것을 의미한다.
도 10은 이러한 오버필링 검출 NA를 달성하기 위한 세 가지 제안된 방법들을 나타낸다. 각각의 경우, 하나의 분리된 검출 구역(DPR)만이 도시되지만, 더 일반적인 구성들에서는 2 또는 4 개가 있을 수 있다. 도 10a는 완전히 프로그램가능한 구성을 나타내며, 여기서 조명 구역(ILR, ILR', ILR")은 상이한 λ/p 조합들에 대해 검출 구역(DPR)에 걸쳐 동일한 스폿에서 회절된 방사선(DIFF)을 유지하도록 이동된다[각각의 조명 구역(ILR, ILR', ILR")은 상이한 λ/p 조합에 대응함]. 이러한 방식으로, 검출 구역(DPR)이 회절된 방사선(DIFF)에 의해 오버필링되게 유지된다. 조명 프로파일의 제어는 본 명세서에 이미 개시된 방법들 중 어느 하나(예를 들어, 공간 필터, SLM, DMD, 또는 공간적으로 구성가능한 광 소스)에 의해 달성될 수 있다.
도 10b 및 도 10c는 상이한 λ/p 조합들의 범위를 커버하는 미리 구성된 조명 구역들을 예시한다. 도 10b에서, 기다란 조명 구역(EILR)이 사용되고(예를 들어, 고정됨), 이는 좌측 그림의 제 1 극단에 대응하는 제 1 조합으로부터 우측 그림의 제 2 극단에 대응하는 제 2 조합까지 연장되는 범위를 정의하는 상이한 λ/p 조합들을 커버한다. 이 범위 내에서, 회절된 방사선(DIFF, DIFF')은 항상 검출 구역(DPR)을 오버필링한다. 도 10c는 유사하지만, 검출 구역(DPR) 및 안전 마진 이외의 전체 푸리에 공간을 커버하는 전체 조명 프로파일(FILR)을 사용하는 구성을 나타낸다[전체 조명 프로파일(FILR) 내의 공간이 제 2 검출 구역에 대해 제공됨]. 도 10a 및 도 10b에서, 대응하는 조명 구역들이 또 다른 회절 차수를 위해 요구되며, 이는 도 10c의 전체 조명 프로파일(FILR)에 대해서는 그렇지 않다.
도 5에 예시된 바와 같은 (예를 들어, 다크-필드) 스케터로미터 메트롤로지 디바이스에서, 2 개의 대각선으로 마주하는 쿼터(quarter)들을 포함하는 조명 NA를 정의하는 쿼터형 조명 마스크를 사용하여 오버레이 타겟[예를 들어, 마이크로-회절 기반 오버레이(μDBO 타겟]을 조명하는 것이 알려져 있다. 다른 2 개의 대각선으로 마주하는 쿼터들은 검출을 위해 사용되고 검출 NA를 정의한다. 산란된 방사선은 4-부분 웨지를 사용하여 +1차, -1차 및 (선택적으로) 0차 회절로 분할된다. 이러한 구성은 +1차, -1차 및 0차의 동시 이미징을 가능하게 한다. 검출된 이미지에서, X-패드 및 Y-패드는 서로 인접하여 놓인다. 수차들이 존재하는 경우, 이 패드들 사이에 XY 크로스토크가 존재하고, 이는 오버레이 복원 결과에 부정적인 영향을 미칠 것이다.
이러한 구성 대신에, 다수 회절 차수들의 동시 공간적 인코히런트(또는 부분적 인코히런트) 이미징을 위한 다수의 특정 푸리에 평면 구성들이 설명될 것이다. 이들 각각이 본 명세서에 개시된 실시예들에서(즉, 적어도 한 쌍의 상보적인 회절 차수들의 회절된 방사선이 검출 어퍼처 내에서 캡처되고 1 이상의 분리된 검출 구역의 적어도 80 %를 채우는 구성들에서) 사용될 수 있다.
도 11은 X-패드들 및 Y-패드들이 개별적으로 이미징되도록 4-부분 웨지 대신에 8-부분 웨지를 포함하는 광학 요소를 사용하는 제 1 제안된 구성을 나타낸다.
8-부분 웨지는 검출 퓨필 평면에 위치될 수 있고, (퓨필 평면의 중심을 통해 그리고 이에 수직인 평면에서) 모두 웨지 형상의 단면을 갖는 8 개의 부분들을 갖는 광학 요소를 포함하여, 이미지/검출기 평면에서의 상이한 위치들을 향해 퓨필 평면의 각 부분들에서 광을 굴절시킬 수 있다.
원하는 기능을 위해 8 개 미만의 섹션들이 필요할 수 있다. 예를 들어, (현재 사용되는 방위에 대하여) 45 도 회전된 4 부분 웨지가 +/- X/Y 차수들을 분리하기에 충분할 수 있다. 예를 들어, 도즈 보정 또는 타겟을 정의하는 리소그래피 공정들의 모니터링을 위해, 0차들을 분리 및 캡처하도록 2 개의 추가적인 부분들이 제공될 수 있다.
그러므로, 이 실시예는 검출 어퍼처 프로파일의 상이한 부분들/영역들(특히 +/- X/Y 차수들)을 분리하는 적어도 4 개의 웨지들(또는 거울들 또는 다른 광학 요소들)을 포함하는 광학 요소를 사용할 수 있다.
도 11a에서, 겹쳐진 조명 퓨필 및 검출 퓨필(IP+DP)은 8 개의 세그먼트들(점선들)로 나누어져 있다. 조명은 4 웨지 마스크와 마찬가지로 쿼터형 조명 프로파일(ILR)을 포함할 수 있다. 알 수 있는 바와 같이, 각각의 회절 차수(DIFF+x, DIFF-x, DIFF+y, DIFF-y)가 각각의 지정된 웨지 또는 웨지 부분과 일치한다. 도 11b는 패드들의 λ/p 비에 따라, 회절 차수들(DIFF'+x, DIFF'-x, DIFF'+y, DIFF'-x)이 8-부분 웨지에 의해 분리되어 있도록 조명 프로파일(ILR')이 (예를 들어) 모래시계 형상의 프로파일로 절단되어야 할 수도 있음을 나타낸다.
도 11c는 이미지/검출기 평면에서의 결과적인 이미지를 나타낸다. 각각의 상이한 차수들에 대한 이미지들(IM+x, IM-x, IM+y, IM-y, IM0)이 모두 이 이미지 평면에서 분리된 위치들에 있다. 그러므로, 이러한 도식을 사용하여, X- 및 Y-회절 차수들이 분리되어 유지된다는(즉, X- 및 Y-패드들이 개별적으로 이미징된다는) 제약 하에 검출 NA 공간의 사용이 최대화된다(즉, 이미징 분해능을 최대화함).
X- 및 Y-패드 회절 차수들이 검출 퓨필의 상이한 부분들을 통과하기 때문에, 이들은 수차 함수의 상이한 부분들에 의해 영향을 받는다. 현재의 4-부분 웨지 구성에서는, X- 및 Y-패드들에 개별적으로 수차 보정을 적용하는 것이 가능하지 않다(가정된 문제는 수차들로 인해 XY-크로스토크가 존재하므로 패드들로부터 회절을 공간적으로 분리하고 개별적으로 수차 보정들을 적용하는 것이 가능하지 않다는 것이다). 8-부분 웨지 설정에서는, X- 및 Y-패드들에 개별적으로 수차 보정을 적용하여 블러링(blurring) 및 XX-크로스토크 및 YY-크로스토크를 감소시키는 것이 가능하다. 전산 이미지 보정을 효과적으로 적용하기 위해, 이미지 형성이 완전히 인코히런트인 것으로 근사될 수 있다고 가정된다. 이 경우, 이미지 형성은 간단한 컨볼루션에 의해 설명되고, 이미지 보정은 간단한 디컨볼루션에 의해 달성될 수 있다. 완전한 인코히런스는 이미 설명된 방법들 중 어느 하나를 사용하여 및/또는 상호 인코히런트 평면파들로 모든 각도들로부터 샘플을 조명함으로써, 즉 조명 퓨필이 상호 인코히런트 점 소스들로 완전히 채워짐으로써 (거의) 달성될 수 있다. 검출 퓨필이 오버필링되는 경우, 조명 퓨필이 완전히 채워졌는지(즉, 완전한 인코히런스) 또는 부분적으로 코히런트인지(즉, 부분적 코히런스)는 차이가 없다.
도 11에 나타낸 구성은 회절 차수들을 분리하기 위한 특정 구성이며, 이는 검출이 8 개의 부분들로 분할되어 4 개의 부분들이 2 개의 타겟 방향들 각각에 대해 +1차, -1차의 각 회절 차수를 캡처하고 다른 4 개의 부분들이 0차 회절을 캡처하는 데 사용될 수 있도록 하는 여하한의 구성으로 일반화될 수 있다는 것을 이해하여야 한다. 부분들은 여하한의 형상을 가질 수 있다. 회전 대칭 레이아웃이 광학 및 기계 제조에 장점들을 갖지만, 필수적인 것은 아니다. 조명 프로파일은 가능한 한 큰 파장/피치 범위에 대해 검출된 X- 및 Y-회절 차수들 사이에 크로스토크가 없을 것을 보장하도록 검출 NA에 대하여 구성될 수 있다. 이는 이미 설명된 방법들 중 어느 하나에 의해 달성될 수 있다. 검출 및 조명 마스크들은 인코히런스, 파장/피치 범위, cDBO 피치 차이, 조명 효율, 이용가능한 어퍼처 슬롯들의 수 등에 대해 (공동-)최적화될 수 있다.
도 12는 조명 효율의 제한된 손실로 2 개의 상이한 피치들을 검출할 수 있음으로써 연속 DBO(cDBO) 적용을 지원하면서, (전산 이미지 보정에 대한 양호한 성능을 가능하게 하기 위해) 매우 큰 파장/피치 범위에 걸쳐 검출을 오버필링함으로써 높은 수준의 인코히런스를 가능하게 하는 또 다른 실시예를 나타낸다. 간략하게는, cDBO 메트롤로지는 제 2 피치(p2)를 갖는 격자 위에 제 1 피치(p1)를 갖는 격자를 갖는 타입 A 타겟 또는 한 쌍의 타입 A 타겟들(예를 들어, 방향당), 및 이 격자들이 교환되어 제 2 피치(p2) 격자가 제 1 피치(p1) 격자 위에 있도록 하는 타입 B 타겟 또는 한 쌍의 타입 B 타겟들을 포함하는 cDBO 타겟을 측정하는 것을 포함할 수 있다. 이러한 방식으로, 및 μDBO 타겟 구성과 대조적으로, 타겟 편향(bias)은 각각의 타겟을 따라 연속적으로 변화한다. 오버레이 신호는 (예를 들어, 다크 필드) 이미지들로부터 무아레 패턴들로 인코딩된다.
도 12에 나타낸 예시에서, 조명 및 검출 마스크들은 2 개의 파라미터들 주위에서 디자인된다:
· Kr: 조명 구역(ILR)의 주요 부분에 대한 XY 제한(NA 반경 또는 중심 반경방향 개구수 치수). 이는 비교적 자유롭게 선택될 수 있으며, 이 경우에 Kr = 0.4[sin(α) 단위];
· D: 검출 구역들(DPR)에 대한 안전 거리. 전형적인 값은 0.03 내지 0.15, 또는 0.04 내지 0.1, 예를 들어 0.05[sin(α) 단위]일 수 있다.
검출 퓨필(DP)은 1차 검출 영역들만을 나타내지만, 조명 구역(ILR)(또는 그 서브세트)의 (안전 거리가 제거되는) 대응하는 영역이 0차의 검출에 사용될 수 있다는 것을 유의한다.
도 13은 타겟 구조체들로부터의 회절된 방사선(DIFF+x, DIFF-x, DIFF+y, DIFF-y)이 각각의 검출 구역(DPR)을 오버필링하지만, 다른 어퍼처들은 그러지 않는 또 다른 푸리에 평면 구성을 나타낸다. 또한, 도면은 대응하는 조명 프로파일(ILR)을 나타낸다.
도 14는 타겟 구조체들로부터의 회절된 방사선(DIFF+x, DIFF-x, DIFF+y, DIFF-y)이 각각 차수당 2 개의 분리된(예를 들어, 오버필링된) 검출 구역들에서 두 번 캡처되는 또 다른 푸리에 평면 구성을 나타낸다. 또한, 대응하는 조명 프로파일(ILR)이 도시되어 있다. 이 구성은 저차 센서 인공물(예를 들어, 코마 및/또는 비점수차)에 대한 보정을 가능하게 한다. 또한, 이러한 구성은 cDBO와 호환된다.
앞선 구성들 모두에서, (예를 들어, 다수 부분, 예를 들어 4, 6 또는 8-부분 웨지와 같은 각각의 회절 차수에 대해 별개의 웨지들을 갖는) 광학 요소 또는 웨지 구성이 카메라 상의 회절 차수 이미지들을 분리하는 데 사용될 수 있다.
앞선 구성들 중 다수에서, 분리된 검출 구역들이 개별적으로 각 차수를 캡처하는 경우, 각각의 검출 구역에 대해 이미징은 인코히런트이고 모든 산란된 방사선이 동일한 수차들을 겪을 것임을 이해할 수 있다. 이 수차들은 다음 방정식에 따라 보정될 수 있으며, 여기서 I는 캡처된 이미지이고, |E|2는 대상물 세기(object intensity)이며, PSF는 NA 및 수차들로 인한 점확산 함수이다:
Figure pct00006
인코히런트 이미징을 가정한 디컨볼루션이 양호한 오버레이 값을 얻기 위해 포커스가 10 ㎛ 벗어난(예를 들어, 5λZ4 수차) 이미지를 충분히 보정하는 데 사용될 수 있음을 나타낸 수 있으며, 이는 종래의 이미징을 이용하여 가능하지 않았을 것이다.
앞선 내용에서, 조명 어퍼처 프로파일 및/또는 측정을 위한 주기적 구조체의 방위는 검출 어퍼처 프로파일 및
Figure pct00007
비에 기초하여 구성된다. 충분한 높은
Figure pct00008
값들(예를 들어, 적어도 최대 1.3)을 커버하기 위해, 검출 퓨필 어퍼처들은 높은 NA에 위치되어야 한다.
대안적인 실시예에서, 더 낮은
Figure pct00009
비에 대해, 검출 어퍼처들의 중심들이 더 낮은 NA에 설정될 수 있도록 프로그램가능한 또는 구성가능한 검출 어퍼처 프로파일들을 제공하는 것이 제안된다. 이는 추가적인 여러 이점들을 갖는다:
· 렌즈 수차들은 전형적으로 더 낮은 NA에서 더 낮다.
· 더 두꺼운 스택들에 대해, 오버레이 타겟들에 더 작은 피치를 사용하고, 작은 조명 어퍼처를 사용하며, 조명 빔 및 1차 검출 빔을 타겟의 법선에 가깝게 유지하여 시차 및 왜곡을 최소화하는 것이 바람직하다. 이는 프로그램가능한 검출 어퍼처에 의해 가능해진다.
· 퓨필 수차들의 영향은, 조명 및 1차가 동일한 입사각을 갖는 소위 리트로(Littrow) 조건들에 가깝게 이미징이 작동되는 경우에 억제될 수 있다; 이는 프로그램가능한 검출 어퍼처에 의해 가능해진다.
예를 들어, 조명 퓨필 프로파일(조명 어퍼처 프로파일) 및 검출 퓨필 프로파일(검출 어퍼처 프로파일)은 모두 프로그램가능하거나 구성가능할 수 있다. 바람직한 구현은 리트로 조건들을 달성하거나, 또는 적어도 근사하기 위해 조명 및 검출 어퍼처들의 중심들 각각을 격자 피치 방향에 수직인 축으로부터
Figure pct00010
또는 그 가까이에 설정하는 수단을 포함할 수 있다.
이 바람직한 특징들을 달성하는 구성가능한 검출 어퍼처 프로파일을 구현하기 위한 다수의 방법들이 존재한다. 첫 번째 제안은 퓨필 프로파일들에서 조명 및 검출 어퍼처들의 프로그램가능한 시프트들을 적용하는 것을 포함할 수 있다. 이러한 방법은 1 이상의 광학 요소를 사용하여, 퓨필 평면에서 조명 및 검출 빔들 모두의 궤적을 병진시키거나 시프트할 수 있다.
일 실시예에서, 조명 퓨필 어퍼처의 중심 위치는 관련 축에 대해 검출 퓨필 어퍼처의 중심 위치와 동일한 거리 또는 그 가까이에 있으며, 여기서 관련 축은 타겟들의 피치 방향에 직교이다.
도 15는 이러한 구성의 단순화된 개략적인 다이어그램이다. 상기 구성은 퓨필 평면에 위치되는 한 쌍의 프리즘들, 또는 광학 웨지 요소들 또는 웨지들(W1, W2)에 기초한다. 웨지 요소들은 반대 방향들로 방위가 지정되어, 이들이 함께 그 방향을 실질적으로 변화시키지 않고 퓨필 평면에서 조명 및 검출 빔들을 시프트하도록[즉, 웨지 쌍에 의해 정의된 광학 시스템의 빔 입력 및 출력 사이에 방향들의 변화가 없도록, 상기 웨지들 중 첫 번째(W1)에 의해 부과된 방향의 변화는 상기 웨지들 중 두 번째(W2)에 의해 부과된 방향의 반대 변화에 의해 무효화됨] 할 수 있다. 또한, 도면은 대물 렌즈(OL) 및 기판(S)을 나타낸다. 초기 조명은 (평면 AA'에 나타낸 바와 같이) 고정된 퓨필에 의해 정의된다. 하지만, 광학 웨지들(W1, W2)은 조명 및 검출 퓨필 어퍼처들을 동시에 변동시키도록 구성가능하다. 나타낸 실시예에서, 광학 웨지들(W1, W2)은 빔을 따르는 방향으로 웨지들(W1, W2) 중 하나 또는 둘 모두를 이동시킴으로써 반대 평면들(AA', BB') 사이의 구성가능한 또는 변동가능한 거리를 통해 구성가능하다. 도면은 세 위치들(실선으로 나타낸 중심 위치 및 점선으로 나타낸 양쪽 두 위치들)에서의 웨지들(또는 더 구체적으로는 웨지 W2)을 나타낸다. 또한, 이 위치들 각각에 대응하는 조명 및 1차 회절된 방사선 경로들이 도시되어 있다(다시 경로들도 점선으로 된 웨지 W2 위치들에 대응하는 경로들에 대해 점선으로 표시됨).
프리즘들(W1, W2)은 평면 BB'에 나타낸 바와 같이 이들 간격에 따라 동일한 거리에서 동일한 크기만큼 퓨필 평면에서 조명 및 1차 회절된 방사선을 동시에 병진시킨다. 나타낸 바와 같이, 상보적인 조명 및 회절된 광은 광축(O)의 다른 측에 있는 반대 방위 웨지들을 사용하여 필요에 따라 반대 방향으로 시프트될 수 있다.
변동가능한 분리 거리를 갖는 웨지들에 대한 대안예로서, 다른 구성들은 프로그램가능한 또는 구성가능한 개방 각도를 갖는 웨지들을 포함할 수 있다. 예를 들어, 하나 또는 둘 모두의 웨지(W1, W2)들은 액체 렌즈 기술(예를 들어, 액체 렌즈 광학 요소)에 기초하는 튜닝가능한 웨지일 수 있다.
이상적으로, 조명 및 검출 어퍼처들은 (x-격자들에 대해) 광학 y-축과 동일한 거리를 갖는다. 하지만, 이는 도면에 나타낸 바와 같이 반드시 필요하지는 않다.
프리즘의 기계적 움직임은 짧은 스위칭 시간을 허용하도록 빨라야 한다. 1 ms 정도의 스위칭이 실현가능해야 한다는 것이 입증될 수 있다.
구성가능한 분리 거리 또는 형상을 갖는 프리즘들에 대한 대안예로서, 광학 요소들은 빔들을 시프트하기 위해, y-축의 각 측에 하나씩, 광학 플레이트들(예를 들어, 기울임가능한 또는 회전가능한 광학 플레이트들)을 포함할 수 있다. 도 16은 이러한 회전 광학 플레이트(OP)를 개략적으로 나타내며, 여기서 변위(D)는 입사각(θ)에 의존한다.
일 실시예에서, 빔 분리/조합 유닛이 방금 설명된 프리즘 기반 구성에 제공될 수 있다. 빔 분리/조합 유닛은 프리즘들 바로 위(또는 또 다른 퓨필 평면)에 제공될 수 있다. 이 유닛은 회절된 빔으로부터 조명 빔들을 분리한다.
이러한 빔 분리/조합 유닛은, 예를 들어 회절된 방사선이 아닌 조명을 지향시키기 위해 각각의 조명 경로에 배치되는 한 쌍의 작은 거울들을 포함하여(예를 들어, 거울은 부분적인 퓨필 스톱으로서 작용할 수 있음), 회절된 방사선만이 검출기를 향해 진행하도록 할 수 있다. 대안적으로, 거울들은 조명이 아니라, 회절된 방사선을 지향시키도록 배치될 수 있다.
한 쌍의 빔 스플리터들(예를 들어, 작은 빔 분할 큐브들)이 유사한 방식으로 사용될 수 있고, 조명 및 회절된 방사선 모두의 경로에 위치되지만, 이들 중 단 하나만을 편향하도록 구성될 수 있다. 빔 스플리터들은 정상 및 상보적인 회절 차수들을 검출기의 상이한 부분들로 향하게 하는 웨지들과 조합될 수 있고, 여기서 검출기 상의 이미지는 (예를 들어, 이미 설명된 4 부분 웨지 구성과 유사한) 단일 렌즈로 중계된다.
앞서 설명된 구성은 단 하나의 격자 방향(예를 들어, X 또는 Y)에서만 검출을 가능하게 한다. 도 17은 원뿔 형상[또는 액시콘(axicon)] 웨지(W2')가 대응하는 우묵한 웨지(W1')(후자는 단면으로 도시됨)와 함께 사용되어 X 및 Y 방향들 모두에서 조명 및 검출 어퍼처 프로파일들을 구성가능하게 만들 수 있는 또 다른 실시예를 나타낸다. 이 웨지들은 도 15의 웨지들(W1, W2)을 대체할 수 있다. 대안예로서, 지지될 수 있는 더 낮은 λ/피치 범위의 비용에도 불구하고, 도 15에 나타낸 2 개의 절반들 대신에 4 개의 사분면 웨지들을 사용하여 X 및 Y에서의 병행 획득이 달성될 수 있다. X 및 Y에서의 연이은 검출은 X 및 Y 측정들 사이에서 웨지 유닛의 회전에 의해 달성될 수 있다.
조명 및 검출 퓨필을 프로그램/구성하는 또 다른 대안예는 (중간) 퓨필 평면에서 퓨필의 확대 또는 축소 이미지를 생성하기 위해 (액시콘 및 우묵한 렌즈 구성 대신에) 줌 렌즈를 사용하는 것이다.
도 18은 (중간) 필드 평면에서 튜닝가능한 또는 변동가능한 각도를 갖는 거울들(TM)[예를 들어, 갈보 스캔 거울들(galvo scan mirrors)]을 포함하는 또 다른 실시예를 나타낸다. 필드 평면에서 거울들(TM)의 기울기를 변동시키는 것이 퓨필 평면에서 대응하는 병진을 유도한다. 또한, 이 도면은 대물 렌즈(OL), 기판(S) 및 렌즈 시스템(L1, L2)을 나타낸다. 퓨필의 두 절반들은, 예를 들어 제 1 퓨필 평면에서 웨지들(W1)을 사용하여 분리된다. 이 웨지들 위의 필드 평면에서, 퓨필 평면의 각 절반이 (설명된 바와 같이, 일부 메트롤로지 툴들의 검출 브랜치에서 현재 사용되는 웨지들과 유사하게) 변위된 이미지에 대응할 것이다. 이 평면에서, 기울임가능한 거울들(TM)은 조명(ILL) 및 회절(DIFF) 빔들의 각도 방향을 변화시키는 데 사용되며, 이는 차례로 후속한 퓨필 평면에서의 시프트 또는 변위에 대응한다. 거울들(TM)은 다른 축을 중심으로 여하한의 공칭 각도로 놓여, 나머지 광학기들을 평면에서 기울일 수 있다는 것을 유의한다. 이는 더 큰 경사 범위를 달성하는 데 도움이 될 수 있다. 이 아이디어는 X 및 Y 격자들을 모두 포함하도록 쉽게 확장될 수 있다. 이러한 거울 기반 실시예는 0.5 ms 이하의 매우 짧은 스위칭 시간들을 달성하기 위해 사용될 수 있다.
도 19는 연속적으로 프로그램가능한 구성보다는, 조명 및 검출 퓨필 어퍼처들의 전환가능한 구성을 이용하는 또 다른 실시예를 나타낸다. 이 실시예에서는, 이미징 모드 요소 또는 이미징 모드 휠(IMW)이 시스템의 퓨필 평면 내에 또는 그 주위에 배치되고, 각도 하에서 회절된 방사선(DIFF)을 대물 렌즈(OL)의 방향으로부터 멀리 편향하도록 위치된다. 이미징 모드 휠(IMW)은 반사 구역들 및 투과 구역들, 예를 들어 기울어진 거울들(M) 및 홀들(H)을 포함할 수 있다. 도면에서, 휠의 두 위치들은 각각 퓨필 평면에서 홀들(H) 및 거울들(M)의 상이한 위치를 갖는 것으로 도시되며, 여기서 홀들은 조명 어퍼처 프로파일을 정의하고 거울들(M)은 검출 어퍼처 프로파일을 정의하며, 그 반대의 경우도 마찬가지이다.
휠(IMW)은 각각의 회전 위치가 하나의 λ/피치 비에 대응하는 다수의 회전 위치들을 포함할 수 있다. 각각의 회전 위치에 대해, 거울들(M) 및/또는 홀들(H)의 위치 및 경사는 상이할 것이고, 이들이 주어진 λ/피치 비에 대해 원하는 조명 및 검출 어퍼처 프로파일들을 정의하기 위해 원하는 위치로 이동될 수 있도록 이루어질 것이다.
거울(M) 섹션들의 적절한 상이한 경사들을 제공함으로써, 이미징 모드 휠(IMW)의 기능은 또한 일부 현재 시스템들의 앞서 설명된 웨지들의 기능을 제공한다(즉, 이미지 평면에서 정상 및 상보적인 차수들을 분리하는 것). 조명은 조명 모드 선택기를 사용하여 도 5와 관련하여 설명된 것과 유사한 방식으로 제공될 수 있다. 하지만, 이는 전체 NA가 조명되어야 하고 후속하여 많은 부분이 조명 어퍼처에 의해 차단되기 때문에 광 손실을 유도한다. 이러한 광의 손실을 피하기 위해, 이 실시예는 도 18과 관련하여 설명된 바와 같은 필드 평면에서의 기울임가능한 거울들과 조합되어, 프로그램가능한 퓨필 부분을 고정된 작은 NA 조명 빔에 커플링할 수 있고, 따라서 광의 손실을 피할 수 있다.
설명된 구성들은 단지 예시들에 불과하며, 광학 디자인 분야의 숙련가들은 대안적인 방식으로 조명 구역들의 서브세트들에 대해 상이한 조명 조건들을 어떻게 구현할지를 알 것이다.
앞서 설명된 구성은 단지 이러한 시스템이 어떻게 구현될 수 있는지에 대한 일 예시를 나타내며, 상이한 하드웨어 셋업들이 가능하다는 것을 유의한다. 심지어, 예를 들어 조명 및 검출이 반드시 동일한 렌즈를 통하는 것은 아닐 수 있다.
측정 획득 동안, 메트롤로지 시스템의 구성요소들은 바람직한 또는 최적의 측정 조건, 예를 들어 XYZ 위치설정, 조명/검출 어퍼처 프로파일, 중심 파장, 대역폭, 세기 등과 관련하여 달라진다. 최적 조건에 대한 이러한 변동이 (예를 들어, 직접 측정 또는 예측을 통해) 알려진 경우, 획득된 이미지는 이러한 변동에 대해, 예를 들어 디컨볼루션을 통해 보정될 수 있다.
메트롤로지 시스템의 스루풋이 증가함에 따라, (빠른) 이동, 예를 들어 웨이퍼 스테이지 XY-이동 후 구성요소들의 정착(settling)에 더 많은 시간이 소비된다. 측정 시퀀스에 대해, 메트롤로지 시스템은 획득이 수행되는 특정 설정점에 대해 프로그램된다. 각각의 스캐닝 구성요소는 이 시퀀스 동안 자체 궤적을 가질 것이다. 최적화가 수행되어 모든 스캐닝 구성요소들 및 다른 시스템 제한들을 공동-최적화할 수 있다. 그 후, 앞서 설명된 바와 같은 획득 동안 구성요소들의 변동에 대한 보정은 모든 알려진 변동들을 보정하는 데 사용될 수 있다.
또한, 측정들은 이상적인 획득 순간 전후에 획득될 수 있다. 이 측정들은 더 나쁜 측정 조건들로 인해 더 낮은 품질을 가질 수 있지만, 여전히 관련 정보를 검색하는 데 사용될 수 있다. 측정들은 최적의 측정 조건들로부터의 편차에 기초하여 품질 KPI로 가중될 수 있다.
앞선 모든 실시예에서, 조명은 (예를 들어, 하나의 타겟을 측정하는 통합 시간 내의 변조로) 시간적으로 변조될 수 있다. 이 변조는 (공간적) 인코히런트 모드들의 수를 증가시키고, 따라서 코히런스를 억제하는 데 도움이 될 수 있다. 이러한 변조를 구현하기 위해, 고속 회전 접지 유리판과 같은 변조 요소가 조명 브랜치 내에서 구현되어 많은 스페클 모드들의 (시간적) 합산을 제공할 수 있다.
도 20은 본 명세서에 개시된 방법들 및 흐름들을 구현하는 데 도움이 될 수 있는 컴퓨터 시스템(1000)을 나타내는 블록 다이어그램이다. 컴퓨터 시스템(1000)은 정보를 전달하는 버스(1002) 또는 다른 통신 기구, 및 정보를 처리하는 버스(1002)와 커플링된 프로세서(1004)[또는 다중 프로세서들(1004 및 1005)]를 포함한다. 또한, 컴퓨터 시스템(1000)은 프로세서(1004)에 의해 실행될 정보 및 명령어들을 저장하는 RAM(random access memory) 또는 다른 동적 저장 디바이스와 같은, 버스(1002)에 커플링된 주 메모리(1006)를 포함한다. 또한, 주 메모리(1006)는 프로세서(1004)에 의해 실행될 명령어들의 실행 시 임시 변수들 또는 다른 매개 정보(intermediate information)를 저장하는 데 사용될 수 있다. 컴퓨터 시스템(1000)은 프로세서(1004)에 대한 정적 정보 및 명령어들을 저장하는 버스(1002)에 커플링된 ROM(read only memory: 1008) 또는 다른 정적 저장 디바이스를 더 포함한다. 자기 디스크 또는 광학 디스크와 같은 저장 디바이스(1010)가 제공되고 버스(1002)에 커플링되어 정보 및 명령어들을 저장한다.
컴퓨터 시스템(1000)은 버스(1002)를 통해, 컴퓨터 사용자에게 정보를 보여주는 CRT(cathode ray tube) 또는 평판 또는 터치 패널 디스플레이(touch panel display)와 같은 디스플레이(1012)에 커플링될 수 있다. 영숫자 및 다른 키들을 포함한 입력 디바이스(1014)는 정보 및 명령 선택(command selection)들을 프로세서(1004)로 전달하기 위해 버스(1002)에 커플링된다. 또 다른 타입의 사용자 입력 디바이스는 방향 정보 및 명령 선택들을 프로세서(1004)로 전달하고, 디스플레이(1012) 상의 커서 움직임을 제어하기 위한 마우스, 트랙볼(trackball) 또는 커서 방향키들과 같은 커서 제어부(cursor control: 1016)이다. 이 입력 디바이스는, 통상적으로 디바이스로 하여금 평면에서의 위치들을 특정하게 하는 2 개의 축선인 제 1 축선(예를 들어, x) 및 제 2 축선(예를 들어, y)에서 2 자유도를 갖는다. 또한, 입력 디바이스로서 터치 패널(스크린) 디스플레이가 사용될 수도 있다.
주 메모리(1006)에 포함된 1 이상의 명령어들의 1 이상의 시퀀스를 실행하는 프로세서(1004)에 응답하여 컴퓨터 시스템(1000)에 의해 본 명세서에 설명된 바와 같은 방법들 중 1 이상이 수행될 수 있다. 이러한 명령어들은 저장 디바이스(1010)와 같은 또 다른 컴퓨터-판독가능한 매체로부터 주 메모리(1006)로 읽혀질 수 있다. 주 메모리(1006) 내에 포함된 명령어들의 시퀀스들의 실행은, 프로세서(1004)가 본 명세서에 설명된 공정 단계들을 수행하게 한다. 또한, 주 메모리(1006) 내에 포함된 명령어들의 시퀀스들을 실행하기 위해 다중 처리 구성(multi-processing arrangement)의 1 이상의 프로세서가 채택될 수 있다. 대안적인 실시예에서, 하드웨어에 내장된 회로(hard-wired circuitry)가 소프트웨어 명령어들과 조합하거나 그를 대신하여 사용될 수 있다. 따라서, 본 명세서의 기재내용은 하드웨어 회로와 소프트웨어의 여하한의 특정 조합에 제한되지 않는다.
본 명세서에서 사용된 "컴퓨터-판독가능한 매체"라는 용어는 실행을 위해 프로세서(1004)에 명령어를 제공하는 데 관여하는 여하한의 매체를 칭한다. 이러한 매체는 비휘발성 매체(non-volatile media), 휘발성 매체 및 전송 매체를 포함하는 다수의 형태를 취할 수 있으며, 이에 제한되지는 않는다. 비휘발성 매체는, 예를 들어 저장 디바이스(1010)와 같은 광학 또는 자기 디스크를 포함한다. 휘발성 매체는 주 메모리(1006)와 같은 동적 메모리를 포함한다. 전송 매체는 버스(1002)를 포함하는 와이어들을 포함하여, 동축 케이블, 구리선 및 광섬유를 포함한다. 또한, 전송 매체는 무선 주파수(RF) 및 적외선(IR) 데이터 통신 시 발생되는 파장들과 같이 음파(acoustic wave) 또는 광파의 형태를 취할 수도 있다. 컴퓨터-판독가능한 매체의 보편적인 형태들은, 예를 들어 플로피 디스크, 플렉시블 디스크(flexible disk), 하드 디스크, 자기 테이프, 여하한의 다른 자기 매체, CD-ROM, DVD, 여하한의 다른 광학 매체, 펀치 카드, 종이 테이프, 홀(hole)들의 패턴을 갖는 여하한의 다른 물리적 매체, RAM, PROM, 및 EPROM, FLASH-EPROM, 여하한의 다른 메모리 칩 또는 카트리지, 이후 설명되는 바와 같은 반송파, 또는 컴퓨터가 판독할 수 있는 여하한의 다른 매체를 포함한다.
다양한 형태의 컴퓨터 판독가능한 매체는 실행을 위해 1 이상의 명령어들의 1 이상의 시퀀스를 프로세서(1004)로 전달하는 데 관련될 수 있다. 예를 들어, 명령어들은 초기에 원격 컴퓨터의 자기 디스크에 저장되어 있을 수 있다(bear). 원격 컴퓨터는 그 동적 메모리로 명령어들을 로딩하고, 모뎀을 이용하여 전화선을 통해 명령어들을 보낼 수 있다. 컴퓨터 시스템(1000)에 로컬인 모뎀이 전화선 상에서 데이터를 수신하고, 적외선 송신기를 사용하여 상기 데이터를 적외선 신호로 전환할 수 있다. 버스(1002)에 커플링된 적외선 검출기는 적외선 신호로 전달된 데이터를 수신하고, 상기 데이터를 버스(1002)에 놓을 수 있다. 버스(1002)는, 프로세서(1004)가 명령어들을 회수하고 실행하는 주 메모리(1006)로 상기 데이터를 전달한다. 주 메모리(1006)에 의해 수신된 명령어들은 프로세서(1004)에 의한 실행 전이나 후에 저장 디바이스(1010)에 선택적으로 저장될 수 있다.
또한, 컴퓨터 시스템(1000)은 바람직하게는 버스(1002)에 커플링된 통신 인터페이스(1018)를 포함한다. 통신 인터페이스(1018)는 로컬 네트워크(1022)에 연결되는 네트워크 링크(1020)에 커플링하여 양방향(two-way) 데이터 통신을 제공한다. 예를 들어, 통신 인터페이스(1018)는 ISDN(integrated services digital network) 카드 또는 대응하는 타입의 전화선에 데이터 통신 연결을 제공하는 모뎀일 수 있다. 또 다른 예시로서, 통신 인터페이스(1018)는 호환성 LAN에 데이터 통신 연결을 제공하는 LAN(local area network) 카드일 수 있다. 또한, 무선 링크가 구현될 수도 있다. 여하한의 이러한 구현에서, 통신 인터페이스(1018)는 다양한 타입의 정보를 나타내는 디지털 데이터 스트림들을 전달하는 전기적, 전자기적 또는 광학적 신호들을 송신하고 수신한다.
통상적으로, 네트워크 링크(1020)는 1 이상의 네트워크를 통해 다른 데이터 디바이스에 데이터 통신을 제공한다. 예를 들어, 네트워크 링크(1020)는 로컬 네트워크(1022)를 통해 호스트 컴퓨터(1024), 또는 ISP(Internet Service Provider: 1026)에 의해 작동되는 데이터 장비로의 연결을 제공할 수 있다. 차례로, ISP(1026)는 이제 보편적으로 "인터넷"(1028)이라고 칭하는 월드와이드 패킷 데이터 통신 네트워크를 통해 데이터 통신 서비스를 제공한다. 로컬 네트워크(1022) 및 인터넷(1028)은 둘 다 디지털 데이터 스트림들을 전달하는 전기적, 전자기적 또는 광학적 신호들을 사용한다. 다양한 네트워크를 통한 신호들, 및 컴퓨터 시스템(1000)에 또한 그로부터 디지털 데이터를 전달하는 통신 인터페이스(1018)를 통한 네트워크 링크(1020) 상의 신호들은 정보를 전달하는 반송파의 예시적인 형태들이다.
컴퓨터 시스템(1000)은 네트워크(들), 네트워크 링크(1020) 및 통신 인터페이스(1018)를 통해 메시지들을 송신하고, 프로그램 코드를 포함한 데이터를 수신할 수 있다. 인터넷 예시에서는, 서버(1030)가 인터넷(1028), ISP(1026), 로컬 네트워크(1022) 및 통신 인터페이스(1018)를 통해 어플리케이션 프로그램에 대한 요청된 코드를 전송할 수 있다. 하나의 이러한 다운로드된 어플리케이션은, 예를 들어 본 실시예에 설명된 기술들 중 1 이상을 제공할 수 있다. 수신된 코드는 수신될 때 프로세서(1004)에 의해 실행될 수 있고, 및/또는 추후 실행을 위해 저장 디바이스(1010) 또는 다른 비휘발성 저장소에 저장될 수 있다. 이 방식으로, 컴퓨터 시스템(1000)은 반송파의 형태로 어플리케이션 코드를 얻을 수 있다.
추가 실시예들이 번호가 매겨진 항목들의 후속 리스트에 개시되어 있다:
1. 적어도 하나의 파장을 갖는 조명 방사선으로 기판 상의 주기적 구조체를 측정하는 방법으로서,
주기적 구조체는 적어도 하나의 피치를 가지며, 상기 방법은:
상기 피치 및 상기 파장의 비에 기초하여, 푸리에 공간 내의 1 이상의 조명 구역을 포함하는 조명 어퍼처 프로파일; 측정을 위한 주기적 구조체의 방위; 및 푸리에 공간 내의 1 이상의 분리된 검출 구역을 포함하는 검출 어퍼처 프로파일 중 1 이상을: ⅰ) 적어도 한 쌍의 상보적인 회절 차수들의 회절된 방사선이 검출 어퍼처 프로파일 내에 캡처되고, ⅱ) 상기 회절된 방사선이 1 이상의 분리된 검출 구역의 적어도 80 %를 채우도록 구성하는 단계; 및
구성된 조명 어퍼처 프로파일, 검출 어퍼처 프로파일 및 주기적 구조체의 방위 중 1 이상을 적용하면서 주기적 구조체를 측정하는 단계를 포함하는 방법.
2. 1 항에 있어서, 조명 어퍼처 프로파일은 적어도 2 개의 실질적으로 상이한(예를 들어, 반대) 각도 방향들로부터 주기적 구조체를 조명하기 위한 푸리에 공간 내의 상기 1 이상의 조명 구역을 포함하고, 검출 어퍼처 프로파일은 상기 상보적인 회절 차수들의 쌍 중 각 하나를 캡처하기 위한 푸리에 공간 내의 적어도 2 개의 분리된 검출 구역들을 포함하는 방법.
3. 2 항에 있어서, 조명 어퍼처 프로파일은 주기적 구조체 내에 포함된 서브-구조체들의 2 개의 주기적 방위들 각각에 대해 상기 2 개의 실질적으로 상이한(예를 들어, 반대) 각도 방향들의 두 그룹들로부터 주기적 구조체를 조명하기 위한 푸리에 공간 내의 상기 1 이상의 조명 구역을 포함하고, 검출 어퍼처 프로파일은 상기 주기적 방위들 각각에 대해 상기 상보적인 회절 차수들의 쌍 중 각 하나를 캡처하기 위한 푸리에 공간 내의 4 개의 검출 구역들을 포함하는 방법.
4. 2 항 또는 3 항에 있어서, 상기 1 이상의 조명 구역의 분리된 조명 구역은 각각의 검출 구역의 각 하나에 각각 대응하고, 각각의 조명 구역은 대응하는 검출 구역과 동일한 크기이거나 더 큰 방법.
5. 4 항에 있어서, 각각의 조명 구역은 대응하는 검출 구역보다 10 % 이상 크지 않거나, 선택적으로 20 % 이상 크지 않거나, 선택적으로 30 % 이상 크지 않은 방법.
6. 2 항 또는 3 항에 있어서, 상기 1 이상의 조명 구역은 단일 조명 구역만을 포함하는 방법.
7. 6 항에 있어서, 단일 조명 구역은 검출 어퍼처 프로파일에 사용되는 푸리에 공간 이외의 이용가능한 푸리에 공간, 및 조명 어퍼처 프로파일과 검출 어퍼처 프로파일 사이의 마진을 포함하는 방법.
8. 2 항 내지 7 항 중 어느 하나에 있어서, 상기 검출 구역들 각각은 0.4 이하의 개구수를 정의하는 방법.
9. 1 항 내지 8 항 중 어느 하나에 있어서, 상기 조명 어퍼처 프로파일을 구성하는 단계는 상기 조명 프로파일을 부과하기 위해 대물 렌즈의 퓨필 평면 또는 중간 평면, 또는 그 동등한 평면에서 조명 방사선을 공간 필터링하는 단계를 포함하는 방법.
10. 1 항 내지 9 항 중 어느 하나에 있어서, 적어도 2 개의 상이한 상기 조명 구역들 및/또는 검출 구역들에 대해 상이한 조명 조건들을 부과하는 단계를 포함하는 방법.
11. 1 항 내지 10 항 중 어느 하나에 있어서, 상기 조명 방사선은 멀티모드 방사선; 또는 시간적 및/또는 공간적 인코히런트 방사선 또는 그 근사를 포함하는 방법.
12. 11 항에 있어서, 측정의 통합 시간 내의 변조로 상기 조명 방사선을 시간적으로 변조하는 단계를 포함하는 방법.
13. 12 항에 있어서, 상기 변조는 많은 스페클 모드들의 시간적 합산을 제공하도록 충분히 빠르게 조명 방사선 내에서 접지 유리판을 회전시킴으로써 구현되는 방법.
14. 11 항, 12 항 또는 13 항에 있어서, 측정 동안 얻어진 주기적 구조체의 이미지를 보정하는 단계를 포함하는 방법.
15. 14 항에 있어서, 상기 보정하는 단계는 측정들을 수행하는 데 사용되는 센서 광학기의 수차들에 대해 상기 이미지를 보정하는 단계를 포함하는 방법.
16. 15 항에 있어서, 수차들에 대해 상기 이미지를 보정하는 단계는 이미지 위치 의존적 보정으로서 수행되는 방법.
17. 15 항 또는 16 항에 있어서, 상기 보정하는 단계는 원시 이미지 및 보정 커널의 컨볼루션을 수행하는 단계를 포함하고, 보정 커널은 위치 의존적인 방법.
18. 17 항에 있어서, 상기 보정하는 단계는 1 이상의 이미지 처리 작업들 각각에 대한 컨볼루션을 더 포함하는 방법.
19. 15 항, 16 항, 17 항 또는 18 항에 있어서, 상기 보정하는 단계는 컨볼루션 뉴럴 네트워크를 사용하여 적용되는 방법.
20. 15 항 내지 19 항 중 어느 하나에 있어서, 상기 방법은 측정들을 수행하기 위해 사용되는 센서 광학기로 인한 점확산 함수에서의 수차들에 대해 점확산 함수를 재형성하도록 상기 이미지를 보정하는 단계를 포함하는 방법.
21. 15 항 내지 20 항 중 어느 하나에 있어서, 상기 보정하는 단계는 전산 아포다이제이션 또는 유사한 성형 기술에 의해 이미지의 크로스토크를 감소시키는 단계를 포함하는 방법.
22. 15 항 내지 21 항 중 어느 하나에 있어서, 최적 측정 조건으로부터의 여하한의 편차에 대해 이미지를 보정하는 단계를 더 포함하는 방법.
23. 15 항 내지 22 항 중 어느 하나에 있어서, 상기 수차들은 의도적인 파면 변조 수차들을 포함하고, 상기 방법은 센서 광학기의 사용가능한 포커스 범위 및/또는 피사계 심도를 확대하기 위해 파면 변조 수차들을 보정하는 단계를 포함하는 방법.
24. 14 항 내지 23 항 중 어느 하나에 있어서, 상기 보정하는 단계는: 측정 광학기에 기인하는 잔차 오차를 결정하기 위해 2 개의 반대 회전들 하에 주기적 구조체를 측정하는 것을 수행하는 단계, 및 필드-의존적 성분에 대한 잔차 오차를 캡처하기 위해 기판 평면에서의 상이한 위치 시프트들 하에 주기적 구조체를 이미징하는 단계 중 1 이상에 의해 결정되는 잔차 오차에 기초하는 방법.
25. 1 항 내지 24 항 중 어느 하나에 있어서, 조명 방사선은 다수 파장들에 걸쳐 있는 파장 대역을 포함하고, 상기 적어도 하나의 파장은 중심 파장을 포함하는 방법.
26. 1 항 내지 25 항 중 어느 하나에 있어서, 상기 주기적 구조체의 방위를 구성하는 단계는 상기 피치(들) 및 파장의 비에 따라 광축을 중심으로 주기적 구조체를 회전시키는 단계를 포함하는 방법.
27. 26 항에 있어서, 상기 주기적 구조체를 회전시키는 단계는 광축을 중심으로 기판을 회전시키거나 광축을 중심으로 센서의 적어도 일부를 회전시킴으로써 수행되는 방법.
28. 26 항 또는 27 항에 있어서, 상기 주기적 구조체를 회전시키는 단계는 그것이 회전 없는 경우보다 검출 어퍼처 프로파일 및/또는 조명 어퍼처 프로파일의 증가된 영역; 및/또는 상기 파장들의 증가된 범위 및/또는 상기 피치들의 증가된 범위에 대한 측정가능성 및/또는 주위 구조체들로부터의 크로스토크의 더 나은 억제를 가능하게 하도록 이루어지는 방법.
29. 1 항 내지 28 항 중 어느 하나에 있어서, 조명 어퍼처 프로파일은 적어도 2 개의 실질적으로 상이한(예를 들어, 반대) 각도 방향들로부터 주기적 구조체를 조명하기 위한 푸리에 공간 내의 복수의 조명 구역들을 포함하고, 상기 조명 구역들의 서브세트들은 상이한 조명 조건들을 포함하는 방법.
30. 29 항에 있어서, 상이한 조명 조건은: 편광 상태, 세기, 파장 및 통합 시간 중 1 이상을 포함하는 방법.
31. 29 항 또는 30 항에 있어서, 복수의 조명 구역들은 두 쌍의 상기 조명 구역들을 포함하고, 각각의 쌍은 상기 상이한 조명 조건들을 포함하는 방법.
32. 31 항에 있어서, 빔 조합 디바이스를 사용하여 두 쌍의 조명 구역들을 조합하는 단계를 포함하는 방법.
33. 32 항에 있어서, 빔 조합 디바이스는 편광 빔 스플리터인 방법.
34. 31 항에 있어서, 1 이상의 광학 요소는 상기 상이한 조명 조건들을 제공하기 위해 푸리에 공간 내의 각각의 상기 쌍의 조명 구역들 중 하나 또는 둘 모두의 경로에 배치되는 방법.
35. 1 항 내지 34 항 중 어느 하나에 있어서, 상기 회절된 방사선은 1 이상의 분리된 검출 구역의 적어도 80 %를 채우는 방법.
36. 1 항 내지 35 항 중 어느 하나에 있어서, 각각의 캡처된 회절 차수로부터의 회절된 방사선은 이미지 평면에서 개별적으로 이미징되는 방법.
37. 1 항 내지 36 항 중 어느 하나에 있어서, 각각의 캡처된 회절 차수로부터의 회절된 방사선은 두 번 이미징되는 방법.
38. 1 항 내지 37 항 중 어느 하나에 있어서, 상기 조명 어퍼처 프로파일 및 검출 어퍼처 프로파일 둘 다를 동시에 구성하는 단계를 포함하는 방법.
39. 38 항에 있어서, 상기 동시에 구성하는 단계는 상기 회절된 방사선의 적어도 한 쌍의 상기 회절된 빔들 및 상기 조명 방사선의 적어도 한 쌍의 조명 빔들의 경로에서 1 이상의 광학 요소를 변동시켜, 상기 회절된 빔들 및 상기 조명 빔들의 궤적들이 상기 푸리에 공간에서 병진 및/또는 시프트되도록 하는 단계를 포함하는 방법.
40. 39 항에 있어서, 상기 1 이상의 광학 요소는 이들이 함께 상기 푸리에 공간에서 상기 회절된 빔들 및 상기 조명 빔들을 실질적으로 방향을 변화시키지 않고 시프트하도록 이루어지는 방법.
41. 39 항 또는 40 항에 있어서, 1 이상의 광학 요소는 조명 및 회절 빔들의 쌍당 유사한 구성을 갖지만 반대 방향들로 방위가 지정되는 한 쌍의 광학 웨지 요소들을 포함하는 방법.
42. 39 항 또는 40 항에 있어서, 1 이상의 광학 요소는:
액시콘 또는 원뿔 요소 및 대응하는 우묵한 요소; 또는
(중간) 퓨필 평면에서 푸리에 공간의 확대 또는 축소 이미지들을 생성하도록 작동가능한 줌 렌즈 구성을 포함하는 방법.
43. 39 항 내지 42 항 중 어느 하나에 있어서, 상기 1 이상의 광학 요소를 변동시키는 단계는 한 쌍의 광학 요소들 사이의 분리 거리를 변동시키는 단계를 포함하는 방법.
44. 39 항 내지 42 항 중 어느 하나에 있어서, 상기 1 이상의 광학 요소를 변동시키는 단계는 1 이상의 광학 요소의 개방 각도를 변동시키는 단계를 포함하고, 상기 광학 요소들은 액체 렌즈 광학 요소들을 포함하는 방법.
45. 39 항 또는 40 항에 있어서, 상기 1 이상의 광학 요소를 변동시키는 단계는 적어도 한 쌍의 광학 플레이트들의 각도를 변동시키는 단계를 포함하는 방법.
46. 39 항 내지 45 항 중 어느 하나에 있어서, 상기 1 이상의 광학 요소는 퓨필 평면 내에 포함되는 방법.
47. 39 항 또는 40 항에 있어서, 상기 1 이상의 광학 요소를 변동시키는 단계는 필드 평면 또는 중간 필드 평면에서 적어도 한 쌍의 광학 거울들의 각도를 변동시키는 단계를 포함하는 방법.
48. 39 항 내지 47 항 중 어느 하나에 있어서, 회절된 빔들의 검출 전에 상기 회절 빔들로부터 상기 조명 빔들을 분리하기 위한 추가 광학 요소들을 포함하는 방법.
49. 38 항에 있어서, 상기 1 이상의 광학 요소를 변동시키는 단계는 퓨필 평면에서 반사 구역들 및 투과 구역들의 상이한 구성들을 위치시키는 단계를 포함하는 방법.
50. 49 항에 있어서, 퓨필 평면에서 1 이상의 반사 구역 및 1 이상의 투과 구역의 상이한 구성들을 위치시키는 단계는 상기 반사 구역들 및 투과 구역들을 포함하는 이미징 모드 요소의 방위 및/또는 위치를 변동시키는 단계를 포함하는 방법.
51. 1 항 내지 50 항 중 어느 하나에 있어서, 조명 어퍼처 프로파일을 구성하는 단계는 조명 방사선만을 포함하여야 하는 중심 반경방향 어퍼처 치수를 구성하는 단계를 포함하는 방법.
52. 51 항에 있어서, 상기 조명 어퍼처 프로파일에 대해 상기 1 이상의 분리된 검출 구역들 각각에 대한 안전 마진을 구성하는 단계를 더 포함하는 방법.
53. 1 항 내지 52 항 중 어느 하나의 방법을 수행하도록 작동가능한 메트롤로지 디바이스.
54. 기판 상의 주기적 구조체를 측정하는 메트롤로지 디바이스로서,
푸리에 공간 내의 1 이상의 분리된 검출 구역을 포함하는 검출 어퍼처 프로파일; 및
푸리에 공간 내의 1 이상의 조명 구역을 포함하는 조명 어퍼처 프로파일을 포함하며,
상기 검출 어퍼처 프로파일, 상기 조명 어퍼처 프로파일, 및 측정되는 주기적 구조체를 포함하는 기판의 기판 방위 중 1 이상은 주기적 구조체의 적어도 하나의 피치 및 상기 주기적 구조체를 측정하는 데 사용되는 조명 방사선의 적어도 하나의 파장의 비에 기초하여:
ⅰ) 적어도 한 쌍의 상보적인 회절 차수들이 검출 어퍼처 프로파일 내에 캡처되고,
ⅱ) 상기 상보적인 회절 차수들의 쌍의 방사선이 1 이상의 분리된 검출 구역의 적어도 80 %를 채우도록 구성가능한 메트롤로지 디바이스.
55. 54 항에 있어서, 조명 어퍼처 프로파일은 적어도 2 개의 실질적으로 상이한(예를 들어, 반대) 각도 방향들로부터 주기적 구조체를 조명하기 위한 푸리에 공간 내의 상기 1 이상의 조명 구역을 포함하고, 검출 어퍼처 프로파일은 상기 상보적인 회절 차수들의 쌍 중 각 하나를 캡처하기 위한 푸리에 공간 내의 적어도 2 개의 분리된 검출 구역들을 포함하는 메트롤로지 디바이스.
56. 54 항에 있어서, 조명 어퍼처 프로파일은 주기적 구조체 내에 포함된 서브-구조체들의 2 개의 주기적 방위들 각각에 대해 상기 2 개의 실질적으로 상이한(예를 들어, 반대) 각도 방향들의 두 그룹들로부터 주기적 구조체를 조명하기 위한 푸리에 공간 내의 1 이상의 조명 구역을 포함하고, 검출 어퍼처 프로파일은 상기 주기적 방위들 각각에 대해 상기 상보적인 회절 차수들의 쌍 중 각 하나를 캡처하기 위한 푸리에 공간 내의 4 개의 검출 구역들을 포함하는 메트롤로지 디바이스.
57. 55 항 또는 56 항에 있어서, 분리된 조명 구역은 각각의 검출 구역의 각 하나에 각각 대응하고, 각각의 조명 구역은 대응하는 검출 구역과 동일한 크기이거나 더 큰 메트롤로지 디바이스.
58. 57 항에 있어서, 각각의 조명 구역은 대응하는 검출 구역보다 10 % 이상 크지 않거나, 선택적으로 20 % 이상 크지 않거나, 선택적으로 30 % 이상 크지 않은 메트롤로지 디바이스.
59. 55 항 또는 56 항에 있어서, 상기 1 이상의 조명 구역은 단일 조명 구역만을 포함하는 메트롤로지 디바이스.
60. 59 항에 있어서, 단일 조명 구역은 검출 어퍼처 프로파일에 사용되는 것 이외의 이용가능한 푸리에 공간, 및 조명 어퍼처 프로파일과 검출 어퍼처 프로파일 사이의 마진을 포함하는 메트롤로지 디바이스.
61. 55 항 내지 60 항 중 어느 하나에 있어서, 상기 검출 구역들 각각은 0.4 이하의 개구수를 정의하는 메트롤로지 디바이스.
62. 55 항 내지 61 항 중 어느 하나에 있어서, 검출 거울들 또는 다른 광학 요소들을 포함하고, 이들 각각은 상기 검출 구역들 중 각 하나의 위치 및 어퍼처를 정의하는 메트롤로지 디바이스.
63. 54 항 내지 62 항 중 어느 하나에 있어서, 대물 렌즈의 퓨필 평면 또는 중간 평면, 또는 그 동등한 평면에서 조명 방사선을 필터링함으로써 상기 조명 어퍼처 프로파일을 부과하기 위한 공간 필터를 포함하는 메트롤로지 디바이스.
64. 63 항에 있어서, 공간 필터는 피치 및 파장의 비에 따라 물리적으로 대체가능한 메트롤로지 디바이스.
65. 64 항에 있어서, 복수의 공간 필터들이 필터 휠에 장착되는 메트롤로지 디바이스.
66. 63 항에 있어서, 공간 필터는 프로그램가능한 공간 광 변조기를 포함하는 메트롤로지 디바이스.
67. 54 항 내지 62 항 중 어느 하나에 있어서, 상기 조명 어퍼처 프로파일을 부과하기 위해 구성가능한 조명 프로파일을 갖는 조명 소스를 포함하는 메트롤로지 디바이스.
68. 54 항 내지 67 항 중 어느 하나에 있어서, 적어도 2 개의 상이한 상기 조명 구역들 및/또는 검출 구역들에 대해 상이한 조명 조건들을 부과하도록 작동가능한 메트롤로지 디바이스.
69. 54 항 내지 68 항 중 어느 하나에 있어서, 상기 조명 방사선은 멀티모드 방사선; 또는 인코히런트 방사선 또는 그 근사를 포함하는 메트롤로지 디바이스.
70. 69 항에 있어서, 측정의 통합 시간 내의 변조로 상기 조명 방사선을 시간적으로 변조하는 변조 요소를 포함하는 메트롤로지 디바이스.
71. 70 항에 있어서, 상기 변조 요소는 회전가능한 접지 유리판을 포함하는 메트롤로지 디바이스.
72. 54 항 내지 71 항 중 어느 하나에 있어서, 측정 동안 얻어진 주기적 구조체의 이미지를 보정하도록 구성되는 프로세서를 포함하는 메트롤로지 디바이스.
73. 72 항에 있어서, 상기 프로세서는 측정들을 수행하는 데 사용되는 센서 광학기의 수차들에 대해 상기 이미지를 보정하도록 작동가능한 메트롤로지 디바이스.
74. 73 항에 있어서, 상기 프로세서는 이미지 위치 의존적 보정으로서 수차들에 대해 상기 이미지를 보정하도록 작동가능한 메트롤로지 디바이스.
75. 73 항 또는 74 항에 있어서, 상기 프로세서는 원시 이미지 및 보정 커널의 컨볼루션을 통해 상기 보정을 수행하도록 작동가능하고, 보정 커널은 위치 의존적인 메트롤로지 디바이스.
76. 75 항에 있어서, 상기 프로세서는 1 이상의 이미지 처리 작업들 각각에 대한 컨볼루션으로서 상기 보정을 수행하도록 작동가능한 메트롤로지 디바이스.
77. 73 항 내지 76 항 중 어느 하나에 있어서, 상기 프로세서는 컨볼루션 뉴럴 네트워크를 사용하여 상기 보정을 수행하도록 구성되는 메트롤로지 디바이스.
78. 73 항 내지 77 항 중 어느 하나에 있어서, 상기 프로세서는 측정들을 수행하기 위해 사용되는 센서 광학기로 인한 점확산 함수에서의 수차들에 대해 점확산 함수를 재형성하도록 상기 이미지를 보정하도록 더 작동가능한 메트롤로지 디바이스.
79. 73 항 내지 78 항 중 어느 하나에 있어서, 상기 프로세서는 최적 측정 조건으로부터의 여하한의 편차에 대해 이미지를 더 보정하도록 더 작동가능한 메트롤로지 디바이스.
80. 73 항 내지 79 항 중 어느 하나에 있어서, 상기 수차들은 의도적인 파면 변조 수차들을 포함하고, 상기 프로세서는 센서의 사용가능한 포커스 범위 및/또는 피사계 심도를 확대하기 위해 파면 변조 수차들을 보정하도록 더 구성되는 메트롤로지 디바이스.
81. 72 항 내지 80 항 중 어느 하나에 있어서, 상기 프로세서는 전산 아포다이제이션 또는 유사한 성형 기술에 의해 이미지의 크로스토크를 감소시키도록 작동가능한 메트롤로지 디바이스.
82. 72 항 내지 81 항 중 어느 하나에 있어서, 측정 광학기에 기인하는 잔차 오차를 결정하기 위해 2 개의 반대 회전들 하에 주기적 구조체를 측정하는 것을 수행하는 단계, 및 필드-의존적 성분에 대한 잔차 오차를 캡처하기 위해 기판 평면에서의 상이한 위치 시프트들 하에 주기적 구조체를 이미징하는 단계 중 1 이상에 의해 결정되는 잔차 오차에 기초하여 상기 보정을 수행하도록 작동가능한 메트롤로지 디바이스.
83. 54 항 내지 82 항 중 어느 하나에 있어서, 조명 방사선은 다수 파장들에 걸쳐 있는 파장 대역을 포함하고, 상기 적어도 하나의 파장은 중심 파장을 포함하는 메트롤로지 디바이스.
84. 54 항 내지 83 항 중 어느 하나에 있어서, 기판을 유지하는 기판 지지체를 포함하고, 기판 지지체는 그 광축을 중심으로 회전가능하며, 메트롤로지 디바이스는 상기 피치 및 파장의 비에 따라 광축을 중심으로 센서의 적어도 일부를 회전시키거나 광축을 중심으로 기판을 적어도 부분적으로 회전시킴으로써 기판 방위를 구성하도록 작동가능한 메트롤로지 디바이스.
85. 84 항에 있어서, 상기 기판을 회전시키는 것은 그것이 회전 없는 경우보다 검출 어퍼처 프로파일 및/또는 조명 어퍼처 프로파일의 증가된 영역; 및/또는 상기 파장들의 증가된 범위 및/또는 상기 피치들의 증가된 범위에 대한 측정가능성을 가능하게 하도록 이루어지는 메트롤로지 디바이스.
86. 54 항 내지 85 항 중 어느 하나에 있어서, 상기 조명 방사선을 제공하는 조명 소스를 포함하는 메트롤로지 디바이스.
87. 54 항 내지 86 항 중 어느 하나에 있어서, 조명 어퍼처 프로파일은 적어도 2 개의 실질적으로 반대인 각도 방향들로부터 주기적 구조체를 조명하기 위한 푸리에 공간 내의 복수의 조명 구역들을 포함하고, 상기 조명 구역들의 서브세트들은 상이한 조명 조건들을 포함하는 메트롤로지 디바이스.
88. 87 항에 있어서, 상이한 조명 조건은: 편광 상태, 세기, 파장 및 통합 시간 중 1 이상을 포함하는 메트롤로지 디바이스.
89. 87 항 또는 88 항에 있어서, 복수의 조명 구역들은 두 쌍의 상기 조명 구역들을 포함하고, 각각의 쌍은 상기 상이한 조명 조건들을 포함하는 메트롤로지 디바이스.
90. 89 항에 있어서, 두 쌍의 조명 구역들을 조합하도록 작동가능한 빔 조합 디바이스를 포함하는 메트롤로지 디바이스.
91. 90 항에 있어서, 빔 조합 디바이스는 편광 빔 스플리터인 메트롤로지 디바이스.
92. 89 항에 있어서, 상기 상이한 조명 조건들을 제공하기 위해 푸리에 공간 내의 각각의 상기 쌍의 조명 구역들 중 하나 또는 둘 모두의 경로에 1 이상의 광학 요소를 포함하는 메트롤로지 디바이스.
93. 54 항 내지 92 항 중 어느 하나에 있어서, 상기 회절된 방사선은 1 이상의 분리된 검출 구역의 100 %를 채우는 메트롤로지 디바이스.
94. 54 항 내지 93 항 중 어느 하나에 있어서, 각각의 캡처된 회절 차수로부터의 회절된 방사선이 이미지 평면에서 개별적으로 이미징되도록 작동가능한 광학 요소를 포함하는 메트롤로지 디바이스.
95. 54 항 내지 94 항 중 어느 하나에 있어서, 각각의 캡처된 회절 차수로부터의 회절된 방사선이 두 번 이미징되도록 작동가능한 메트롤로지 디바이스.
96. 54 항 내지 95 항 중 어느 하나에 있어서, 상기 조명 어퍼처 프로파일 및 검출 어퍼처 프로파일 둘 모두의 동시 구성을 위해 배치되는 메트롤로지 디바이스.
97. 96 항에 있어서, 상기 동시 구성은 상기 회절된 방사선의 적어도 한 쌍의 상기 회절된 빔들 및 상기 조명 방사선의 적어도 한 쌍의 조명 빔들의 경로에서 1 이상의 광학 요소를 포함하고, 상기 1 이상의 광학 요소는 상기 회절된 빔들 및 상기 조명 빔들의 궤적들이 상기 푸리에 공간에서 병진 및/또는 시프트되도록 변동가능한 메트롤로지 디바이스.
98. 97 항에 있어서, 상기 1 이상의 광학 요소는 이들이 함께 상기 푸리에 공간에서 상기 회절된 빔들 및 상기 조명 빔들을 실질적으로 방향을 변화시키지 않고 시프트하도록 이루어지는 메트롤로지 디바이스.
99. 97 항 또는 98 항에 있어서, 1 이상의 광학 요소는 조명 및 회절 빔들의 쌍당 유사한 구성을 갖지만 반대 방향들로 방위가 지정되는 한 쌍의 광학 웨지 요소들을 포함하는 메트롤로지 디바이스.
100. 97 항 또는 98 항에 있어서, 1 이상의 광학 요소는:
액시콘 또는 원뿔 요소 및 대응하는 우묵한 요소; 또는
(중간) 퓨필 평면에서 푸리에 공간의 확대 또는 축소 이미지들을 생성하도록 작동가능한 줌 렌즈 구성을 포함하는 메트롤로지 디바이스.
101. 97 항 내지 100 항 중 어느 하나에 있어서, 상기 1 이상의 광학 요소는 한 쌍의 광학 요소들 사이의 변동가능한 분리 거리를 포함하고, 이 변동은 상기 조명 어퍼처 프로파일 및 검출 어퍼처 프로파일 둘 모두를 동시에 구성하는 메트롤로지 디바이스.
102. 97 항 내지 100 항 중 어느 하나에 있어서, 상기 광학 요소들은 액체 렌즈 광학 요소들을 포함하고, 1 이상의 광학 요소들 중 적어도 하나는 변동가능한 개방 각도를 포함하며, 이 변동은 상기 조명 어퍼처 프로파일 및 검출 어퍼처 프로파일 둘 모두를 동시에 구성하는 메트롤로지 디바이스.
103. 97 항 또는 98 항에 있어서, 상기 1 이상의 광학 요소는 적어도 한 쌍의 광학 플레이트들을 포함하고, 그 각각의 각도의 변동은 상기 조명 어퍼처 프로파일 및 검출 어퍼처 프로파일 둘 모두를 동시에 구성하는 메트롤로지 디바이스.
104. 97 항 내지 103 항 중 어느 하나에 있어서, 상기 1 이상의 광학 요소는 메트롤로지 디바이스의 퓨필 평면 내에 포함되는 메트롤로지 디바이스.
105. 97 항 또는 98 항에 있어서, 상기 1 이상의 광학 요소는 메트롤로지 디바이스의 필드 평면 또는 중간 필드 평면에서 적어도 한 쌍의 광학 거울들을 포함하고, 그 각각의 각도의 변동은 상기 조명 어퍼처 프로파일 및 검출 어퍼처 프로파일 둘 모두를 동시에 구성하는 메트롤로지 디바이스.
106. 97 항 내지 105 항 중 어느 하나에 있어서, 회절된 빔들의 검출 전에 상기 회절 빔들로부터 상기 조명 빔들을 분리하기 위한 추가 광학 요소들을 포함하는 메트롤로지 디바이스.
107. 96 항에 있어서, 메트롤로지 디바이스의 퓨필 평면에 이미징 모드 요소를 포함하고, 상기 이미징 모드 요소는 1 이상의 반사 구역 및 1 이상의 투과 구역을 포함하며, 이미징 모드 요소는 그 방위 및/또는 위치를 변동시키는 것이 상기 조명 어퍼처 프로파일 및 검출 어퍼처 프로파일 둘 모두를 동시에 구성하도록 배치되는 메트롤로지 디바이스.
108. 54 항 내지 107 항 중 어느 하나에 있어서, 상기 조명 어퍼처 프로파일은 조명 방사선만을 포함하여야 하는 중심 반경방향 개구수 치수를 정의하도록 구성가능한 메트롤로지 디바이스.
109. 108 항에 있어서, 상기 조명 어퍼처 프로파일에 대해 상기 1 이상의 분리된 검출 구역들 각각에 대한 구성가능한 안전 마진을 더 포함하는 메트롤로지 디바이스.
110. 적어도 하나의 파장을 갖는 조명 방사선으로 기판 상의 적어도 하나의 주기적 피치를 갖는 주기적 구조체를 측정하는 메트롤로지 디바이스로서,
기판을 유지하는 기판 지지체를 포함하며, 기판 지지체는 그 광축을 중심으로 회전가능하고, 메트롤로지 디바이스는 상기 피치 및 파장의 비에 의존하여 광축을 중심으로 기판을 회전시킴으로써 조명 어퍼처 프로파일을 최적화하도록 작동가능한 메트롤로지 디바이스.
111. 110 항에 있어서, 상기 기판을 회전시키는 것은 그것이 회전 없는 경우보다 검출 어퍼처 프로파일 및/또는 조명 어퍼처 프로파일의 증가된 영역; 및/또는 상기 파장들의 증가된 범위 및/또는 상기 피치들의 증가된 범위에 대한 측정가능성을 가능하게 하도록 이루어지는 메트롤로지 디바이스.
본 명세서에서는, IC 제조에 있어서 리소그래피 장치의 특정 사용예에 대하여 언급되지만, 본 명세서에 서술된 리소그래피 장치는 다른 적용예들을 가질 수도 있음을 이해하여야 한다. 가능한 다른 적용예들은 집적 광학 시스템, 자기 도메인 메모리용 안내 및 검출 패턴, 평판 디스플레이(flat-panel display), 액정 디스플레이(LCD), 박막 자기 헤드 등의 제조를 포함한다.
본 명세서에서는, 검사 또는 메트롤로지 장치와 관련하여 본 발명의 특정 실시예들에 대하여 언급되지만, 본 발명의 실시예들은 다른 장치에서 사용될 수 있다. 본 발명의 실시예들은 마스크 검사 장치, 리소그래피 장치, 또는 웨이퍼(또는 다른 기판) 또는 마스크(또는 다른 패터닝 디바이스)와 같은 대상물을 측정 또는 처리하는 여하한의 장치의 일부를 형성할 수 있다. 또한, "메트롤로지 장치"라는 용어는 검사 장치 또는 검사 시스템을 지칭할 수도 있다. 예를 들어, 본 발명의 일 실시예를 포함하는 검사 장치는 기판의 결함들 또는 기판 상의 구조체들의 결함들을 검출하기 위해 사용될 수 있다. 이러한 실시예에서, 기판 상의 구조체의 관심 특성은 구조체의 결함들, 구조체의 특정 부분의 부재, 또는 기판 상의 원치 않는 구조체의 존재와 관련될 수 있다.
"메트롤로지 장치/툴/시스템" 또는 "검사 장치/툴/시스템"이 특히 언급되지만, 이러한 용어들은 동일하거나 유사한 타입들의 툴들, 장치들 또는 시스템들을 지칭할 수 있다. 예를 들어, 본 발명의 일 실시예를 포함하는 검사 또는 메트롤로지 장치는 기판 또는 웨이퍼 상의 구조체들의 특성들을 결정하는 데 사용될 수 있다. 예를 들어, 본 발명의 일 실시예를 포함하는 검사 장치 또는 메트롤로지 장치는 기판의 결함들, 또는 기판 또는 웨이퍼 상의 구조체들의 결함들을 검출하는 데 사용될 수 있다. 이러한 실시예에서, 기판 상의 구조체의 관심 특성은 구조체의 결함들, 구조체의 특정 부분의 부재, 또는 기판 또는 웨이퍼 상의 원치 않는 구조체의 존재와 관련될 수 있다.
이상, 광학 리소그래피와 관련하여 본 발명의 실시예들의 특정 사용예를 언급하였지만, 본 발명은 본 명세서가 허용한다면 광학 리소그래피로 제한되지 않고 다른 적용예들, 예를 들어 임프린트 리소그래피에 사용될 수 있음을 이해할 것이다.
앞서 설명된 타겟들 또는 타겟 구조체들(더 일반적으로는 기판 상의 구조체들)은 측정을 목적으로 특별히 설계되고 형성되는 메트롤로지 타겟 구조체들이지만, 다른 실시예들에서 관심 속성들이 기판 상에 형성되는 디바이스들의 기능부들인 1 이상의 구조체에서 측정될 수 있다. 많은 디바이스들이 격자와 같은 규칙적인 구조체들을 가질 수 있다. 본 명세서에서 사용된 바와 같은 구조체, 타겟 격자 및 타겟 구조체라는 용어들은 구조체가 수행되는 측정을 위해 특별히 제공되었을 것을 요구하지는 않는다. 또한, 메트롤로지 타겟들의 피치(P)는 스케터로미터의 광학 시스템의 분해능 한계에 가까울 수 있거나 더 작을 수 있지만, 타겟부들(C)에서 리소그래피 공정에 의해 만들어지는 통상적인 제품 피처들의 치수보다 훨씬 더 클 수 있다. 실제로, 타겟 구조체들 내에서의 오버레이 격자들의 라인들 및/또는 공간들은 제품 피처들과 치수가 유사한 더 작은 구조체들을 포함하도록 만들어질 수 있다.
이상 본 발명의 특정 실시예들이 설명되었지만, 본 발명은 설명된 것과 다르게 실시될 수 있음을 이해할 것이다. 상기 서술내용은 예시를 위한 것이지, 제한하려는 것이 아니다. 따라서, 당업자라면 아래에 설명되는 청구항들의 범위를 벗어나지 않고 서술된 본 발명에 대한 변형예가 행해질 수도 있음을 이해할 것이다.

Claims (15)

  1. 적어도 하나의 파장을 갖는 조명 방사선으로 기판 상의 주기적 구조체를 측정하는 방법으로서,
    상기 주기적 구조체는 적어도 하나의 피치를 가지며, 상기 방법은:
    상기 피치 및 상기 파장의 비에 기초하여, 푸리에 공간(Fourier space) 내의 1 이상의 조명 구역을 포함하는 조명 어퍼처 프로파일(illumination aperture profile); 측정을 위한 상기 주기적 구조체의 방위(orientation); 및 푸리에 공간 내의 1 이상의 분리된 검출 구역을 포함하는 검출 어퍼처 프로파일 중 1 이상을: ⅰ) 적어도 한 쌍의 상보적인 회절 차수들의 회절된 방사선이 상기 검출 어퍼처 프로파일 내에 캡처되고, ⅱ) 상기 회절된 방사선이 상기 1 이상의 분리된 검출 구역의 적어도 80 %를 채우도록 구성하는 단계; 및
    구성된 조명 어퍼처 프로파일, 검출 어퍼처 프로파일 및 상기 주기적 구조체의 방위 중 1 이상을 적용하면서 상기 주기적 구조체를 측정하는 단계
    를 포함하는, 방법.
  2. 제 1 항에 있어서,
    상기 조명 어퍼처 프로파일은 적어도 2 개의 실질적으로 상이한 각도 방향들로부터 상기 주기적 구조체를 조명하기 위한 푸리에 공간 내의 상기 1 이상의 조명 구역을 포함하고; 선택적으로, 상기 2 개의 실질적으로 상이한 각도 방향들은 2 개의 반대 방향들인, 방법.
  3. 제 2 항에 있어서,
    상기 조명 어퍼처 프로파일은 상기 주기적 구조체 내에 포함된 서브-구조체들의 2 개의 주기적 방위들 각각에 대해 상기 2 개의 실질적으로 상이한 각도 방향들에서 상기 주기적 구조체를 조명하기 위한 푸리에 공간 내의 상기 1 이상의 조명 구역을 포함하고, 상기 검출 어퍼처 프로파일은 상기 주기적 방위들 각각에 대해 상기 상보적인 회절 차수들의 쌍 중 각 하나를 캡처하기 위한 푸리에 공간 내의 4 개의 검출 구역들을 포함하는, 방법.
  4. 제 2 항 또는 제 3 항에 있어서,
    상기 1 이상의 조명 구역의 분리된 조명 구역은 각각의 검출 구역의 각 하나에 각각 대응하고, 각각의 조명 구역은 대응하는 검출 구역과 동일한 크기이거나 더 크며, 선택적으로 각각의 조명 구역은 대응하는 검출 구역보다 30 % 이상 크지 않은, 방법.
  5. 제 2 항 또는 제 3 항에 있어서,
    상기 1 이상의 조명 구역은 상기 검출 어퍼처 프로파일에 사용되는 푸리에 공간 이외의 이용가능한 푸리에 공간 및 상기 조명 어퍼처 프로파일과 검출 어퍼처 프로파일 사이의 마진(margin)을 포함하는 단일 조명 구역을 포함하는, 방법.
  6. 제 1 항 내지 제 5 항 중 어느 한 항에 있어서,
    상기 조명 어퍼처 프로파일을 구성하는 단계는 상기 조명 프로파일을 부과하기 위해 대물 렌즈의 퓨필 평면 또는 중간 평면, 또는 그 동등한 평면에서 상기 조명 방사선을 공간 필터링하는 단계를 포함하는, 방법.
  7. 제 1 항 내지 제 6 항 중 어느 한 항에 있어서,
    상기 조명 방사선은 멀티모드 방사선; 또는 시간적 및/또는 공간적 인코히런트(incoherent) 방사선 또는 그 근사를 포함하는, 방법.
  8. 제 7 항에 있어서,
    상기 측정 동안 얻어진 상기 주기적 구조체의 이미지를 보정하는 단계를 포함하는, 방법.
  9. 제 8 항에 있어서,
    상기 보정하는 단계는 상기 측정들을 수행하는 데 사용되는 센서 광학기의 수차들에 대해 상기 이미지를 보정하는 단계를 포함하는, 방법.
  10. 제 9 항에 있어서,
    상기 수차들에 대해 보정하는 단계는 필드 위치 의존적 보정으로서 수행되는, 방법.
  11. 제 9 항 또는 제 10 항에 있어서,
    상기 보정하는 단계는 원시 이미지 및 보정 커널의 컨볼루션을 수행하는 단계를 포함하고, 상기 보정 커널은 위치 의존적인, 방법.
  12. 제 9 항 내지 제 11 항 중 어느 한 항에 있어서,
    상기 방법은 상기 측정들을 수행하는 데 사용되는 센서 광학기로 인한 점확산 함수에서의 수차들에 대해 점확산 함수를 재형성(reshape)하도록 상기 이미지를 보정하는 단계를 포함하는, 방법.
  13. 제 1 항 내지 제 12 항 중 어느 한 항에 있어서,
    상기 주기적 구조체의 방위를 구성하는 단계는 상기 피치(들) 및 파장의 비에 의존하여 광축을 중심으로 상기 주기적 구조체를 회전시키는 단계를 포함하는, 방법.
  14. 제 1 항 내지 제 13 항 중 어느 한 항에 있어서,
    상기 조명 어퍼처 프로파일 및 검출 어퍼처 프로파일 둘 모두를 동시에 구성하는 단계를 포함하고; 상기 구성하는 단계는 선택적으로 상기 회절된 방사선의 적어도 한 쌍의 회절된 빔들 및 상기 조명 방사선의 적어도 한 쌍의 조명 빔들의 경로에서 1 이상의 광학 요소를 변동시켜, 상기 회절된 빔들 및 상기 조명 빔들의 궤적들이 상기 푸리에 공간에서 병진 및/또는 시프트되도록 하는 단계를 포함하는, 방법.
  15. 기판 상의 주기적 구조체를 측정하는 메트롤로지 디바이스로서,
    푸리에 공간 내의 1 이상의 분리된 검출 구역을 포함하는 검출 어퍼처 프로파일; 및
    푸리에 공간 내의 1 이상의 조명 구역을 포함하는 조명 어퍼처 프로파일
    을 포함하며,
    상기 검출 어퍼처 프로파일, 상기 조명 어퍼처 프로파일, 및 측정되는 주기적 구조체를 포함하는 기판의 기판 방위 중 1 이상은 상기 주기적 구조체의 적어도 하나의 피치 및 상기 주기적 구조체를 측정하는 데 사용되는 조명 방사선의 적어도 하나의 파장의 비에 기초하여:
    ⅰ) 적어도 한 쌍의 상보적인 회절 차수들이 상기 검출 어퍼처 프로파일 내에 캡처되고,
    ⅱ) 상기 상보적인 회절 차수들의 쌍의 방사선이 상기 1 이상의 분리된 검출 구역의 적어도 80 %를 채우도록 구성가능한, 메트롤로지 디바이스.
KR1020227026561A 2020-01-29 2021-01-20 기판 상의 주기적 구조체를 측정하는 메트롤로지 방법 및 디바이스 KR20220122743A (ko)

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
EP20154343.6 2020-01-29
EP20154343 2020-01-29
EP20161488.0A EP3876037A1 (en) 2020-03-06 2020-03-06 Metrology method and device for measuring a periodic structure on a substrate
EP20161488.0 2020-03-06
EP20186831 2020-07-21
EP20186831.2 2020-07-21
PCT/EP2021/051167 WO2021151754A1 (en) 2020-01-29 2021-01-20 Metrology method and device for measuring a periodic structure on a substrate

Publications (1)

Publication Number Publication Date
KR20220122743A true KR20220122743A (ko) 2022-09-02

Family

ID=74191781

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020227026561A KR20220122743A (ko) 2020-01-29 2021-01-20 기판 상의 주기적 구조체를 측정하는 메트롤로지 방법 및 디바이스

Country Status (6)

Country Link
US (1) US20230064193A1 (ko)
JP (1) JP7365510B2 (ko)
KR (1) KR20220122743A (ko)
CN (1) CN115004113A (ko)
TW (1) TWI752812B (ko)
WO (1) WO2021151754A1 (ko)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP3786713A1 (en) * 2019-09-02 2021-03-03 ASML Netherlands B.V. Metrology method and device for determining a complex-valued field
EP4124911A1 (en) * 2021-07-29 2023-02-01 ASML Netherlands B.V. Metrology method and metrology device
WO2023126173A1 (en) * 2021-12-28 2023-07-06 Asml Netherlands B.V. An optical system implemented in a system for fast optical inspection of targets
WO2023217499A1 (en) * 2022-05-12 2023-11-16 Asml Netherlands B.V. Optical arrangement for a metrology system
WO2024115066A1 (en) * 2022-12-02 2024-06-06 Asml Netherlands B.V. Determining a focus position based on a field image position shift

Family Cites Families (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7009704B1 (en) * 2000-10-26 2006-03-07 Kla-Tencor Technologies Corporation Overlay error detection
JP2002372406A (ja) * 2001-06-13 2002-12-26 Nikon Corp 位置検出装置及び方法、位置検出装置の収差測定方法及び調整方法、露光装置、並びにマイクロデバイスの製造方法
TWI232357B (en) 2002-11-12 2005-05-11 Asml Netherlands Bv Lithographic apparatus and device manufacturing method
US7791727B2 (en) 2004-08-16 2010-09-07 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
NL1036245A1 (nl) 2007-12-17 2009-06-18 Asml Netherlands Bv Diffraction based overlay metrology tool and method of diffraction based overlay metrology.
NL1036734A1 (nl) 2008-04-09 2009-10-12 Asml Netherlands Bv A method of assessing a model, an inspection apparatus and a lithographic apparatus.
NL1036857A1 (nl) 2008-04-21 2009-10-22 Asml Netherlands Bv Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method.
WO2010040696A1 (en) 2008-10-06 2010-04-15 Asml Netherlands B.V. Lithographic focus and dose measurement using a 2-d target
CN102498441B (zh) 2009-07-31 2015-09-16 Asml荷兰有限公司 量测方法和设备、光刻系统以及光刻处理单元
NL2007176A (en) 2010-08-18 2012-02-21 Asml Netherlands Bv Substrate for use in metrology, metrology method and device manufacturing method.
JP2012127682A (ja) * 2010-12-13 2012-07-05 Hitachi High-Technologies Corp 欠陥検査方法及びその装置
US9223227B2 (en) * 2011-02-11 2015-12-29 Asml Netherlands B.V. Inspection apparatus and method, lithographic apparatus, lithographic processing cell and device manufacturing method
US9547241B2 (en) * 2013-05-07 2017-01-17 Asml Netherlands B.V. Alignment sensor, lithographic apparatus and alignment method
WO2015009739A1 (en) * 2013-07-18 2015-01-22 Kla-Tencor Corporation Illumination configurations for scatterometry measurements
CN106471613B (zh) * 2014-06-24 2020-12-29 科磊股份有限公司 光阑及目标的旋转边界
JP6341883B2 (ja) * 2014-06-27 2018-06-13 キヤノン株式会社 位置検出装置、位置検出方法、インプリント装置及び物品の製造方法
WO2016030205A1 (en) * 2014-08-28 2016-03-03 Vrije Universiteit Amsterdam Inspection apparatus, inspection method and manufacturing method
KR102355347B1 (ko) 2014-11-26 2022-01-24 에이에스엠엘 네델란즈 비.브이. 계측 방법, 컴퓨터 제품 및 시스템
KR102162234B1 (ko) 2015-06-17 2020-10-07 에이에스엠엘 네델란즈 비.브이. 레시피간 일치도에 기초한 레시피 선택
KR102098034B1 (ko) * 2015-08-12 2020-04-08 에이에스엠엘 네델란즈 비.브이. 검사 장치, 검사 방법 및 제조 방법
WO2018007126A1 (en) * 2016-07-07 2018-01-11 Asml Netherlands B.V. Method and apparatus for calculating electromagnetic scattering properties of finite periodic structures
US10048132B2 (en) * 2016-07-28 2018-08-14 Kla-Tencor Corporation Simultaneous capturing of overlay signals from multiple targets
IL270315B2 (en) * 2017-05-03 2023-12-01 Asml Netherlands Bv Determining metrology parameters and choosing a metrology recipe
EP3454129A1 (en) * 2017-09-07 2019-03-13 ASML Netherlands B.V. Beat patterns for alignment on small metrology targets
EP3480554A1 (en) 2017-11-02 2019-05-08 ASML Netherlands B.V. Metrology apparatus and method for determining a characteristic of one or more structures on a substrate
JP7124071B2 (ja) 2017-10-05 2022-08-23 エーエスエムエル ネザーランズ ビー.ブイ. 基板上の1つ又は複数の構造の特性を決定するためのメトロロジシステムおよび方法
CN111615667A (zh) * 2018-01-17 2020-09-01 Asml荷兰有限公司 测量目标的方法和量测设备

Also Published As

Publication number Publication date
JP2023511729A (ja) 2023-03-22
TW202135192A (zh) 2021-09-16
US20230064193A1 (en) 2023-03-02
CN115004113A (zh) 2022-09-02
WO2021151754A1 (en) 2021-08-05
TWI752812B (zh) 2022-01-11
JP7365510B2 (ja) 2023-10-19

Similar Documents

Publication Publication Date Title
CN112005157B (zh) 用于确定衬底上的一个或更多个结构的特性的量测设备和方法
CN109643068B (zh) 量测设备和用于测量结构的方法和光刻系统
US20230064193A1 (en) Metrology method and device for measuring a periodic structure on a substrate
WO2020088906A1 (en) Method of determining a value of a parameter of interest of a patterning process, device manufacturing method
WO2018046246A1 (en) Method and apparatus to monitor a process apparatus
TWI767328B (zh) 用於過濾影像的方法及相關度量衡設備
EP3876037A1 (en) Metrology method and device for measuring a periodic structure on a substrate
CN114270267A (zh) 量测装置及其检测设备
EP4124911A1 (en) Metrology method and metrology device
TWI807898B (zh) 度量衡方法及度量衡裝置
EP4124909A1 (en) Metrology method and device
EP4246232A1 (en) Illumination arrangement for a metrology device and associated method
EP4187321A1 (en) Metrology method and associated metrology tool
EP4279994A1 (en) Illumination module and associated methods and metrology apparatus
EP4339703A1 (en) Metrology method and associated metrology device
US20240184215A1 (en) Metrology tool calibration method and associated metrology tool
NL2025072A (en) Metrology method and device for measuring a periodic structure on a substrate
EP4080284A1 (en) Metrology tool calibration method and associated metrology tool
WO2023222328A1 (en) Illumination module and associated methods and metrology apparatus
WO2022263231A1 (en) Metrology method and device
TW202419979A (zh) 度量衡方法及相關度量衡裝置
WO2024056296A1 (en) Metrology method and associated metrology device
WO2023174648A1 (en) Illumination arrangement for a metrology device and associated method
WO2022253501A1 (en) Metrology method and associated metrology tool
CN117242400A (zh) 量测工具校准方法及相关的量测工具