KR20170044720A - 검사 장치, 검사 방법 및 제조 방법 - Google Patents

검사 장치, 검사 방법 및 제조 방법 Download PDF

Info

Publication number
KR20170044720A
KR20170044720A KR1020177008041A KR20177008041A KR20170044720A KR 20170044720 A KR20170044720 A KR 20170044720A KR 1020177008041 A KR1020177008041 A KR 1020177008041A KR 20177008041 A KR20177008041 A KR 20177008041A KR 20170044720 A KR20170044720 A KR 20170044720A
Authority
KR
South Korea
Prior art keywords
radiation
image
target
composite
substrate
Prior art date
Application number
KR1020177008041A
Other languages
English (en)
Other versions
KR101960403B1 (ko
Inventor
뵈프 아리에 제프리 덴
사이먼 지스버트 조세푸스 마시젠
니테쉬 판데이
스테판 위뜨
크젤드 에이크마
Original Assignee
에이에스엠엘 네델란즈 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠엘 네델란즈 비.브이. filed Critical 에이에스엠엘 네델란즈 비.브이.
Publication of KR20170044720A publication Critical patent/KR20170044720A/ko
Application granted granted Critical
Publication of KR101960403B1 publication Critical patent/KR101960403B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/956Inspecting patterns on the surface of objects
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • G03F7/70141Illumination system adjustment, e.g. adjustments during exposure or alignment during assembly of illumination system
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70641Focus
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03HHOLOGRAPHIC PROCESSES OR APPARATUS
    • G03H1/00Holographic processes or apparatus using light, infrared or ultraviolet waves for obtaining holograms or for obtaining an image from them; Details peculiar thereto
    • G03H1/04Processes or apparatus for producing holograms
    • G03H1/0443Digital holography, i.e. recording holograms with digital recording means
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N2201/00Features of devices classified in G01N21/00
    • G01N2201/12Circuits of general importance; Signal processing
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03HHOLOGRAPHIC PROCESSES OR APPARATUS
    • G03H1/00Holographic processes or apparatus using light, infrared or ultraviolet waves for obtaining holograms or for obtaining an image from them; Details peculiar thereto
    • G03H1/0005Adaptation of holography to specific applications
    • G03H2001/0033Adaptation of holography to specific applications in hologrammetry for measuring or analysing
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03HHOLOGRAPHIC PROCESSES OR APPARATUS
    • G03H1/00Holographic processes or apparatus using light, infrared or ultraviolet waves for obtaining holograms or for obtaining an image from them; Details peculiar thereto
    • G03H1/02Details of features involved during the holographic process; Replication of holograms without interference recording
    • G03H2001/0204Object characteristics
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03HHOLOGRAPHIC PROCESSES OR APPARATUS
    • G03H1/00Holographic processes or apparatus using light, infrared or ultraviolet waves for obtaining holograms or for obtaining an image from them; Details peculiar thereto
    • G03H1/04Processes or apparatus for producing holograms
    • G03H1/0443Digital holography, i.e. recording holograms with digital recording means
    • G03H2001/0454Arrangement for recovering hologram complex amplitude
    • G03H2001/0458Temporal or spatial phase shifting, e.g. parallel phase shifting method

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Computing Systems (AREA)
  • Engineering & Computer Science (AREA)
  • General Health & Medical Sciences (AREA)
  • Biochemistry (AREA)
  • Analytical Chemistry (AREA)
  • Immunology (AREA)
  • Pathology (AREA)
  • Chemical & Material Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Health & Medical Sciences (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Length Measuring Devices By Optical Means (AREA)

Abstract

계측 타겟이 리소그래피 프로세스에 의해 기판(W) 상에 형성된다. 하나 이상의 격자 구조를 포함하는 타겟(T)이 상이한 조건에서 공간적으로 코히어런트 방사선으로 조명된다. 상기 타겟 영역으로부터 회절된 방사선(650)이 레퍼런스 방사선(652)과 간섭하여 이미지 검출기(623)에서 간섭 패턴을 형성한다. 상기 간섭 패턴의 하나 이상의 이미지가 캡쳐된다. 캡쳐된 이미지(들)와 레퍼런스 방사선에 대한 지식으로부터, 검출기에서의 수집된 산란 방사선의 콤플렉스 필드가 계산된다. 각각의 격자에 의해 회절된 방사선의 합성 방사측정 이미지(814)가 콤플렉스 필드로부터 계산된다. 격자의 회절 스펙트럼의 반대 부분들의 합성 방사측정 이미지(814, 814')로부터, 격자 내의 비대칭의 측정치가 얻어진다. 적합한 타겟을 사용하면, 리소그래피 프로세스의 오버레이 및 다른 성능 파라미터가 측정된 비대칭으로부터 계산될 수 있다.

Description

검사 장치, 검사 방법 및 제조 방법{INSPECTION APPARATUS, INSPECTION METHOD AND MANUFACTURING METHOD}
관련 출원에 대한 상호 참조
본 출원은 2014 년 8 월 28 일 출원된 EP 출원 번호 제 EP14182658 의 우선권을 주장하며, 이것은 그 전체 내용이 원용되어 본원에 통합된다.
발명의 분야
본 발명은, 예를 들어 리소그래피 기술에 의해 디바이스를 제조할 때 측정을 하기 위해 사용될 수 있는 검사 장치 및 방법에 관한 것이다. 특히, 본 발명은 이러한 검사 장치에서 사용되기 위한 조명 시스템과, 리소그래피 기법을 사용하여 디바이스를 제조하는 방법에 관한 것이다. 또한, 본 발명은 이러한 방법을 구현하는 데에 사용되기 위한 컴퓨터 프로그램 제품에 관한 것이다.
리소그래피 장치는 기판 상에, 통상적으로는 기판의 타겟 영역 상에 원하는 패턴을 부여하는 장치이다. 리소그래피 장치는 예컨대 집적회로(IC)의 제조 시에 사용될 수 있다. 그 경우, 집적회로의 개개의 층 상에 형성될 회로 패턴을 생성하기 위해 마스크 또는 레티클(reticle)로도 지칭되는 패터닝 장치가 사용될 수 있다. 이러한 패턴은 기판(예를 들어, 실리콘 웨이퍼) 상의 타겟부(예를 들어, 다이의 일부, 하나 또는 몇몇 다이들을 포함) 상으로 전사될 수 있다. 패턴의 전사는 통상적으로 기판 상에 제공된 방사선-감응재(레지스트)층 상으로의 이미징(imaging)을 통해 수행된다. 일반적으로, 단일 기판은 연속적으로 패터닝되는 인접한 타겟 영역들의 네트워크를 포함할 것이다.
리소그래피 프로세스에서, 생성된 구조체를, 예를 들어 프로세스를 제어하고 검증하기 위해서 자주 측정하는 것이 바람직하다. 임계 치수(CD)를 측정하기 위하여 흔히 사용되는 스캐닝 전자 현미경, 및 디바이스에 있는 두 개의 층들의 정렬 정확도인 오버레이를 측정하는 전문 툴과 같이, 이러한 측정을 하기 위한 다양한 툴들이 알려져 있다. 최근, 다양한 형태의 산란계들이 리소그래피 분야에서 사용되도록 개발되어 왔다. 이러한 디바이스들은 방사선 빔을 타겟 위로 지향시키고 산란 방사선의 하나 이상의 속성 - 예를 들어, 파장의 함수인 단일 반사각에서의 세기; 반사된 각도의 함수인 하나 이상의 파장에서의 세기; 또는 반사된 각도의 함수로서의 편광 -을 측정하여 스펙트럼을 획득하고, 타겟의 관심 속성은 이러한 스펙트럼으로부터 결정될 수 있다.
알려진 산란계의 예들은 US2006033921A1 호 및 US2010201963A1 호에 기술되는 타입의 각도-분해된 산란계를 포함한다. 이러한 산란계에 의하여 사용되는 타겟은, 예를 들어 40μm 바이 40μm인 상대적으로 큰 격자들이고, 측정 빔은 격자보다 더 작은 스폿을 생성한다(즉, 격자는 언더필된다). 복원에 의해 피쳐 형상을 측정하는 것과 함께, 공개 특허 출원 제 US2006066855A1 에 기술된 것처럼, 이러한 장치를 사용하여 회절 기초 오버레이가 측정될 수 있다. 회절 차수들의 암-시야 이미징을 사용하는 회절-기초 오버레이를 통해, 더 작은 타겟에 대한 오버레이 측정이 가능해진다. 암시야 이미징 계측의 예는 국제 특허 출원 제 WO 2009/078708 및 제 WO 2009/106279 에서 발견될 수 있는데, 이들은 그 전체 내용이 원용되어 본원에 통합된다. 이러한 기술의 추가적인 개발예들은 특허 공개 번호 US20110027704A, US20110043791A, US2011102753A1, US20120044470A, US20120123581A, US20130258310A, US20130271740A 및 WO2013178422 에 설명되었다. 이러한 타겟은 조명 스폿 보다 더 작을 수 있고, 웨이퍼 상의 제품 구조체에 의하여 둘러싸일 수도 있다. 복합 격자 타겟을 사용하여 하나의 이미지 내의 다수의 격자들이 측정될 수 있다. 이러한 출원들 모두의 내용도 참조되어 본 명세서에 원용된다.
이러한 환경에서 계측 툴로서 사용될 검사 장치는 많은 요구 사항들을 만족시킨다. 이러한 요구 사항을 만족시키려면 통상적으로 큰 단점이 생긴다. 예를 들어, 낮은 수차를 가진 고-NA 브로드밴드 광학기는 보통 상이한 재료로 제작된 많은 렌즈 요소를 필요로 한다. 이러한 요소들 각각은, 약한 타겟의 검출가능성을 제한하는 내부 산란에 기여한다. 또한 양호한 넓은 파장 범위에 걸쳐서 양호한 반사-방지 코팅을 하는 것이 점점 어려워지게 된다. 많은 광학 컴포넌트를 가지면, 장치를 계측 용도를 위해 적절하게 조절하기가 어려워진다.
본 발명의 목적은, 전술된 타입의 측정을 수행하기 위한 대안적 검사 장치 및 방법을 제공하는 것이다.
본 발명의 제 1 양태에 따르면, 타겟 구조체의 특성을 측정하기 위한 검사 장치가 제공되는데, 상기 장치는 광학계와 조합되는 방사원 및 이미지 검출기를 포함하고, 상기 광학계는:
- 상기 방사원으로부터 방사선을 수광하고, 공간적 코히어런트 조명 방사선의 빔을 형성하며, 상기 조명 방사선을 기판 상의 타겟 영역 상에 전달하기 위한 조명 경로;
- 상기 타겟 영역으로부터 비-0차 산란 방사선의 적어도 일부를 수집하고, 수집된 산란 방사선을 상기 이미지 검출기로 전달하기 위한 수집 경로; 및
- 레퍼런스 방사선을 상기 이미지 검출기로 전달하기 위한 레퍼런스 경로의 빔 경로를 규정하며,
상기 레퍼런스 방사선은, 상기 산란 방사선과 간섭하고 상기 이미지 검출기에서 간섭 패턴을 형성하도록, 상기 산란 방사선과 코히어런트하고, 상기 이미지 검출기는 상기 간섭 패턴의 하나 이상의 이미지를 캡쳐하도록 구성되며, 상기 검사 장치는, (i) 상기 하나 이상의 캡쳐된 이미지를 나타내는 이미지 데이터를 수신하고, (ii) 상기 이미지 데이터 및 상기 레퍼런스 방사선에 대한 지식으로부터, 검출기에서의 상기 산란 방사선의 콤플렉스 필드를 계산하며, (iii) 상기 타겟 영역 내의 주기적 구조체에 의해 회절된 방사선의 합성 방사측정 이미지를 상기 콤플렉스 필드로부터 계산하도록 되어 있는 프로세서를 더 포함한다.
이러한 장치는 소위 "무렌즈" 이미징을 수행하기 위하여 사용될 수 있다. 그러면 복잡한 광대역, 고-NA 대물렌즈와 연관된 난제들이 회피된다. 획득되고 타겟의 특성을 측정하기 위하여 사용되는 이미지는 "합성 이미지"라고 불리는데, 그 이유는 이것이 실 세계에는 존재하지 않기 때문이다: 이것은 데이터로서만 존재하며 콤플렉스 필드를 나타내는 데이터로부터의 계산에 의해서 획득된다. 콤플렉스 필드의 계산과 그 후의 합성 이미지의 계산은, 이상적인 물리적 광학계에서 수행되는 것이 희망될 방사선의 처리를 모사하도록 설계될 수 있다. 그 장점은, 이러한 이상적인 물리적 광학계가 실세계에서는 얻어질 수 없는 반면, 계산을 통한 세계에서는 존재할 수 있다는 것이다. 비록 이러한 시스템이 "무렌즈"라고 불리지만, 예를 들어 시준, 확대를 위한 하나 이상의 광학 요소를 포함하는 것이 배제되지 않는다. 중요한 것은, 합성 이미지의 품질이 더 이상 광학 컴포넌트의 품질에 의존하지 않는다는 것이다.
"방사측정(radiometric)" 이미징이란, 산란 방사선의 세기, 진폭 및/또는 위상을 방향성 함수로서 나타내는 이미지를 생성하는 것을 의미한다. 본 출원에서, 방사측정 이미징은 주기적 구조체의 회절 효율의 공간적 변이의 이미지를 생성하도록 사용된다. 소타겟 회절에 기초한 계측의 예에서, 이러한 구조체들은 작은 격자일 수 있다. 본 출원에서, 산란된/회절된 광이 이미지 내에 수집되고, 이러한 산란된 광으로부터 원하는 방사측정 이미지가 계산된다. 이미지 센서는 광범위한 상이한 각도에서 방사선을 수집하기 위해서 타겟 영역에 가까이 위치될 수 있다.
타겟 영역 내의 "구조체"는 임의의 형태일 수 있다. 그러나, 본 명세서의 관심 대상인 응용예에서는, 타겟 영역 내의 구조체는 리소그래피 프로세스의 성능을 모니터링하기 위해 그 특성이 관심 대상인 하나 이상의 타겟 구조체를 포함할 수 있다. 구조체의 "회절 효율"이란 주어진 방향으로 방출된 조명 방사선의 비율을 가리킨다. 타겟 영역 내의 주기적 구조체의 경우, 회절 효율은 검출기에 의해 캡쳐된 각도의 범위 내에서, 각각의 회절에 대해 측정될 수 있다.
오버레이, 초점 또는 선량과 같은 성능 파라미터를 측정하기에 적합한 실시예에서, 상기 프로세서는 더 나아가 (iv) 단계 (i) 내지 (iii)을 상이한 조건에서 반복하고, 동일한 타겟 영역의 적어도 하나의 추가적 합성 방사측정 이미지를 계산하도록, 그리고 (v) 상기 복수 개의 합성 방사측정 이미지로부터, 상기 타겟 구조체 내의 비대칭의 측정치를 계산하도록 구성될 수 있다.
본 발명은 타겟 구조체의 특성을 측정하는 방법으로서,
(a) 공간적 코히어런트 조명 방사선으로 기판 상의 타겟 영역을 조명하는 단계;
(b) 상기 타겟 영역으로부터 비-0차 산란 방사선 중 적어도 일부를 수집하고, 수집된 산란 방사선을 이미지 검출기로 전달하는 단계;
(c) 레퍼런스 방사선의 빔을 상기 이미지 검출기로 전달하는 단계로서, 상기 레퍼런스 방사선은, 수집된 산란 방사선과 간섭하고 상기 이미지 검출기에서 간섭 패턴을 형성하도록 상기 산란 방사선과 코히어런트한, 전달하는 단계;
(d) 상기 이미지 검출기로, 상기 간섭 패턴의 하나 이상의 이미지를 캡쳐하는 단계;
(e) 상기 하나 이상의 캡쳐된 이미지를 나타내는 이미지 데이터 및 상기 레퍼런스 방사선에 대한 지식으로부터, 검출기에서의 수집된 산란 방사선의 콤플렉스 필드를 계산하는 단계; 및
(f) 계산된 콤플렉스 필드로부터, 상기 타겟 영역 내의 주기적 구조체에 의해 회절된 방사선의 합성 방사측정 이미지를 계산하는 단계를 포함하는, 타겟 구조체 특성 측정 방법을 제공한다.
본 발명은 더 나아가, 디바이스 제조 방법으로서, 디바이스 피쳐 및 계측 타겟이 리소그래피 프로세스에 의하여 일련의 기판 상에 형성되고, 하나 이상의 처리된 기판 상의 상기 계측 타겟의 특성이 전술된 본 발명에 따라 측정되며, 추가적인 기판의 처리를 위해, 측정된 특성이 상기 리소그래피 프로세스의 파라미터를 조절하기 위하여 사용되는, 디바이스 제조 방법을 제공한다.
또한, 본 발명은 전술된 본 발명에 따른 방법의 계산 단계들 중 하나 이상을 구현하기 위한 머신-판독가능 명령의 하나 이상의 시퀀스를 포함하는 컴퓨터 프로그램 제품을 제공한다.
본원에서 개시된 장치와 방법의 이러한 양태와 다른 양태, 그리고 장점들은 예시적인 실시예들의 후속하는 설명과 도면을 고려하여 이해될 것이다.
본 발명의 실시예가 오직 예시를 통하여, 대응하는 참조 심벌들이 대응하는 부분을 표시하는 첨부된 개략도를 참조하여 이제 설명될 것이다.
도 1 은 리소그래피 장치를 도시한다;
도 2 는 본 발명에 따른 검사 장치가 사용될 수 있는 리소그래피 셀 또는 클러스터를 도시한다;
도 3 은 공지된 암시야 이미징 검사 방법을 수행하도록 적응된 검사 장치를 개략적으로 예시한다;
도 4 는 다수 개의 개별 타겟 격자를 포함하는 복합 계측 타겟을 예시한다;
도 5 는 도 4 의 장치에 의하여 캡쳐된 도 4 의 타겟의 이미지를 예시한다;
도 6 은 본 발명의 제 1 실시예에 따른 (a) 변형된 검사 장치를 (b) 선택적인 수집 광학계의 삽입된 세부사항과 함께 개략적으로 예시한다;
도 7 은 본 발명의 제 2 실시예에 따른 변형된 검사 장치 개략적으로 예시한다;
도 8 은 예를 들어 도 6, 도 7, 도 9 또는 도 10 의 장치의 일 예를 사용하여, 본 발명의 일 실시예에 따라 타겟 구조체의 특성을 측정하는 방법을 예시한다;
도 9 는 나란한 다수의 타겟의 특성을 측정하기 위한 검사 장치의 제 4 실시예를 도시한다.
본 발명의 실시예들을 상세하게 설명하기 이전에, 본 발명의 실시예들이 구현될 수 있는 예시적인 환경을 제시하는 것이 유익하다.
도 1 은 리소그래피 장치(LA)를 개략적으로 묘사한다. 이러한 장치는 방사선 빔(B)(예를 들어, UV 방사선 또는 DUV 방사선)을 컨디셔닝하도록 구성되는 조명 시스템(illuminator)(IL); 패터닝 디바이스(예를 들어, 마스크)(MA)를 지지하도록 구성되고 특정 파라미터에 따라서 패터닝 디바이스를 정확하게 포지셔닝하도록 구성되는 제 1 포지셔너(PM)에 연결되는 패터닝 디바이스 지지대 또는 지지 구조체(예를 들어, 마스크 테이블)(MT); 기판(예를 들어, 레지스트-코팅된 웨이퍼)(W)을 홀딩하도록 각각 구성되고 특정 파라미터에 따라서 기판을 정확하게 포지셔닝하도록 구성되는 제 2 포지셔너(PW)에 각각 연결되는 두 개의 기판 테이블(예를 들어, 웨이퍼 테이블)(WTa 및 WTb); 및 패터닝 디바이스(MA)에 의하여 방사선 빔(B)에 부여된 패턴을 기판(W)의 타겟부(C)(예를 들어, 하나 이상의 다이를 포함) 상에 투영하도록 구성되는 투영 시스템(예를 들어, 굴절성 투영 렌즈계)(PS)을 포함한다. 기준 프레임(RF)은 다양한 컴포넌트들을 연결하고, 패터닝 디바이스와 기판의 위치와 그들의 피쳐들의 위치를 설정하고 측정하기 위한 기준으로서의 역할을 한다.
조명 시스템은 방사선을 지향시키고, 성형(shaping)하고, 또는 제어하기 위한 다양한 유형의 광 컴포넌트, 예컨대 굴절형, 반사형, 자기적, 전자기, 정전기 또는 다른 유형의 광 컴포넌트, 또는 이들의 임의의 조합을 포함할 수도 있다.
패터닝 디바이스 지지대는 패터닝 디바이스를, 패터닝 디바이스의 지향, 리소그래피 장치의 설계, 및 예를 들어 패터닝 디바이스가 진공 환경에서 유지되는지 여부와 같은 다른 조건에 의존하는 방식으로 홀딩한다. 패터닝 디바이스 지지대는 패터닝 장치를 유지하기 위해 기계식, 진공식, 정전식, 또는 기타 클램핑 기술들을 이용할 수 있다. 패터닝 디바이스 지지대는 예컨대 필요에 따라 고정되거나 이동시킬 수 있는 프레임(frame) 또는 테이블일 수도 있다. 패터닝 디바이스 지지대는 패터닝 디바이스가 예를 들어 투영 시스템에 대하여 원하는 위치에 있다는 것을 보장할 수도 있다.
본 명세서에서 사용되는 "패터닝 디바이스"라는 용어는, 기판의 타겟부 내에 패턴을 생성하는 것과 같이, 자신의 단면 내에 패턴을 가지는 방사선 빔을 부여하기 위하여 사용될 수 있는 임의의 디바이스를 지칭하는 것으로 폭넓게 해석되어야 한다. 방사선 빔에 부여된 패턴은, 예컨대 그 패턴이 위상 천이 피처(phase shifting feature) 또는 소위 어시스트 피처(assist feature)를 포함하는 경우, 기판의 타겟부에서의 요구된 패턴과 정확히 일치하지 않을 수 있다는 것에 유의하여야 한다. 일반적으로, 방사선 빔에 부여된 패턴은 타겟부, 예컨대 집적 회로 내에 생성되는 중인 디바이스 내의 특정한 기능성 층에 대응할 것이다.
도시된 것처럼, 장치는 투과형이다(예를 들어, 투과형 패터닝 디바이스를 채용함). 또는, 장치는 반사형 타입(예를 들어 위에서 언급된 바와 같은 타입의 프로그램가능 미러 어레이를 채용하거나, 반사 마스크를 채용함)일 수도 있다. 패터닝 장치의 예는 마스크, 프로그램가능 미러 어레이, 및 프로그램가능 LCD 패널을 포함한다. 본 명세서에서의 "레티클" 또는 "마스크"라는 용어의 어떠한 사용도 "패터닝 장치"라는 좀 더 일반적인 용어와 동의어로 간주될 수 있다. 그러므로, "패터닝 디바이스"라는 용어는 이러한 프로그래밍가능한 패터닝 디바이스에 의하여 구현될 패턴을 규정하는 정보를 디지털 형태로 저장하는 디바이스를 지칭하는 것으로도 해석될 수 있다.
본 명세서에서 사용되는 "투영 시스템"이라는 용어는, 이용되고 있는 노광 방사선(exposure radiation)에 대해 적합하거나 또는 액침액(immersion liquid)의 사용 또는 진공의 사용과 같은 다른 요인들에 대해 적합한, 굴절식, 반사식, 반사 굴절식(catadioptric), 자기식, 전자기식, 및 정전식 광학 시스템, 또는 이들의 임의의 조합을 포함하는 어떠한 타입의 투영 시스템도 포함하는 것으로 넓게 해석되어야 한다. 본 명세서에서 "투영 렌즈"라는 용어가 임의로 사용되면 더 일반적인 용어인 "투영 시스템"과 같은 의미인 것으로 간주될 수도 있다.
리소그래피 장치는 또한, 투영 시스템과 기판 사이의 공간을 채우기 위해 기판의 적어도 일부분이 상대적으로 높은 굴절률을 가진 액체, 예컨대 물에 의해 덮힐 수 있는 유형일 수 있다. 액침액은 또한 예컨대 마스크 및 투영 시스템 사이와 같은 리소그래피 장치 내의 다른 공간에도 가해질 수 있다. 액침 기법은 투영 시스템의 개구수(numerical aperture)를 증가시키기 위하여 당업계에 주지된다.
동작 시에, 조명기(IL)는 방사선 빔을 방사원(SO)으로부터 수광한다. 예를 들어, 방사원이 엑시머 레이저인 경우, 소스 및 리소그래피 장치는 별도의 엔티티일 수 있다. 이러한 경우에, 소스는 리소그래피 장치의 일부를 형성하는 것으로 간주되지 않고, 방사선 빔은, 예를 들어 적합한 지향 미러 및/또는 빔 확장기를 포함하는 빔 전달 시스템(BD)의 도움으로, 소스(SO)로부터 조명기(IL)로 전달된다. 다른 경우에, 예컨대 방사원이 수은 램프인 경우에, 이러한 소스는 리소그래피 장치에 통합된 부품일 수 있다. 소스(SO) 및 조명기(IL)는 필요할 경우 빔 전달 시스템(BD)과 함께 방사선 시스템이라고 지칭될 수도 있다.
예를 들어, 조명기(IL)는 방사선 빔의 각 세기 분포(angular intensity distribution)를 조절하기 위한 조절기(AD), 집속기(IN), 및 콘덴서(CO)를 포함할 수도 있다. 조명기는 방사선 빔이 자신의 단면에서 원하는 균일성 및 세기 분포를 가지도록 조정하기 위하여 사용될 수 있다.
방사선 빔(B)은 지지 구조체(MT) 상에 홀딩되는 패터닝 디바이스(MA) 상에 입사하고, 그리고 패터닝 디바이스에 의하여 패터닝된다. 패터닝 디바이스(예를 들어 마스크(MA))를 가로지르면, 방사선 빔(B)은 기판(W)의 타겟부(C) 상에 빔을 포커싱하는 투영 시스템(PS)을 통과한다. 제 2 포지셔너(PW) 및 포지션 센서(IF)(예를 들어 간섭측정 측정 디바이스, 선형 인코더, 2-D 인코더 또는 용량성 센서)의 도움을 받아, 예를 들어 방사선 빔(B)의 경로에 상이한 타겟부들(C)을 포지셔닝하기 위하여, 기판 테이블(WTa 또는 WTb)이 정확하게 이동될 수 있다.이와 유사하게, 제 1 포지셔너(PM) 및 다른 위치 센서(도 1 에는 명확하게 묘사되지 않음)는, 예를 들어 마스크 라이브러리로부터의 기계적 탐색 이후에, 또는 스캔 동안에, 방사선 빔(B)의 경로에 대하여 패터닝 디바이스(예를 들어, 마스크(MA))를 정확하게 포지셔닝하기 위하여 사용될 수 있다.
패터닝 디바이스(예를 들어 마스크(MA) 및 기판(W)은 마스크 정렬 마크(M1, M2) 및 기판 정렬 마크(P1, P2)를 이용하여 정렬될 수 있다. 비록 도시된 바와 같이 기판 정렬 마크들이 전용 타겟 영역을 점유하지만, 이들은 타겟 영역 사이의 공간(이들은 스크라이브 레인(scribe-lane) 정렬 마크로 알려짐)에 위치될 수도 있다. 마찬가지로, 패터닝 디바이스(예를 들어 마스크(MA)에 두 개 이상의 다이가 제공되는 상황에서는, 마스크 정렬 마크들은 다이들 사이에 위치될 수도 있다. 작은 정렬 마커들도 역시 다이에, 그리고 디바이스 피쳐들 사이에 포함될 수 있는데, 이러한 경우 마커는 가능한 한 작고 인접한 피쳐에 비하여 임의의 다른 이미징 또는 프로세스 조건을 요구하지 않는 것이 바람직하다. 정렬 마커를 검출하는 정렬 시스템이 상세히 후술된다.
도시된 장치는 다양한 모드들에서 사용될 수 있다. 스캔 모드에서는, 패터닝 디바이스 지지대(예를 들어, 마스크 테이블(MT) 및 기판 테이블(WT)이 동기되어 스캐닝되는 동안, 방사선 빔에 부여된 패턴이 타겟부(C) 상에 투영된다(즉, 단일 동적 노광). 패터닝 디바이스 지지대(예를 들어, 마스크 테이블(MT)에 상대적인 기판 테이블(WT)의 속도 및 방향은 투영 시스템(PS)의 확대율(축소율) 및 이미지 반전 특성에 의하여 결정될 수도 있다. 스캔 모드에서는, 노광 필드의 최대 크기가 단일 동적 노광 시의 타겟부의 폭(스캐닝되지 않는 방향에서의)을 한정하는 한편, 스캐닝 모션의 길이는 타겟부의 높이(스캐닝 방향에서의)를 결정한다. 당업계에 주지되는 다른 타입의 리소그래피 장치 및 동작 모드도 가능하다. 예를 들어, 스텝 모드가 알려진다. 소위 무마스크 리소그래피에서, 프로그래밍가능한 패터닝 디바이스는 정지되게 홀딩되지만 변화하는 패턴을 가지며, 및 기판 테이블(WT)이 이동되거나 스캐닝된다.
또한, 전술한 사용 모드들의 조합 및/또는 변형, 또는 전혀 다른 사용 모드들이 채용될 수도 있다.
리소그래피 장치(LA)는 두 개의 기판 테이블(WTa, WTb)과 그들 사이에서 기판 테이블이 교환될 수 있는 두 개의 스테이션 - 노광 스테이션(EXP) 및 측정 스테이션(MEA) - 을 가지는, 소위 듀얼 스테이지 타입이다. 하나의 기판 테이블에 있는 하나의 기판이 노광 스테이션에서 노광되는 동안, 다른 기판은 측정 스테이션에 있는 다른 기판 테이블에 로딩될 수 있고, 다양한 준비 단계들이 수행될 수 있다. 그러면 리소그래피 장치의 쓰루풋이 크게 증가할 수 있다. 준비 단계는 레벨 센서(LS)를 사용하여 기판의 표면 높이 컨투어를 매핑하는 것과 정렬 센서(AS)를 사용하여 기판 상의 정렬 마커의 위치를 측정하는 것을 포함할 수도 있다. 기판 테이블이 측정 스테이션과 노광 스테이션에 있는 동안 기판 테이블의 위치를 포지션 센서(IF)가 측정할 수 없다면, 참조 프레임(RF)에 상대적인 이러한 스테이션 양자 모두에서의 기판 테이블의 위치를 측정할 수 있도록 제 2 포지션 센서가 제공될 수 있다. 다른 구성이 알려져 있으며, 도시된 듀얼-스테이지 구성 대신에 사용될 수 있다. 예를 들어, 기판 테이블 및 측정 테이블이 제공되는 다른 리소그래피 장치가 알려져 있다. 이것은 예비 측정을 수행할 때에 서로 도킹되고, 기판 테이블이 노광을 겪는 동안에 언도킹된다.
도 2 에 도시된 것처럼, 리소그래피 장치(LA)는 리소셀 또는 클러스터라고도 지칭되는 리소그래피 셀(LC)의 일부를 형성하고, 이는 또한 기판 상에서 노광 전 그리고 노광 후 프로세스를 수행하기 위한 장치를 포함한다. 통상적으로, 이러한 장치는 레지스트층을 침착시키기 위한 스핀 코터(spin coater; SC), 노광된 레지스트를 현상하기 위한 현상기(DE), 칠 플레이트(chill plate; CH), 및 베이크 플레이트(bake plate; BK)를 포함한다. 기판 핸들러 또는 로봇(RO)이 입력/출력 포트(I/O1, I/O2)로부터 기판을 픽업하여, 이들을 상이한 공정 장치 간에 이동시키며, 그 후 리소그래피 장치의 로딩 베이(loading bay; LB)에 전달한다. 통칭하여 트랙으로도 지칭되는 이들 장치는 감독 제어 시스템(supervisory control system; SCS)에 의해 제어되는 트랙 제어 유닛(TCU)의 제어 하에 있게 되며, 감독 제어 시스템은 또한 리소그래피 제어 유닛(LACU)을 통해 리소그래피 장치를 제어한다. 그러므로, 처리량 및 처리 효율을 최대화하기 위해 상이한 장치가 작동될 수 있다.
리소그래피 장치에 의해 노광되는 기판이 정확하고 일정하게 노광되도록 하기 위해서는, 노광된 기판을 검사하여 후속층들 사이의 오버레이 에러, 라인 두께, 임계 치수(CD) 등과 같은 특성을 측정하는 것이 바람직할 수 있다. 따라서, 리소셀(LC)이 그 안에 위치되는 제조 설비는, 리소셀 내에서 처리된 기판(W)의 일부 또는 전부를 수납하는 계측 시스템(MET)을 더 포함한다. 계측 결과는 감독 제어 시스템(SCS)으로 직접적으로 또는 간접적으로 제공된다. 오차가 검출되는 경우, 특히 동일 배치(batch)의 다른 기판이 여전히 노광되기에 충분한 정도로 검사가 곧바로 신속하게 행해질 수 있으면, 후속 기판의 노광에 대한 조정이 이루어질 수 있다. 또한, 이미 노광된 기판들은 스트리핑되고 재작업(rework) 되며 - 수율을 개선하기 위하여 - 또는 폐기되어, 이를 통하여 오류가 있는 것으로 알려진 기판에 다른 처리를 수행하는 것을 회피할 수도 있다. 기판의 일부 타겟 영역에만 오류가 있는 경우, 양호한 것으로 간주되는 타겟 영역에만 추가의 노광이 수행될 수 있다.
계측 시스템(MET) 내에서, 기판의 특성, 및 구체적으로 상이한 기판의 특성 또는 동일 기판의 상이한 층의 특성이 층에 따라 어떻게 변화하는지를 결정하기 위해 검사 장치가 사용된다. 검사 장치는 리소그래피 장치(LA) 또는 리소셀(LC)에 통합될 수도 있고, 또는 독립형 장치일 수도 있다. 가장 신속한 측정을 할 수 있기 위해서는, 검사 장치가 노광 직후에 노광된 레지스트 층에서 특성을 측정하는 것이 바람직하다. 그러나, 레지스트 내의 잠상(latent image)이 매우 낮은 콘트라스트를 가지며 - 이 경우 방사선에 노광된 레지스트의 부분과 방사선에 노광되지 않은 부분 간에 단지 매우 작은 굴절률차가 있음 - 모든 검사 장치가 잠상의 유용한 측정을 행하기에 충분한 감도를 갖는 것은 아니다. 따라서, 통상적으로 노광된 기판에 대해 수행되는 첫 번째 단계이고, 레지스트의 노광된 부분과 노광되지 않은 부분 간의 콘트라스트를 증가시키는 단계인, 노광 후 베이크 단계(post-exposure bake step, PEB) 후에 측정이 이루어질 수 있다. 이 스테이지에서, 레지스트 내의 이미지는 반잠상(semi-latent)으로 지칭될 수 있다. 또한, 에칭과 같은 패턴 전사 단계 후에, 현상된 레지스트 이미지의 측정을 행하는 것도 가능하며, 그 시점에서 레지스트의 노광된 부분 또는 노광되지 않은 부분 중의 하나가 제거된다. 후자의 가능성은 오류가 있는 기판의 재작업에 대한 가능성은 제한하지만, 여전히 유용한 정보를 제공할 수 있다.
도 3 의 (a)는 소위 암시야 이미징 계측을 구현하는 검사 장치의 주요 요소들을 개략적으로 도시한다. 이러한 장치는 독립형 디바이스이거나 리소그래피 장치(LA), 예를 들어 측정 스테이션, 또는 리소그래피 셀(LC) 중 하나에 통합될 수 있다. 장치에 걸쳐서 여러 브랜치를 가지는 광축이 점선 O로 표현된다. 타겟 격자 구조체(T) 및 회절된 광선들이 도 3 의 (b)에 좀더 상세히 표시된다.
도입부에 인용된 종래의 출원들에서 기술되어 있는 바와 같이, 도 3 의 (a)의 암시야-이미징 장치는 분광 산란계 대신에 또는 추가하여 사용될 수 있는 다목적 각도-해상(angle-resolved) 산란계의 일부일 수 있다. 이러한 타입의 검사 장치에서, 방사원(11)에 의해 방출된 방사선은 조명 시스템(12)에 의해 컨디셔닝된다. 예를 들어, 조명 시스템(12)은 시준 렌즈계, 컬러 필터, 편광자 및 조리개 디바이스를 포함할 수 있다. 컨디셔닝된 방사선은 조명 경로를 따라가는데, 여기에서 부분 반사면(15)에 의해 반사되고 현미경 대물 렌즈(16)를 통해 기판(W) 상의 스폿(S) 상에 집광된다. 계측 타겟(T)은 기판(W) 상에 형성될 수 있다. 렌즈(16)는 높은 개구수(NA), 바람직하게는 적어도 0.9 및 더 바람직하게는 적어도 0.95 의 개구수를 가진다. 원할 경우 1 이 넘는 개구수를 얻기 위해서 액침 유체가 사용될 수 있다. 다목적 산란계는 두 개 이상의 측정 브랜치를 가질 수 있다. 또한, 추가적인 광학계 및 브랜치가, 예를 들어 세기 정규화, 캡쳐 타겟의 거친 이미징, 포커싱 및 기타 등등을 위한 레퍼런스 방사선을 수집하도록, 실제 장치 내에 포함될 것이다. 이들의 세부사항은 전술된 이전의 공개 문헌에서 발견될 수 있다. 본 발명의 목적을 달성하기 위하여, 암시야 이미징 계측을 위한 관심 대상인 측정 브랜치만이 상세히 예시되고 설명된다.
암시야 이미징을 위한 수집 경로에서, 이미징 광학계(21)는 기판(W) 상의 타겟의 이미지를 센서(23)(예를 들어, CCD 또는 CMOS 센서)에 형성한다. 조리개 스톱(20)이 수집 경로에 있는 평면 P'에 제공된다. 평면 P'은 대물 렌즈(16)의 퓨필 평면 P(미도시)에 공액인 평면이다. 조리개 스톱(20)은 퓨필 스톱이라고도 불릴 수 있다. 조명 조리개가 다른 형태를 가질 수 있는 것처럼, 조리개 스톱(20)도 다른 형태를 가질 수 있다. 렌즈(16)의 유효 조리개와 함께, 조리개 스톱(20)은 산란 방사선의 어느 부분이 센서(23) 상에 이미지를 형성하기 위해 사용되는지를 결정한다. 통상적으로, 조리개 스톱(20)은 0차 회절빔을 차단하여 센서(23)에 형성된 타겟의 이미지가 일차 빔에 의해서만 형성되게 하는 기능을 한다. 양자 모두의 1차 빔이 결합되어 이미지를 형성하는 예에서, 이것은 암시야 현미경 검사와 균등한 소위 암시야 이미지일 것이다. 그러나, 본 발명에서는, 아래에서 설명되는 바와 같이 1차 빔 중 하나만이 한 번에 이미징된다. 센서(23)에 의하여 캡쳐된 이미지는 이미지 프로세서 및 제어기(PU)로 출력되고, 이들의 기능은 수행되는 특정 타입의 측정에 따라서 달라질 것이다. 본 발명의 목적을 달성하기 위하여, 타겟 구조체의 비대칭의 측정이 수행된다. 비대칭 측정은 타겟 구조체에 대한 지식과 결합되어 이들을 형성하기 위해 사용되는 리소그래피 프로세스의 성능 파라미터의 측정치를 획득할 수 있다. 이러한 방식으로 측정될 수 있는 성능 파라미터는, 예를 들어 오버레이, 초점 및 선량을 포함한다.
계측 타겟(T)이 기판(W) 상에 제공되는 경우, 타겟은 1-D 격자일 수도 있으며, 이 1-D 격자는 현상 후에 바(bar)가 고상의 레지스트 라인(solid resist line)으로 형성되도록 프린트된다. 타겟은 2-D 격자일 수도 있으며, 이 2-D 격자는 현상 후에 바(bar)가 고상 레지스트 필러(solid resist pillar) 또는 레지스트 내의 비아(via)로 형성되도록 프린트된다. 바, 필러 또는 비아는 이와 달리 기판 내로 에칭될 수도 있다. 이러한 격자 각각은 그 특성을 검사 장치를 사용하여 조사할 수 있는 타겟 구조체의 일 예이다.
조명 시스템(12)의 다양한 컴포넌트들은 동일한 장치 내에서 상이한 계측 '레시피'를 구현하도록 조절가능할 수 있다. 특정 레시피의 특징으로서 파장(컬러) 및 편광을 선택하는 것에 추가하여, 조명 시스템(12)은 상이한 조명 프로파일들을 구현하도록 조절될 수 있다. 평면 P"가 대물 렌즈(16)의 퓨필 평면 P 및 검출기(19)의 평면과 공액이기 때문에, 면 P" 내의 조명 프로파일은 스폿(S)에서 기판(W) 상에 입사하는 광의 각도 분포를 규정한다. 상이한 조명 프로파일을 구현하기 위하여, 조리개 디바이스가 조명 경로에 제공될 수 있다. 조리개 디바이스는 가동 슬라이드 또는 휠 상에 탑재된 그 외의 조리개를 포함할 수 있다. 또는, 이것은 프로그래밍가능한 공간 광 변조기를 포함할 수 있다. 추가적인 대안으로서, 광섬유가 평면 P"의 상이한 위치에 배치되고, 그들의 개별 위치에 광을 전달하거나 전달하지 않도록 선택적으로 사용될 수 있다. 이러한 변형예는 모두 전술된 문서에서 논의되고 예시된다.
제 1 예시적인 조명 모드에서, 광선(30a)은, 입사각이 'I'에 표시된 바와 같고, 타겟(T)에 의해 반사된 0차 광선이 '0'으로 표시되도록 제공된다(광축 'O'와 혼동하면 안됨). 제 2 조명 모드에서, 광선(30b)이 제공될 수 있고, 이러한 경우에 입사 및 반사각은 스왑될 것이다. 이러한 조명 모드 양자 모두는 오프-축 조명 모드라고 이해할 것이다. 그 외의 많은 조명 모드들이 그 외의 목적들에 대해서 구현될 수 있다.
도 3 의 (b)에 상세히 도시된 바와 같이, 타겟 구조체의 일 예로서의 격자 타겟(T)은 기판(W)이 대물 렌즈(16)의 광축(O)에 직교하는 상태로 배치된다. 오프-축 조명 프로파일의 경우, 축(O)에서 벗어난 각도로부터 격자(T)에 충돌하는 조명의 광선(I)은 0차 광선(실선 0) 및 두 개의 1차 광선(일점쇄선 +1 및 이점쇄선 -1)이 발생되게 한다. 오버필된 소타겟 격자의 경우에, 이러한 광선들은 계측 타겟 격자(T) 및 다른 피쳐를 포함하는 기판의 영역을 커버하는 많은 평행 광선들 중 단지 하나일 분이라는 것을 기억해야 한다. 조명 광선(30a)의 빔이 유한한 폭(광의 유용한 양을 허락하기에 필요한 폭)을 가지기 때문에, 입사 광선(I)은 사실상 각도의 일정한 범위를 차지할 것이고, 회절된 광선 0 및 +1/-1 은 어느 정도 확산될 것이다. 작은 타겟의 점확산 함수에 따라서, 각각의 차수 +1 및 -1 은 도시된 바와 같은 단일한 이상적인 광선이 아니라 각도의 일정 범위에 걸쳐 더 넓게 확산될 것이다.
도 3 의 (a)를 다시 참조하면, 광선(30a)이 있는 제 1 조명 모드에서, 타겟 격자로부터의 +1차 회절된 광선은 대물 렌즈(16)에 진입하고, 센서(23)에 기록되는 이미지에 기여할 것이다. 제 2 조명 모드가 사용되면, 광선(30b)은 광선(30b)에 반대인 각도로 입사하고, 따라서 -1차 회절된 광선이 대물 렌즈에 진입하고 이미지에 기여한다. 오프-축 조명을 사용하는 경우 조리개 스톱(20)이 0차 방사선을 차단한다. 종래의 문헌들에서 설명된 바와 같이, 조명 모드는 X 및 Y 방향에서 오프-축 조명으로 규정될 수 있다.
이러한 상이한 조명 모드들의 타겟 격자의 이미지들을 비교함으로써, 비대칭 측정치가 획득될 수 있다. 또는, 비대칭 측정치는 동일한 조명 모드를 유지하지만 타겟을 회전시킴으로써 얻어질 수 있다. 오프-축 조명이 도시되지만, 그 대신에 타겟의 온-축 조명이 사용되고 변경될 수 있으며, 회절된 광의 오직 하나의 1차 광만을 센서로 실질적으로 전달하도록 오프-축 조리개(20)가 사용될 수 있다. 추가적인 예에서, +1 및 -1차 광선을 센서(23) 상의 상이한 위치로 우회시켜서, 두 개의 순차적인 이미지 캡쳐 단계들을 수행할 필요가 없이 이들이 검출되고 비교될 수 있게 하는 프리즘이 조리개 스톱(20) 대신에 사용된다. 이러한 기법은 공개된 특허 출원 제 US2011102753A1 에서 개시되는데, 이러한 문서의 내용은 그 원용되어 본원에 통합된다. 2차, 3차 및 더 고차인 빔(도 3 에는 미도시)이 1차 빔 대신에 또는 이에 추가하여 측정에 사용될 수 있다. 추가적인 변형예로서, 오프-축 조명 모드는 일정하게 유지되는 반면에, 타겟 자체가 대물 렌즈(16) 아래에서 180 도 회전되어 반대 회절 차수들을 사용하여 이미지를 캡쳐한다.
도 4 는 알려진 실무에 따라서 기판(W)에 형성된 복합 타겟을 도시한다. 복합 타겟은 서로 근접하게 위치되어, 계측 장치의 조명 빔에 의하여 형성된 측정 스폿(S) 내에 모두 존재하게 되는 4 개의 격자(32 내지 35)를 포함한다. 원(31)은 기판(W) 상의 스폿(S)의 범위를 나타낸다. 따라서 4 개의 타겟은 모든 동시에 조명되고 센서(23) 상에 동시에 이미징된다. 오버레이 측정에만 관련되는 예에서, 격자(32 내지 35)는 기판(W)에 형성된 반도체 디바이스의 다른 층들에 패터닝되는 위에 놓인 격자들에 의하여 형성되는 복합 격자들이다. 격자(32 내지 35)는 복합 격자의 다른 부분들이 형성되는 층들 사이의 오버레이의 측정을 용이하게 하기 위하여 상이하게, 바이어스된 오버레이 오프셋을 가질 수도 있다. 또한 격자(32 내지 35)는 인입하는 방사선을 X 및 Y 방향으로 회전하기 위한, 도시된 바와 같이 배향이 있어서 다를 수 있다. 일 예에서, 격자(32 및 34)는 +d, -d, 각각의 바이어스를 가지는 X-방향 격자들이다. 이것은 격자(32)가, 그들 모두가 공칭 위치에 정확하게 인쇄된다면 이러한 컴포넌트 중 하나가 다른 것에 대해서 거리 d 만큼 오프셋되도록 자신의 오버라이(overlying) 컴포넌트들을 가진다는 것을 의미한다. 격자(34)는 완벽하게 인쇄된다면 d의 오프셋이지만 제 1 격자에 대해 반대 방향인 자신의 컴포넌트를 가지며, 이러한 방식으로 각자의 컴포넌트를 가진다. 격자(33 및 35)는 각각 오프셋 +d 및 -d인 Y-방향 격자이다. 이러한 격자들의 개별 이미지는 센서(23)에 의하여 캡쳐된 이미지에서 식별될 수 있다. 4 개의 격자가 예시되는 반면에, 다른 실시예는 원하는 정확도를 얻기 위해 더 큰 매트릭스를 요구할 수도 있다.
도 5 는 도 3 의 장치에 있는 도 4 의 타겟을 사용하고, X 및 Y 배향 모두에서 동시에 오프-축 조명을 제공하는 조명 프로파일을 사용하여 센서(23)에 형성되고 센서에 의하여 검출될 수 있는 이미지의 일 예를 도시한다. 어두운 사각형(40)은 센서 상의 이미지의 필드 이고, 그 안에서 기판 상의 조명된 스폿(31)이 대응하는 원형 영역(41)으로 이미징된다. 이러한 경우, 직사각형 영역(42 내지 45)은 소타겟 격자(32 내지 35)의 이미지를 나타낸다. 만일 격자들이 제품 영역에 위치된다면, 제품 피쳐도 역시 이러한 이미지 필드의 주위에서 보여질 수 있다. 이미지 프로세서 및 제어기(PU)는 패턴 인식을 사용하여 이러한 이미지를 처리하여 격자(32 내지 35)의 별개의 이미지(42 내지 45)를 식별한다. 이러한 방식으로, 이미지는 센서 프레임 내의 특정한 위치에서 매우 정밀하게 정렬될 필요가 없으며, 이것이 측정 장치 전체의 쓰루풋을 크게 개선시킨다. 그러나, 이미징 프로세스가 이미지 필드에 걸쳐 비-균일성에 노출된다면, 정확한 정렬에 대한 필요성은 여전히 존재 한다. 본 발명의 일 실시예에서, 4 개의 위치(P1 내지 P4)가 식별되며 격자들은 이러한 공지된 위치에 최대한 정렬된다.
격자들의 개별 이미지가 식별되면, 예를 들어 식별된 영역 내의 선택된 픽셀 세기 값을 평균화하거나 합산함으로써 그러한 개별 이미지의 세기가 측정될 수 있다. 이미지의 세기 및/또는 다른 특성은 서로 비교되어 4 개 이상의 격자들에 대한 비대칭의 측정치를 동시에 얻을 수 있다. 이러한 결과는 타겟 구조체 및 바이어스 방식에 대한 지식과 결합되어, 리소그래피 프로세스의 상이한 파라미터를 측정할 수 있다. 오버레이 성능은 이러한 파라미터의 중요한 예이고, 두 개의 리소그래피 계층의 측방향 정렬의 측정치이다. 좀 더 구체적으로는, 오버레이는, 예를 들어, 하단 격자의 상단의 중심과 대응하는 상단-격자의 하단의 중심 사이의 측방향 위치차로서 정의될 수 있다. 리소그래피 프로세스의 다른 파라미터의 측정치를 얻기 위해서, 그 외의 타겟 디자인이 사용될 수 있다. 다시 말하건대, 타겟 디자인과 바이어스 방식에 대한 지식이 비대칭 측정치와 결합되어, 원하는 성능 파라미터의 측정치를 얻을 수 있다. 예를 들어 이러한 방식으로 얻어진 비대칭 측정치로부터 선량 또는 초점의 측정치를 얻기 위한 타겟 디자인들이 공지되어 있다.
전술된 타입의 암시야 이미징에 의한 비대칭 측정에 추가하여, 오버레이 및 그 외의 파라미터의 측정도 타겟의 직접 이미징에 의해 이루어질 수 있다.
반도체 제조와 같은 업계에서 요구되고 있는 계측의 양과 정확도는 언제나 증가하고 있다. 이러한 환경에서 계측 툴로서 사용될 검사 장치는 많은 요구 사항들을 만족시킨다. 예를 들어, 300 nm로부터 1000 nm가 훨씬 넘는 범위까지의 큰 파장 범위가 규정될 수 있다. 정확도가 증가하려면, 낮은 수차를 가지는 고-NA 광학기가 작은 다이내 타겟을 형성할 수 있어야 한다. 주기적 격자 구조체를 사용하는 회절 기초 측정 기법이 이미지-기초 계측법보다 선호되게 된다. 이러한 툴은 매우 "약한(weak)" 타겟을 측정할 수 있어야 하는데, 이것은 뒤에 놓인 층들에서의 재료 흡수에 기인하여 낮은 회절 효율을 가지는 타겟을 의미한다. 타겟 구조체의 피쳐는 세그멘트화될 수 있으며, 이것이 타겟의 회절 효율을 더욱 감소시킨다. 세그멘트화된 구조체는 더 작은 서브-구조체들의 집합으로 구성된다. 서브-구조체들은 크기에 있어서, 조사 대상인 리소그래피 프로세스에 의해 형성돼야 하는 제품 피쳐와 더욱 가깝도록 설계된다.
이러한 요구 사항을 만족시키려면 통상적으로 큰 단점이 생긴다. 예를 들어, 낮은 수차를 가진 고-NA 브로드밴드 광학기는 보통 상이한 재료로 제작된 많은 렌즈 요소를 필요로 한다. 이러한 요소들 각각은, 약한 타겟의 검출가능성을 제한하는 내부 산란에 기여한다. 또한, 양호한 넓은 파장 범위에 걸쳐서 양호한 반사-방지 코팅을 하는 것이 점점 어려워지게 된다. 많은 광학 컴포넌트를 가지면, 장치를 계측 용도를 위해 적절하게 조절하기가 어려워진다.
도 6 은 단순화된 광학계와 함께, 도 3 내지 도 5 와 유사한 계측을 수행하기 위한 검사 장치를 개략적으로 도시한다. 이러한 장치에서는, 변경된 형태의 "무렌즈 이미징" 또는 코히어런트 회절형 이미징(CDI)이 사용된다. 디지털 홀로그래피에도 관련되는 CDI는 현미경 검사에서 사용되도록 제안된 기법이다. 본 개시물에서, CDI 기법은 회절형 구조체에 대한 계측, 예를 들어 격자 구조체의 비대칭의 측정을 수행하도록 적응된다. 완전히 무렌즈형이어야 하는 것은 아니지만, 개시된 장치는 장래의 애플리케이션에서의 성능 요구사항을 만족시켜야 하는 매우 복잡한 고-NA, 광대역 대물 렌즈 및 다른 요소에 대한 필요성을 없앤다.
도 6 의 (a)의 장치는 방사원(611) 및 이미지 센서(623)를 포함한다. 공지된 장치의 소스(11)와 달리, 이러한 예에서 방사원(611)은 공간적으로 코히어런트 방사선의 빔(630)을 공급한다. 소스(611)는 하나 이상의 협대역(단색) 레이저 소스로 형성될 수 있는데, 이러한 경우에 방사선은 공간적으로 코히어런트하기도 하고 시간적으로 코히어런트하기도 할 것이다. 또는, 본 발명의 예에서 가정되듯이, 소스(611)는 낮은 시간적 코히어런스를 가지고 공간적으로 코히어런트한 브로드밴드 소스일 수도 있다. 이러한 소스는 소위 초연속체(supercontinuum) 소스 또는 "백색 광 레이저"일 수 있다. 소스(611)에는, 원하는 형태로 빔(630)을 공급하기 위한 다른 디바이스가 조명 시스템(612) 내에 부가될 수 있다. 예를 들어, 몇 가지 실시예들에서 소스(611) 및 조명 시스템은 파장 선택기(613)(점선 표시)를 포함할 수 있다. 예를 들어, 이러한 파장 선택기는 음향-광학기 튜닝가능 필터(acousto-optic tunable filter; AOTF)일 수 있다.
이미지 센서(623)는 현재 있는 장치들에 포함된 센서(23)와 유사한 CCD 또는 CMOS 센서일 수 있다. 공지된 검사 장치에서와 같이, 상이한 조명 모드는 조리개 디바이스, 프로그래밍가능한 공간 광 변조기, 또는 공간적으로 분산된 섬유를 제공함으로써 구현될 수 있다.
소스(611)로부터 타겟(T)까지의 조명 경로에서, 조명 광학계는 간단한 미러(640)와 저-NA 렌즈(642)를 포함한다. 렌즈(642)는 기판(W) 상의 계측 타겟(T)의 위치에서 방사선 빔(630)을 스폿(S) 내에 집광한다. 포지셔닝 시스템(예를 들어 리소그래피 장치(LA)에 있는 포지셔닝 시스템(PW)과 유사)은 기판(W) 및 타겟(T)을 빔(630)의 초점으로 가져간다. 스폿은 도 3 내지 도 5 의 스폿과 유사한 크기 및 형상일 수 있으며, 대략적으로는 예를 들어 10 내지 80 μm, 예를 들어 20 내지 50 μm, 또는 약 40 μm의 범위의 직경의 원이다. 조명 방사선 빔(630)이 도시된 바와 같이 비스듬한 각도로 입사하는 실시예에서, 스폿(S)은 비-원형일 수 있고, 또는 애너모픽 광학기가 원형 스폿을 얻기 위해 적용될 수 있다. 타겟에 의해 반사된(0차에서 회절됨) 방사선(646)은 간결성을 위하여 648 에서 버려지는 것으로 도시된다. 실제 실시예에서, 반사된(0차) 방사선은, 예를 들어 기판의 초점 위치를 포지션 제어 메커니즘의 일부로서 결정하기 위해서 사용될 수 있다. 타겟(T)에 의해 산란된 방사선의 원하는 부분을 포함하는 방사선(650)은 센서(623)에 의해 수집된다. 오브젝트 방사선(object radiation)을 수집하기 위해서 고-NA 대물 렌즈가 필요하지 않고, 방사선은 타겟으로부터 센서로 직접적으로 통과할 수 있다. 실제 예에서, 적어도 대략적으로 빔을 시준하기 위하여(발산을 감소시킴) 간단한 수집 광학계가 제공될 수 있다. 간단한 렌즈일 수 있는 이러한 수집 광학계는 (b)에서 인세트 다이어그램 내에 개략적으로 도시된다. 그럼에도 불구하고, 복잡한 고-NA 대물 렌즈가 제거된다. 조명 방사선은 수집 광학계를 우회하여 타겟 영역으로 직접적으로 지향될 수 있다. 그러면 광학계의 요소들 내에서의 조명 방사선의 산란에 의한 노이즈를 피하는 데에 도움이 된다.
수집된 산란 방사선(650)에 추가적으로, 레퍼런스 방사선(652)도 역시 센서(623)로 전달된다. 산란 방사선(650) 및 레퍼런스 방사선(652)은 서로 코히어런트하도록 동일한 소스(611)로부터 유도되며, 결과적으로 센서 상의 각각의 픽셀에서의 그들의 상대 위상에 따라서 센서에 간섭 패턴을 형성한다. 도시된 예에서, 레퍼런스 방사선(652)은 조명 방사선(630)의 일부를 빔 스플리터(654)로 분할하고, 이것을 가동 미러(656), 발산 렌즈(658) 및 폴딩 미러(660)를 통해 센서로 전달함으로써 얻어진다. 레퍼런스 방사선(650)은, 센서(623)의 필드에 걸쳐 상대적으로 균일한 진폭을 가지는 "레퍼런스파(reference wave)"로 이미지 센서(623)를 채운다. 레퍼런스파는 뚜렷한 각도에서 시스템의 광축에 비스듬한 방향으로 이동하고, 따라서 레퍼런스파는 뚜렷한 진폭과 위상을 가진다. 오브젝트파라고도 불릴 수 있는 산란 방사선(650)은 알려지지 않은 진폭과 위상을 가진다.
레퍼런스파를 형성하기 위해 조명 방사선의 일부를 분할하는 것에 대한 대안으로서, 소위 "자기-레퍼런싱(self-referencing)" 구성도 역시 가능하다. 그러한 경우에, 더 높은 차수의 산란된 필드 자체의 일부가 분할되고 레퍼런스파로서 사용된다. 예를 들면, 자기-레퍼런싱 구성은 산란된 필드의 전단 복제본(sheared copy)을 산란된 필드와 간섭시킴으로써 동작할 수 있다.
더 상세히 후술되는 바와 같이, 레퍼런스파와 오브젝트파 사이의 간섭은 센서(623) 상에, 산란된 오브젝트파의 콤플렉스 방사선 필드("콤플렉스"란 진폭과 위상 양자 모두를 의미함)를 계산하기 위해 프로세서(PU)가 사용할 수 있는 결과적인 세기 분포를 제공한다. 이러한 캡쳐된 세기 분포의 하나 이상을 나타내는 이미지 데이터(662)가 프로세서(PU)로 전달된다. 그러면, 이미징 광학기(21)가 필요 없이 합성 이미지를 계산하기 위하여 파 전파 알고리즘이 사용될 수 있다.
레퍼런스파는 반드시 비스듬한 각도여야 하는 것이 아니다. 그러나, 비스듬한 각도를 사용하면, 높은 공간 주파수를 가지며 단일 이미지 획득으로부터 위상 정보를 "복조"하기 위해 사용될 수 있는 무늬 패턴이 타겟에 걸쳐서 도입될 수 있다. 레퍼런스파의 각도는 픽셀 어레이 피치의 두 배로 나눈 파장(lambda/2*pixel 크기)보다 너무 작아서는 안 된다. 통상적 셋-업에서, 예를 들어 3-4 도가 충분할 수도 있다. 이러한 고-주파수 무늬 패턴이 없으면, 예를 들어 "위상-스테핑(phase-stepping)"에 의해 위상 정보가 얻어질 수 있다. 아래에서 설명되는 바와 같이, 위상 스테핑의 하나의 방법은 레퍼런스 빔의 상대적인 위상을 변동하면서 다수의 이미지가 획득되는 방법이다. 이것이 가능하기는 하지만, 셋-업의 안정성이 다소 영향을 받게 되고, 따라서 비스듬한 레퍼런스 빔이 유리할 수 있다. 다른 방법에서, 위상 스테핑은, 상이한 위상 스텝들이 소위 '슈퍼-픽셀' 내에서 발견되도록 공간적 변조에 의해 이루어질 수 있다.
센서 배치 및 픽셀들의 어레이의 피치는 픽셀 어레이가 간섭 패턴의 적당한 샘플링을 제공하도록 결정되어야 한다. 개략적인 가이드로서, 픽셀 스페이싱(피치)은 λ/2d보다 적어야 하는데, λ는 조명 방사선(630) 내의(최장) 파장이고, d는 타겟(T)으로부터 이미지 센서(623)까지의 스페이싱이다. 실제 예에서, 스페이싱 d는 약 1 cm일 수 있다. 센서 치수는 각각의 방향(X 및 Y)에서 d의 수 배, 예를 들어 d의 다섯 배 이상, d의 10배, 또는 그보다 더 클 수 있다. 이러한 관점에서, 광학계를 명확하게 도시하기 위해서 도 6 및 도 7 의 도면들이 스케일에 있어서는 많이 왜곡되었다는 것에 주의한다. 실제로 센서는 도면이 제시하는 것보다 타겟에 훨씬 더 가깝거나, 크기 상 훨씬 더 넓을 수 있다. 예를 들어, 센서는 타겟(T)에서 바라볼 때 상대적으로 광각 θ의 각도를 가지도록 하는 거리 d 및 크기 L을 가질 수 있다. 각도 θ는 각각의 차원에서 100 도, 예를 들어 135 도, 및 예를 들어 약 150 도를 넘을 수 있다. 인세트 도 6 의 (b)에 도시된 바와 같이, 산란 방사선의 큰 범위의 각도를 여전히 캡쳐하는 동안 센서까지의 물리적 거리를 증가시키기 위해서 간단한 시준 렌즈(664)가 사용될 수 있다. 센서의 범위는 도시된 것처럼 타겟에 중심을 둬야 하는 것은 아니다. 이것은, 조명 방사선의 입사각에 기초하여, 조명 방사선의 파장(들) 및 주기적 격자의 피치에 기초하여 원하는 회절 차수를 캡쳐할 수 있도록 포지셔닝되기만 하면 된다.
예를 들어 레퍼런스파를 전달하는 데에 변형이 가해질 수 있다. 도시된 예에서, 오브젝트파와 레퍼런스파 사이의 광로차를 조절하면서 경로 길이 보상을 수행하기 위해 가동 미러(656)가 사용될 수 있다. 소스(611)가 백색 광 레이저와 같은 브로드밴드 소스라면, 이러한 미러를 이용한 스테핑을 통해 큰 파장 범위에 걸쳐서 콤플렉스 방사선 필드의 분광 측정이 가능해진다. 브로드밴드 소스의 코히어런스 길이가 상대적으로 작기 때문에, 이러한 장치는 넓은 범위의 위치를 통해 스테핑하는 동안 이미지를 캡쳐함으로써 작동될 수 있다. 제로에 가까운 경로 길이 차분에 대응하는 그러한 위치 중 일부만이 코히어런스 길이 내에 있을 것이다. 다른 위치들은 콤플렉스 필드 이미지를 제공하지 않을 것이다. 경로 길이 차분이 센서 상의 상이한 위치에서, 미러(656)의 주어진 위치에서 상이할 수 있다는 점에 주의한다. 결과적으로, 샘플링된 파 필드(far field) 내의 각각의 점은 미러의 상이한 위치에서 최대 무늬 콘트라스트를 가질 것이다. 특정한 파장에 대한 위상/진폭을 계산하기 위하여, 계산할 때 다수의 이미지로부터의 정보를 여전히 추가시킬 필요가 있을 것이다. 저-코히어런스 소스의 경우, 이미지에 걸쳐서 콘트라스트 변동이 얻어질 것이다. 이것은 테스트 타겟 상의 테스트 측정을 통해 캘리브레이션될 수 있다.
주어진 크기의 이미지 필드에 대한 설계 곤란성을 완화하기 위하여, 콤플렉스 대물 렌즈를 제거하면 더 큰 가시 범위가 구현될 수 있게 하는데, 이것은 종래의 광학기로는 가능하지 않을 것이다. 격자의 2 x 2 어레이 대신에, 예를 들어 가시 범위 내에 5 x 2 격자 또는 심지어 5 x 4 격자를 가진 복합 타겟이 이미징될 수 있다.
명백한 콤플렉스 방사선 필드 정보를 얻기 위하여, 가동 미러(656)의 스텝들은 조명 방사선의(최장) 파장 보다 훨씬 작게 만들어질 수 있다. 반도체 디바이스 제조와 같은 고-볼륨 제조의 예에서 타겟을 측정할 때, 측정당 소요되는 시간은 중요하지만, 이것은 이미지 캡쳐 자체에 걸리는 시간뿐만 아니라 이미지 캡쳐 이전에 각각의 타겟을 이동하고 획득하기 위한 시간을 포함한다. 타겟이 획득되면, 이동 미러(656)를 스테핑하면서 다수의 이미지를 캡쳐하는 것은 전체 계측 시간이 크게 증가하지 않게 할 수 있다. 그러므로, 캡쳐된 이미지 중 많은 것이 후속하는 분석에 거의 기여하지 않거나 아예 기여하지 않는다고 해도, 실무상 스텝들의 개수는 실제로는 매우 많을 수 있다. 또한, 더 큰 가시 범위가 얻어지면, 더 많은 수의 개개의 격자 또는 다른 타겟 구조체들이 하나의 캡쳐 동작에서 측정될 수 있다.
다른 예들에서, 위상 스테핑은 가동 미러(656)와 같은 부품들을 이동시키지 않고서 실현될 수 있다. 예를 들어, 반사성 또는 투과성 공간 광 변조기에는 더 큰 '초픽셀(superpixels)' 내의 상이한 픽셀 위치에 상이한 위상 스텝들이 제공될 수 있다. 상이한 위상 스텝은 적합한 재료에 스텝을 에칭함으로써, 또는 더 특이한 수단에 의하여 구현될 수 있다. 예를 들어 액정에 기초한 공간 광 변조기가 위상을 변조하기 위하여 사용될 수 있다. 다른 예들에서, 레퍼런스파의 파장이 그 경로 길이 대신에 또는 그것에 추가하여 변동될 수 있다. 파장과 입사각이 알려져 있으면, 콤플렉스 방사선 필드가 계산될 수 있다. 파장은, 조명 경로에 필터를 삽입함으로써, 및/또는 상이한 방사원을 선택함으로써, 또는 튜닝가능한 소스를 튠닝함으로써 선택될 수 있다.
다르게 말하면, 위상 정보는 일정한 파장으로 경로 길이차를 변동함으로써, 일정한 경로 길이차로 파장을 변경함으로써, 또는 이러한 변동의 조합에 의하여 얻어질 수 있다. 파장 선택은 필요한 경우 산란 이후에 적용될 수 있다. 예를 들어, 파장-선택 필터가 이미지 센서(623) 앞에 삽입되고 캡쳐들마다 변경될 수 있다. 다수의 이미지 센서(623)가 제공될 수 있는데, 수집 경로는 파장-선택 빔 스플리터들에 의해 분할된다. 동일한 이미지 센서(623) 내의 상이한 픽셀들은, 예를 들어 단일-칩 컬러 이미지 센서 상의 RGB 필터 어레이의 방식으로, 상이한 파장에 민감하도록 만들어질 수 있다.
도 7 은 다른 변형예를 도시한다. 거의 모든 부분들은 도 6 에 도시된 것과 유사하고, 동일한 참조 부호들이 사용된다. 주된 차이점은, 레퍼런스 방사선(652)이 조명 방사선(630)으로부터 직접적으로 얻어지지 않고, 타겟(T)에 의해 반사된 0차 방사선(646)으로부터 미러(670)에 의해 얻어진다는 것이다. 이러한 변화는 광학적 레이아웃을 단순하게 만들 수도 있고 그렇지 않을 수도 있다. 이러한 변경의 장점은, 산란 방사선(650)(오브젝트파) 및 레퍼런스 방사선(652)(레퍼런스파)이 그들의 각각의 광로의 더 많은 부분에 걸쳐서 동일한 영향에 노출될 것이라는 점이다. 특히, 광학계에 상대적인 타겟에 의한 임의의 진동 경험이 거의 동동하게 레퍼런스파 및 오브젝트파 양자 모두에 영향을 줄 것이다. 그러므로 기록된 콤플렉스 필드에 대한 이러한 진동의 영향은 감소될 것이다. 이러한 구성에서 레퍼런스파는 타겟 구조체에 대한 일부 정보를 포함할 것이지만, 이것은 평균 정보뿐일 것이고, 레퍼런스파는 오브젝트파의 콤플렉스 방사선 필드를 측정하기 위한 위상 레퍼런스로서 여전히 유효하다.
도 8 은 도 6 또는 도 7 의 장치를 사용한 완전한 측정 프로세스를 도시한다. 이러한 프로세스는 프로세서(PU)와 함께 도면에 도시된 광학적 하드웨어를 동작시킴으로써 구현된다. (i) 하드웨어의 동작을 제어하는 기능 및(ii) 이미지 데이터(662)를 처리하는 기능은 동일한 프로세서에 의해서 수행될 수 있고, 또는 상이한 전용 프로세서에 분리될 수도 있다. 이미지 데이터의 처리도 역시 동일한 장치에서 수행될 필요가 없고, 심지어 동일한 국가에서 수행될 필요가 없다.
단계들(802a, 802b, …802n)에서 세기 분포 이미지의 세트가 캡쳐되고 이미지 센서(23)로부터 프로세서(PU)에 의해 수신된다. 또한, 각각의 이미지와 연관된 장치의 동작 파라미터, 예를 들어 조명 모드, 미러(656)의 위치 등을 규정하는 보조 데이터(메타데이터)(804)도 수신된다. 이러한 메타데이터는 각각의 이미지와 함께 수신되거나, 또는 이러한 이미지의 세트 이전에 규정되고 저장될 수도 있다. 메타데이터는 기판 및 타겟 구조체의 정보를 더 포함할 수 있다. 레퍼런스파가 이미지 센서(23)를 통과하여 변동됨에 따른 그 알려진 위상을 규정하는 레퍼런스파 사양(806)도 역시 수신되거나 미리 저장된다. 이미지 센서에 걸친, 및/또는 가동 미러의 임의의 초기 위치에 대한 상대적인 위상 스텝을 아는 한, 절대 위상을 알 필요는 없다. 디자인과 계산에만 의존하는 대신에, 이러한 정보를 얻기 위해서 추가적인 캘리브레이션 프로시저가 제공될 수 있다.
수신된 이미지 데이터(802a) 등, 메타데이터(804) 및 레퍼런스파 사양(806)으로부터, 프로세서(PU) 는 콤플렉스 방사선 필드를 계산한다(810). 이것은 이미지 센서(23)에 걸친 오브젝트파(산란 방사선(650))의 진폭 및 위상의 표현이다. 이러한 표현은 픽셀 위치당 진폭 및 위상 값의 형태로 표현될 수 있다. 다른 균등한 형태의 표현도 역시 가능하다. 이러한 콤플렉스 방사선 필드로부터, 프로세서(PU)는 프로세스(812)에서 파 전파 알고리즘을 사용하여 이상적인 광학계에 의해 이미지 센서(도 3 의 센서(23)와 유사함) 상에 집광되었다면 보여졌을 합성 이미지(814)를 계산할 수 있다.
도 8 에 개략적으로 도시된 바와 같이, 합성 이미지(814)는 도 5 에 도시되는 실제 이미지와 동일한 형태를 가질 수 있다. 복합 타겟 내의 각각의 개개의 격자에 대응하는 어두운 사각형과 밝은 광 사각형이 단지 예시를 통해 도시된다. 합성 이미지는 공지된 장치에서 캡쳐되는 실제 이미지와 유사한, 세기의 이미지일 수 있다. 그러나, 합성 이미지는 반드시 세기 이미지여야 하는 것은 아니다. 이것은 격자의 위상 이미지일 수도 있고, 또는 세기/진폭 및 위상 이미지 양자 모두가 계산될 수도 있다. 전술된 바와 같이, 이러한 두 이미지들이 타겟의 회절 스펙트럼의 반대 부분들을 사용하여 형성된다면, 두 이미지들은 각각의 격자의 비대칭을 계산하기 위하여 사용될 수 있다. 도 8 에는 제 2 합성 이미지(814')가 도시된다. 조명 프로파일 또는 타겟의 배향이 180 도 회전된 경우 이미지 센서(623)에 의해 캡쳐된 제 2 세트의 이미지(802a') 등에 기초하여, 제 2 합성 이미지가 이미지(814)와 동일한 프로세스에 의해 획득된다는 것이 이해될 것이다. 다르게 말하면, 합성 이미지(814)는(예를 들어) +1차 회절된 방사선을 사용하여 생성되는 반면에, 합성 이미지(814')는 -1차 회절된 방사선을 사용하여 생성된다.
단계(820)에서, 프로세서(PU)는 이미지(814 및 814') 내의 상이한 격자의 이미지의 세기들을 비교하여 각각의 격자의 비대칭 측정치를 얻는다. 단계(822)에서, 복합 타겟 내의 다수의 격자의 측정된 비대칭성은 선결정된 공식 및/또는 캘리브레이션 곡선에 의해 변환되어, 관심 대상 파라미터의 측정치, 예컨대 오버레이(OV), 초점(F) 또는 선량(D)을 얻는다. 수학식은 적용된 바이어스 기법을 포함하여 타겟 구조체에 대한 지식으로부터 유도된다. 캘리브레이션 곡선은 타겟의 소정 범위에서의 비대칭 측정을 전자 현미경법(SEM, TEM)과 같은 다른 기법에 의해 이루어진 관심대상 파라미터의 측정과 비교함으로써 얻어질 수 있다.
예시된 프로세스는 관심 대상인 모든 타겟에 대해서 반복된다. 프로세스의 계산적 부분들은 시간과 공간적으로 이미지 캡쳐로부터 분리될 수 있다는 것에 주의한다. 물론 실시간이라면 바람직할 것이지만, 계산은 실시간으로 완료될 필요가 없다. 이미지의 캡쳐(802a) 등만이 기판이 있어야 이루어지며, 따라서 리소그래피 디바이스 제조 프로세스 전체의 생산성 쓰루풋에 영향을 준다.
위에서 언급된 바와 같이, 캡쳐된(802a) 이미지들의 갯수는 콤플렉스 방사선 필드를 계산하도록 선택되고 사용된 개수보다 더 클 수 있다. 사용된 개수는 요구 사항에 따라 선택될 수 있다. 이론 상, 오브젝트파와 레퍼런스파 사이에서 상이한(공지된) 위상 스텝별로 4개의 이미지가 캡쳐되면 명백한 진폭 및 위상 정보를 얻기에 충분할 것이다. 또는, 조명 방사선(630)의 상이한(공지된) 파장으로 4개의 이미지가 캡쳐되면 충분할 것이다. 측정 확실성을 향상시키기 위해 더 많은 개수가 사용될 수 있다. 계산을 위해 필요한 이미지의 개수는, 타겟 구조체 및 기판에 대한 지식을 사용하여 계산을 한정시킬 수 있다면 감소될 수 있다. 노이즈에 더 강건한 것으로 밝혀진 위상 스테핑 알고리즘이 알려져 있다. 예를 들어, 5-스텝 위상 천이 알고리즘이 위상 천이기 캘리브레이션에 더욱 강건하다. 위상 스텝이 동일하다면 그에 대한 지식을 요구하지 않는 멀티-스텝 알고리즘도 존재한다. 랜덤 위상 스텝 알고리즘도 역시 존재한다. 예를 들어, http://fp.optics.arizona.edu/jcwyant/Optics513/ChapterNotes/Chapter05/Notes/Phase%20Shifting%20Interferometry.nb.pdf에서 입수가능한 James C Wyant, "Phase Shifting Interferometry.nb.pdf", Optics 513 Chapter 5, Chapter Notes, 2011 을 참조한다.
위의 예에서, 콤플렉스 필드를 계산하는 단계(810) 및 합성 이미지를 계산하는 단계(814)는 순차적으로 분리된 것으로 도시된다. 이것은 실제로 진행될 편리한 방법일 수 있다. 그러나, 이론상으로는, 콤플렉스 필드를 명시적으로 계산하지 않고, 캡쳐된 이미지(802a) 등으로부터 합성 이미지(814)로의 직접적인 단일 계산에 진행되도록 이러한 계산들을 병합될 수 있다. 청구 범위는, 데이터의 별개의 어레이로서 콤플렉스 필드의 명시적 계산을 요구하는 것으로 해석되어서는 안 된다.
공지된 암시야 이미징 산란계의 이미지 센서(23)에 의해서 보여질 타겟의 합성 이미지를 계산하는 것에 추가하여, 이러한 장치는 퓨필 이미지 센서에서 보여질 회절 패턴의 합성 이미지를 계산할 수 있다. 알려진 장치를 사용하면, 이러한 상이한 이미지를 얻기 위해서 수집된 방사선을 상이한 광학적 브랜치로 분할하는 것이 필요하지 않다.
도 9 는 다수 개의 타겟들이 병렬적으로 측정될 수 있는 검사 장치(900)를 도시한다. 이러한 예에서, 3 개의 광학계(902, 904, 906)가 지지 구조체(910) 상에 탑재된다. 각각의 광학계는 도 6 및 도 7 에 도시된 타입의 검사 장치를 포함한다. 알 수 있는 바와 같이, 기판 상에 광학계 및 타겟이 적절하게 이격되면, 다수의 타겟(T1, T2, T3)이 동시에 캡쳐될 수 있다. 이미지(814) 등이 물리적 이미지 캡쳐의 단계와 별개인 단계에서 콤플렉스 방사선 필드 데이터로부터 계산된다는 사실은, 많은 디자인 제약들이 완화될 수 있다는 것을 의미한다. 이와 같이 제약들이 이완되는 것들 중 일부는 전술되었다. 도 9 의 병렬적인 구현예에서, 합성 이미지의 가시 범위가 넓고 포커싱이 계산되면, 여러 타겟들을 X, Y 및 Z(초점) 방향에서 동시에 캡쳐할 수 있게 된다.
결론
본 명세서에서 개시된 검사 장치는 대물 렌즈 및 다른 컴포넌트가 복잡해지거나 비용이 상승하지 않아도 측정 광학계의 가시 범위 및 대역폭을 개선할 수 있다. 매우 간단한 광학기를 가지고 매우 넓은 파장 범위를 커버할 수 있다. 적용하는 관점에서 볼 때, 이러한 큰 파장 범위는 측정 정확도를 개선하기 위해서, 그리고 특정한 공지된 파장에서의 측정이 어려워지게 하는 특정한 프로세스에 대해서 강건하게 되는 데에 매우 바람직하다. 다수의 CCD들 또는 유사한 이미지 센서(623)는 예를 들어 300 nm 내지 1500 nm 이상의 파장의 범위를 커버하도록 선택적으로 사용될 수 있다.
오브젝트파를 소스로부터 또는 반사된 0차로부터 직접적으로 유도된 레퍼런스파와 코히어런트하게 믹싱하면, 광학적 증폭("호모다잉(homodyning)")이 가능해지고, 이것이 동적 범위를 넓게 하고 매우 낮은 콘트라스트 격자를 검출할 수 있는 성능을 보장한다. 반면에, "자기-레퍼런싱된(self-referenced)" 실시예에서는, 레퍼런스파는 산란 방사선(650)의 변경된 복제본일 수 있다. 자기-레퍼런싱 실시예의 장점은, 예를 들어 신호의 안정성일 수 있는데, 그 이유는 레퍼런스가 측정 신호로부터 유도되기 때문이다.
존재하는 경우에 "광학기"는, 전체 이미지 형성이 계산에 의해 수행되기 때문에 완전히 무색성(achromatic)이고 초점 에러에 대해 매우 강건하다. 이러한 초점 공차는, 예를 들어 관심 영역(ROI, 도 5)이 비교되는 두 개의 이미지 내의 타겟의 정확하게 동일한 부분을 포함하도록 규정되도록 하기 위해 중요하다. 이하 도 10 에서 볼 수 있는 바와 같이, 캡쳐 시에 각각의 타겟을 개별적으로 포커싱하지 않고 여러 타겟으로부터 병렬적으로 이미지의 캡쳐가 수행되게 하기 위해서도 역시 유용할 수 있다.
이미지 센서(623)가 '유사-푸리에(Fourier-like)' 평면에 있기 때문에, 국지화된 노이즈(유사한 먼지, 데드 픽셀)의 영향이 합성 이미지 내의 모든 픽셀에 걸쳐 확산되고, 계산된 이미지에는 더 적은 영향을 준다. (CCD 또는 유사한 센서 상의 직접적 이미징과 반대로).
리소그래피 프로세스를 사용하여 디바이스를 제조하는 방법은, 본 명세서에 개시된 바와 같은 검사 장치를 제공하고, 리소그래피 프로세스의 성능의 파라미터를 측정하기 위해 처리된 기판을 측정하려고 이것을 사용하며, 이러한 프로세스의 파라미터를 조절하여 후속 기판의 처리를 위해 리소그래피 프로세스의 성능을 개선 또는 유지함으로써 개선될 수 있다.
위에서 설명된 타겟 구조체가 측정의 목적을 위하여 특정하게 설계되고 형성된 계측 타겟들인 반면에, 다른 실시예들에서, 기판에 형성된 디바이스의 기능성 부분인 타겟들의 속성이 측정될 수도 있다. 많은 디바이스들은 정규의 격자-유사 구조를 가진다. 본 명세서에서 사용되는 바와 같은 '타겟 격자' 및 '타겟 구조체'라는 용어는 해당 구조체가 수행되는 중인 측정에 대하여 특정하게 제공되어야 한다는 것을 요구하지 않는다.
광학계 하드웨어와 연관하여, 일 실시예는, 합성 이미지를 계산하고, 및/또는 검사 장치를 제어하여 조명 모드 및 그러한 계측 레시피의 다른 양태를 구현하는 방법을 규정하는 머신-판독가능 명령들의 하나 이상의 시퀀스를 포함하는 컴퓨터 프로그램을 포함할 수 있다. 이러한 컴퓨터 프로그램은 예를 들어 이미지 계산/제어 프로세스를 위해 채택된 별개의 컴퓨터 시스템에서 실행될 수 있다. 또는, 계산 단계는 도 6, 도 7, 또는 도 9 의 장치에 있는 유닛(PU) 및/또는 도 2 의 제어 유닛(LACU) 내에서 전체적으로 또는 부분적으로 실행될 수도 있다. 그 안에 저장된 이러한 컴퓨터 프로그램을 포함하는 데이터 스토리지 매체(예를 들어, 반도체 메모리, 자기적 또는 광학적 디스크)가 역시 제공될 수 있다.
다른 실시예들은 아래의 번호가 매겨진 절에서 제공된다:
1. 타겟 구조체의 특성을 측정하기 위한 검사 장치로서, 상기 장치는 광학계와 조합되는 방사원 및 이미지 검출기를 포함하고, 상기 광학계는:
- 상기 방사원으로부터 방사선을 수광하고, 공간적 코히어런트 조명 방사선의 빔을 형성하며, 상기 조명 방사선을 기판 상의 타겟 영역 상에 전달하기 위한 조명 경로;
- 상기 타겟 영역으로부터 비-0차 산란 방사선의 적어도 일부를 수집하고, 수집된 산란 방사선을 상기 이미지 검출기로 전달하기 위한 수집 경로; 및
- 레퍼런스 방사선을 상기 이미지 검출기로 전달하기 위한 레퍼런스 경로의 빔 경로를 규정하며,
상기 레퍼런스 방사선은, 상기 산란 방사선과 간섭하고 상기 이미지 검출기에서 간섭 패턴을 형성하도록, 상기 산란 방사선과 코히어런트하고, 상기 이미지 검출기는 상기 간섭 패턴의 하나 이상의 이미지를 캡쳐하도록 구성되며, 상기 검사 장치는, (i) 상기 하나 이상의 캡쳐된 이미지를 나타내는 이미지 데이터를 수신하고, (ii) 상기 이미지 데이터 및 상기 레퍼런스 방사선에 대한 지식으로부터, 검출기에서의 상기 산란 방사선의 콤플렉스 필드를 계산하며, (iii) 상기 타겟 영역 내의 주기적 구조체에 의해 회절된 방사선의 합성 방사측정 이미지를 상기 콤플렉스 필드로부터 계산하도록 되어 있는 프로세서를 더 포함하는, 검사 장치.
2. 제 1 절에서, 상기 렌퍼런스 경로는 기판의 타겟 영역을 포함하고, 레퍼런스 방사선은 산란 방사선의 일부로부터 획득되는, 검사 장치.
3. 제 2 절에서, 상기 레퍼런스 방사선은 산란 방사선의 0차 부분으로부터 획득되는, 검사 장치.
4. 제 2 절에서, 상기 레퍼런스 방사선은 산란 방사선의 비-0차 부분으로부터 획득되는, 검사 장치.
5. 제 1 절 내지 제 4 절 중 어느 하나에 있어서, 상기 조명 경로와 상기 레퍼런스 경로 중 하나 또는 양자 모두는, 상기 이미지 검출기에 있는 간섭 위치에서의 상기 산란 방사선과 레퍼런스 방사선 사이의 광로차를 조절하기 위한 가동 요소를 포함하는, 검사 장치.
6. 제 5 절에 있어서, 상기 프로세서는, 상이한 광로차로 캡쳐된 간섭 패턴의 이미지로부터 상기 콤플렉스 필드를 계산하도록 구성되는, 검사 장치.
7. 제 6 절에 있어서, 상기 조명 방사선은 광대역 조명이고, 상기 프로세서는 브로드밴드 방사선의 코히어런스 길이보다 적은 광로차(들)로서 캡쳐된 간섭 패턴의 하나 이상의 이미지로부터 상기 콤플렉스 필드를 계산하도록 구성되는, 검사 장치.
8. 제 1 절 내지 제 7 절 중 어느 하나에 있어서, 상기 조명 방사선의 파장을 선택하기 위한 파장 선택기를 포함하는, 검사 장치.
9. 제 8 절에 있어서, 상기 프로세서는, 상이한 파장으로 캡쳐된 간섭 패턴의 이미지로부터 상기 콤플렉스 필드를 계산하도록 구성되는, 검사 장치.
10. 제 1 절 내지 제 9 절 중 어느 하나에 있어서, 상기 수집 경로는 검출기에서의 산란 방사선의 발산을 감사시키기 위한 하나 이상의 요소를 포함하는, 검사 장치.
11. 제 1 절 내지 제 10 절 중 어느 하나에 있어서, 상기 콤플렉스 필드는 타겟 구조체의 알려진 특성을 규정하는 정보를 더 사용하여 계산되는, 검사 장치.
12. 제 11 절에 있어서, 상기 프로세서는, 상기 합성 방사측정 이미지로부터, 동일한 타겟 영역 내의 복수 개의 개개의 타겟 구조체에 관련된 정보를 추출하도록 되어 있고, 각각의 타겟 구조체는 상기 합성 방사측정 이미지 내의 상이한 구역에서 표현되는, 검사 장치.
13. 제 1 절 내지 제 12 절 중 어느 하나에 있어서, 상기 프로세서는 또한, 상이한 조건에서 캡쳐된 동일한 타겟 영역을 나타내는 이미지 데이터로부터 계산된 합성 방사측정 이미지로부터의 정보를 합성함으로써, 하나 이상의 타겟 구조체의 특성을 계산하도록 구성되는, 검사 장치.
14. 제 13 절에 있어서, 상기 특성은 비대칭이고, 상이한 조건에서 수집된 산란 방사선은 상기 타겟 구조체의 회절 스펙트럼의 반대 부분들을 포함하는, 검사 장치.
15. 제 13 절 또는 제 14 절에 있어서, 상기 프로세서는 또한, (iv) 단계 (i) 내지 (iii)을 상이한 조건에서 반복하고, 동일한 타겟 영역의 적어도 하나의 추가적 합성 방사측정 이미지를 계산하도록, 그리고 (v) 상기 복수 개의 합성 방사측정 이미지로부터, 상기 타겟 구조체 내의 비대칭의 측정치를 계산하도록 되어 있는, 검사 장치.
16. 제 14 절 또는 제 15 절에 있어서, 상기 프로세서는, 다수의 타겟 구조체에 대한 비대칭의 측정치를 계산하도록 구성되고, 상기 프로세서는 또한, 상기 측정치 및 다수의 타겟 구조체의 알려진 특징을 이용하여, 상기 타겟 구조체를 형성하기 위하여 사용되는 리소그래피 프로세스의 성능 파라미터를 계산하도록 구성되는, 검사 장치.
17. 제 16 절에 있어서, 리소그래피 프로세스의 상기 성능 파라미터는 오버레이, 초점 및 선량 중 하나인, 검사 장치.
18. 제 16 절 또는 제 17 절에 있어서, 상기 프로세서는, 상기 다수의 타겟 구조체에 관련된 정보를, 동일한 캡쳐된 이미지로부터 추출하도록 구성되고, 각각의 타겟 구조체는 상기 합성 이미지 내의 상이한 구역에 표현되는, 검사 장치.
19. 제 1 절 내지 제 18 절 중 어느 하나에 있어서, 복수 개의 광학계 및 이미지 검출기는 다수의 타겟 영역으로부터의 간섭 패턴의 이미지들을 병렬적으로 캡쳐하도록 제공되는, 검사 장치.
20. 제 1 절 내지 제 19 절 중 어느 하나에 있어서, 상기 프로세서는, 상기 타겟의 회절 스펙트럼의 하나 이상의 선택된 부분을 나타내는 합성 회절 패턴을 계산하도록 더욱 구성되는, 검사 장치.
21. 타겟 구조체의 특성을 측정하는 방법으로서,
(a) 공간적 코히어런트 조명 방사선으로 기판 상의 타겟 영역을 조명하는 단계;
(b) 상기 타겟 영역으로부터 비-0차 산란 방사선 중 적어도 일부를 수집하고, 수집된 산란 방사선을 이미지 검출기로 전달하는 단계;
(c) 레퍼런스 방사선의 빔을 상기 이미지 검출기로 전달하는 단계로서, 상기 레퍼런스 방사선은, 수집된 산란 방사선과 간섭하고 상기 이미지 검출기에서 간섭 패턴을 형성하도록 상기 산란 방사선과 코히어런트한, 전달하는 단계;
(d) 상기 이미지 검출기로, 상기 간섭 패턴의 하나 이상의 이미지를 캡쳐하는 단계;
(e) 상기 하나 이상의 캡쳐된 이미지를 나타내는 이미지 데이터 및 상기 레퍼런스 방사선에 대한 지식으로부터, 검출기에서의 수집된 산란 방사선의 콤플렉스 필드를 계산하는 단계;
(f) 계산된 콤플렉스 필드로부터, 상기 타겟 영역 내의 주기적 구조체에 의해 회절된 방사선의 합성 방사측정 이미지를 계산하는 단계를 포함하는, 타겟 구조체 특성 측정 방법.
22. 제 21 절에 있어서, 상기 레퍼런스 방사선은 상기 타겟 영역에 의해 산란된 방사선으로부터 획득되는, 타겟 구조체 특성 측정 방법.
23. 제 22 절에 있어서, 상기 레퍼런스 방사선은 상기 타겟 영역에 의해 산란된 0차 방사선으로부터 획득되는, 타겟 구조체 특성 측정 방법.
24. 제 22 절에 있어서, 상기 레퍼런스 방사선은 상기 타겟 영역에 의해 산란된 비-0차 방사선으로부터 획득되는, 타겟 구조체 특성 측정 방법.
25. 제 21 절 내지 제 24 절 중 어느 하나에 있어서, 상기 방법은, 상기 이미지 검출기에 있는 간섭 위치에서의 산란 방사선과 레퍼런스 방사선 사이의 광로차를 조절하는 단계를 더 포함하는, 타겟 구조체 특성 측정 방법.
26. 제 25 절에 있어서, 단계 (e)는 상이한 광로차로 캡쳐된 간섭 패턴의 이미지로부터 상기 콤플렉스 필드를 계산하는 것을 포함하는, 타겟 구조체 특성 측정 방법.
27. 제 26 절에 있어서, 상기 조명 방사선은 광대역 조명이고, 단계 (e)는 브로드밴드 방사선의 코히어런스 길이보다 적은 광로차(들)로서 캡쳐된 간섭 패턴의 하나 이상의 이미지로부터 상기 콤플렉스 필드를 계산하도록 구성되는, 타겟 구조체 특성 측정 방법.
28. 제 21 절 내지 제 27 항 중 어느 하나에 있어서, 상기 조명 방사선의 파장을 선택하기 위하여 파장 선택기가 사용되는, 타겟 구조체 특성 측정 방법.
29. 제 28 절에 있어서, 단계 (e)는 상이한 파장으로 캡쳐된 간섭 패턴의 이미지로부터 상기 콤플렉스 필드를 계산하는 것을 포함하는, 타겟 구조체 특성 측정 방법.
30. 제 21 절 내지 제 29 절 중 어느 하나에 있어서, 상기 수집 단계 (b)는 상기 검출기에서의 산란 방사선의 발산을 감소시키기 위하여 하나 이상의 요소를 사용하는, 타겟 구조체 특성 측정 방법.
31. 제 21 절 내지 제 30 절 중 어느 하나에 있어서, 단계 (e)에서, 상기 콤플렉스 필드는 타겟 구조체의 알려진 특성을 규정하는 정보를 더 사용하여 계산되는, 타겟 구조체 특성 측정 방법.
32. 제 31 절에 있어서, 단계 (f)는, 상기 합성 방사측정 이미지로부터, 동일한 타겟 영역 내의 복수 개의 개개의 타겟 구조체에 관련된 정보를 추출하는 것을 포함하고, 각각의 타겟 구조체는 상기 합성 방사측정 이미지 내의 상이한 구역에서 표현되는, 타겟 구조체 특성 측정 방법.
33. 제 21 절 내지 제 32 절 중 어느 하나에 있어서,
(g) 동일한 타겟 영역의 적어도 하나의 추가적 합성 방사측정 이미지를 계산하도록, 단계 (a) 내지 (f)를 상이한 조건에서 반복하는 단계로서, 상이한 조건에서의 수집된 산란 방사선은 타겟 구조체의 회절 스펙트럼의 반대 부분들을 포함하는, 단계; 및
(h) 복수 개의 합성 방사측정 이미지로부터, 상기 타겟 구조체 내의 비대칭의 측정치를 계산하는 단계를 더 포함하는, 타겟 구조체 특성 측정 방법.
34. 제 33 절에 있어서, 상기 프로세서는, 다수의 타겟 구조체에 대한 비대칭의 측정치를 계산하도록 구성되고, 상기 프로세서는 또한, 상기 측정치 및 다수의 타겟 구조체의 알려진 특징을 이용하여, 상기 타겟 구조체를 형성하기 위하여 사용되는 리소그래피 프로세스의 성능 파라미터를 계산하도록 구성되는, 타겟 구조체 특성 측정 방법.
35. 디바이스 제조 방법으로서, 디바이스 피쳐 및 계측 타겟이 리소그래피 프로세스에 의하여 일련의 기판 상에 형성되고, 하나 이상의 처리된 기판 상의 상기 계측 타겟의 특성이 제 21 절 내지 제 34 절 중 어느 하나의 방법에 의해 측정되며, 추가적인 기판의 처리를 위해, 측정된 특성이 상기 리소그래피 프로세스의 파라미터를 조절하기 위하여 사용되는, 디바이스 제조 방법.
36. 제 21 절 내지 제 34 절 중 어느 하나의 방법의 단계 (e)를 구현하기 위한 머신-판독가능 명령의 하나 이상의 시퀀스를 포함하는, 컴퓨터 프로그램 제품.
37. 제 21 절 내지 제 34 절 중 어느 하나의 방법의 단계 (e) 및 단계 (f)를 구현하기 위한 머신-판독가능 명령의 하나 이상의 시퀀스를 포함하는, 컴퓨터 프로그램 제품.
38. 제 33 절 내지 제 34 절 중 어느 하나의 방법의 단계 (e), (f), 및 (h)를 구현하기 위한 머신-판독가능 명령의 하나 이상의 시퀀스를 포함하는, 컴퓨터 프로그램 제품.
비록 특정한 참조가 위에서 광 리소그래피의 콘텍스트에서의 본 발명의 실시예의 사용에 대하여 이루어졌지만, 본 발명이 다른 애플리케이션, 예를 들어 임프린트(imprint) 리소그래피에서 사용될 수도 있고, 콘텍스트가 허용하는 경우 광 리소그래피로 제한되는 것이 아니라는 것이 인정될 것이다. 임프린트 리소그래피에서, 패터닝 장치의 토포그래피는 기판 상에 생성된 패턴을 정의한다. 패터닝 장치의 토포그래피는 기판에 공급된 레지스트의 층에 프레스될 수도 있고, 그 위에서 레지스트는 전자기 방사선, 열, 압력 또는 이들의 조합을 인가함으로써 경화된다. 패터닝 장치는 레지스트가 경화된 후에 레지스트 외부로 이동됨으로써 그 내부에 패턴을 잔류시킨다.
본원에서 사용된 "방사선" 및 "빔"이라는 용어는, 자외(UV) 방사선(예컨대, 약 365, 355, 248, 193, 157, 또는 126 nm의 파장을 가짐) 및 극자외(EUV) 방사선(예컨대, 5-20 nm 범위의 파장을 가짐), 및 이온 빔 또는 전자 빔과 같은 입자 빔을 포함하는, 모든 타입의 전자기 방사선을 망라한다.
본 명세서에 사용된 "렌즈"라는 용어는, 문맥이 허용한다면, 굴절, 회절, 반사, 자기, 전자자기, 및 정전기 광 컴포넌트를 포함하는 다양한 타입의 광 컴포넌트 중 임의의 것 또는 조합을 가리킬 수 있다.
특정 실시예에 대한 전술한 설명은 본 발명의 전반적인 특성을 완전하게 보여주어, 당해 기술 분야에 익숙한 사람이 갖고 있는 지식을 적용함으로써 본 발명의 전반적인 개념으로부터 벗어나지 않고서도 불필요한 실험 없이 이러한 구체적인 실시예에 대한 다양한 응용을 용이하게 수정 및/또는 적응시킬 수 있을 것이다. 따라서, 이러한 수정 및 적응은 본 명세서에 제공된 교시 및 지침을 기반으로 하는 개시 실시예의 등가물의 범위 내에 있도록 의도된다. 본 명세서에서 구문 또는 어휘는 예에 의한 설명의 목적을 위한 것이고 한정하기 위한 것이 아니며, 따라서 본 명세서의 용어 또는 구문은 교시 및 지도를 고려하여 당업자에 의하여 해석되어야 한다는 것이 이해되어야 한다.
본 발명의 적용 범위 및 범위는 전술한 예시 실시예의 어떠한 것에 의해서도 한정되어서는 안되며, 후속하는 청구범위 및 그 균등물에 따라서만 정해져야 한다.

Claims (15)

  1. 타겟 구조체의 특성을 측정하기 위한 검사 장치로서,
    상기 장치는 광학계와 조합되는 방사원 및 이미지 검출기를 포함하고, 상기 광학계는:
    - 상기 방사원으로부터 방사선을 수광하고, 공간적 코히어런트 조명 방사선의 빔을 형성하며, 상기 조명 방사선을 기판 상의 타겟 영역 상에 전달하기 위한 조명 경로;
    - 상기 타겟 영역으로부터 비-0차 산란 방사선의 적어도 일부를 수집하고, 수집된 산란 방사선을 상기 이미지 검출기로 전달하기 위한 수집 경로; 및
    - 레퍼런스 방사선을 상기 이미지 검출기로 전달하기 위한 레퍼런스 경로의 빔 경로를 규정하며,
    상기 레퍼런스 방사선은, 상기 산란 방사선과 간섭하고 상기 이미지 검출기에서 간섭 패턴을 형성하도록, 상기 산란 방사선과 코히어런트하고,
    상기 이미지 검출기는 상기 간섭 패턴의 하나 이상의 이미지를 캡쳐하도록 구성되며,
    상기 검사 장치는, (i) 상기 하나 이상의 캡쳐된 이미지를 나타내는 이미지 데이터를 수신하고, (ii) 상기 이미지 데이터 및 상기 레퍼런스 방사선에 대한 지식으로부터, 검출기에서의 상기 산란 방사선의 콤플렉스 필드(complex field)를 계산하며, (iii) 상기 타겟 영역 내의 주기적 구조체에 의해 회절된 방사선의 합성 방사측정 이미지를 상기 콤플렉스 필드로부터 계산하도록 되어 있는 프로세서를 더 포함하는, 검사 장치.
  2. 제 1 항에 있어서,
    상기 조명 경로와 상기 레퍼런스 경로 중 하나 또는 양자 모두는, 상기 이미지 검출기에 있는 간섭 위치에서의 상기 산란 방사선과 레퍼런스 방사선 사이의 광로차를 조절하기 위한 가동 요소를 포함하는, 검사 장치.
  3. 제 2 항에 있어서,
    상기 프로세서는, 상이한 광로차로 캡쳐된 간섭 패턴의 이미지로부터 상기 콤플렉스 필드를 계산하도록 구성되는, 검사 장치.
  4. 제 1 항 내지 제 3 항 중 어느 한 항에 있어서,
    상기 프로세서는, 상기 합성 방사측정 이미지로부터, 동일한 타겟 영역 내의 복수 개의 개개의 타겟 구조체에 관련된 정보를 추출하도록 되어 있고, 각각의 타겟 구조체는 상기 합성 방사측정 이미지 내의 상이한 구역에서 표현되는, 검사 장치.
  5. 제 1 항 내지 제 4 항 중 어느 한 항에 있어서,
    상기 프로세서는 또한, 상이한 조건에서 캡쳐된 동일한 타겟 영역을 나타내는 이미지 데이터로부터 계산된 합성 방사측정 이미지로부터의 정보를 합성함으로써, 하나 이상의 타겟 구조체의 특성을 계산하도록 구성되는, 검사 장치.
  6. 제 5 항에 있어서,
    상기 프로세서는 또한,
    (iv) 단계 (i) 내지 (iii)을 상이한 조건에서 반복하고, 동일한 타겟 영역의 적어도 하나의 추가적 합성 방사측정 이미지를 계산하도록, 그리고
    (v) 상기 복수 개의 합성 방사측정 이미지로부터, 상기 타겟 구조체 내의 비대칭의 측정치를 계산하도록 되어 있는, 검사 장치.
  7. 타겟 구조체의 특성을 측정하는 방법으로서,
    (a) 공간적 코히어런트 조명 방사선으로 기판 상의 타겟 영역을 조명하는 단계;
    (b) 상기 타겟 영역으로부터 비-0차 산란 방사선 중 적어도 일부를 수집하고, 수집된 산란 방사선을 이미지 검출기로 전달하는 단계;
    (c) 레퍼런스 방사선의 빔을 상기 이미지 검출기로 전달하는 단계로서, 상기 레퍼런스 방사선은, 수집된 산란 방사선과 간섭하고 상기 이미지 검출기에서 간섭 패턴을 형성하도록 상기 산란 방사선과 코히어런트한, 단계;
    (d) 상기 이미지 검출기로, 상기 간섭 패턴의 하나 이상의 이미지를 캡쳐하는 단계;
    (e) 상기 하나 이상의 캡쳐된 이미지를 나타내는 이미지 데이터 및 상기 레퍼런스 방사선에 대한 지식으로부터, 검출기에서의 수집된 산란 방사선의 콤플렉스 필드를 계산하는 단계; 및
    (f) 계산된 콤플렉스 필드로부터, 상기 타겟 영역 내의 주기적 구조체에 의해 회절된 방사선의 합성 방사측정 이미지를 계산하는 단계를 포함하는, 타겟 구조체 특성 측정 방법.
  8. 제 7 항에 있어서,
    상기 방법은,
    상기 이미지 검출기에 있는 간섭 위치에서의 산란 방사선과 레퍼런스 방사선 사이의 광로차를 조절하는 단계를 더 포함하는, 타겟 구조체 특성 측정 방법.
  9. 제 7 항 또는 제 8 항에 있어서,
    상기 조명 방사선의 파장을 선택하기 위하여 파장 선택기가 사용되는, 타겟 구조체 특성 측정 방법.
  10. 제 7 항 내지 제 9 항 중 어느 한 항에 있어서,
    상기 단계 (b)에서 수집하는 것은 상기 검출기에서의 산란 방사선의 발산을 감소시키기 위한 하나 이상의 요소를 사용하는, 타겟 구조체 특성 측정 방법.
  11. 제 7 항 내지 제 10 항 중 어느 한 항에 있어서,
    단계 (e)에서, 상기 콤플렉스 필드는 타겟 구조체의 알려진 특성을 규정하는 정보를 더 사용하여 계산되는, 타겟 구조체 특성 측정 방법.
  12. 제 7 항 내지 제 11 항 중 어느 한 항에 있어서,
    상기 방법은,
    (g) 동일한 타겟 영역의 적어도 하나의 추가적 합성 방사측정 이미지를 계산하도록, 단계 (a) 내지 (f)를 상이한 조건에서 반복하는 단계로서, 상이한 조건에서의 수집된 산란 방사선은 타겟 구조체의 회절 스펙트럼의 반대 부분들을 포함하는, 단계; 및
    (h) 복수 개의 합성 방사측정 이미지로부터, 상기 타겟 구조체 내의 비대칭의 측정치를 계산하는 단계를 더 포함하는, 타겟 구조체 특성 측정 방법.
  13. 제 12 항에 있어서,
    프로세서는, 다수의 타겟 구조체에 대한 비대칭의 측정치를 계산하도록 구성되고,
    상기 프로세서는 또한, 상기 측정치 및 다수의 타겟 구조체의 알려진 특징을 이용하여, 상기 타겟 구조체를 형성하기 위하여 사용되는 리소그래피 프로세스의 성능 파라미터를 계산하도록 구성되는, 타겟 구조체 특성 측정 방법.
  14. 디바이스 제조 방법으로서,
    디바이스 피쳐 및 계측 타겟이 리소그래피 프로세스에 의하여 일련의 기판 상에 형성되고,
    하나 이상의 처리된 기판 상의 상기 계측 타겟의 특성이 제 7 항 내지 제 13 항 중 어느 한 항의 방법에 의해 측정되며,
    추가적인 기판의 처리를 위해, 측정된 특성이 상기 리소그래피 프로세스의 파라미터를 조절하기 위하여 사용되는, 디바이스 제조 방법.
  15. 제 7 항 내지 제 13 항 중 어느 한 항의 방법의 단계 (e)를 구현하기 위한 머신-판독가능 명령의 하나 이상의 시퀀스를 포함하는, 컴퓨터 프로그램 제품.
KR1020177008041A 2014-08-28 2015-08-13 검사 장치, 검사 방법 및 제조 방법 KR101960403B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
EP14182658 2014-08-28
EP14182658.6 2014-08-28
PCT/EP2015/068702 WO2016030205A1 (en) 2014-08-28 2015-08-13 Inspection apparatus, inspection method and manufacturing method

Publications (2)

Publication Number Publication Date
KR20170044720A true KR20170044720A (ko) 2017-04-25
KR101960403B1 KR101960403B1 (ko) 2019-03-20

Family

ID=51421895

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020177008041A KR101960403B1 (ko) 2014-08-28 2015-08-13 검사 장치, 검사 방법 및 제조 방법

Country Status (6)

Country Link
US (1) US9632039B2 (ko)
KR (1) KR101960403B1 (ko)
CN (2) CN113204173B (ko)
IL (1) IL250577B (ko)
TW (1) TWI635272B (ko)
WO (1) WO2016030205A1 (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20210018212A (ko) * 2018-04-09 2021-02-17 스티칭 브이유 구조의 특성을 결정하는 방법 및 계측 장치
KR20210030974A (ko) * 2018-08-01 2021-03-18 에이에스엠엘 네델란즈 비.브이. 기판 상의 하나 이상의 구조체의 특성을 결정하기 위한 계측 시스템 및 방법

Families Citing this family (41)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
NL2011816A (en) * 2012-11-30 2014-06-04 Asml Netherlands Bv Method of determining dose and focus, inspection apparatus, patterning device, substrate and device manufacturing method.
CN106662823B (zh) 2014-06-30 2018-10-19 Asml荷兰有限公司 确定剂量的方法、检查设备、图案形成装置、衬底以及器件制造方法
US10185303B2 (en) * 2015-02-21 2019-01-22 Kla-Tencor Corporation Optimizing computational efficiency by multiple truncation of spatial harmonics
US10042324B2 (en) * 2015-06-30 2018-08-07 Synaptics Incorporated Optical fingerprint imaging using holography
US9846128B2 (en) * 2016-01-19 2017-12-19 Applied Materials Israel Ltd. Inspection system and a method for evaluating an exit pupil of an inspection system
US10346964B2 (en) * 2016-02-02 2019-07-09 Steven M. Ebstein System for actinic inspection of semiconductor masks
US11002688B2 (en) * 2016-02-02 2021-05-11 Steven M. Ebstein System for actinic inspection of semiconductor masks
WO2017157645A1 (en) 2016-03-15 2017-09-21 Stichting Vu Inspection method, inspection apparatus and illumination method and apparatus
WO2017211694A1 (en) * 2016-06-09 2017-12-14 Asml Netherlands B.V. Radiation source
KR20190031542A (ko) 2016-07-21 2019-03-26 에이에스엠엘 네델란즈 비.브이. 타겟을 측정하는 방법, 기판, 메트롤로지 장치, 및 리소그래피 장치
EP3336605A1 (en) * 2016-12-15 2018-06-20 ASML Netherlands B.V. Method of measuring a structure, inspection apparatus, lithographic system and device manufacturing method
US10551749B2 (en) 2017-01-04 2020-02-04 Kla-Tencor Corporation Metrology targets with supplementary structures in an intermediate layer
EP3370486A1 (en) * 2017-03-02 2018-09-05 ASML Netherlands B.V. Radiation source
US11112369B2 (en) * 2017-06-19 2021-09-07 Kla-Tencor Corporation Hybrid overlay target design for imaging-based overlay and scatterometry-based overlay
EP3422103A1 (en) * 2017-06-26 2019-01-02 ASML Netherlands B.V. Method of determining a performance parameter of a process
AU2018309611B2 (en) * 2017-07-31 2022-11-10 Lawrence Livermore National Security, Llc Convergent x-ray imaging device and method
JP7124071B2 (ja) * 2017-10-05 2022-08-23 エーエスエムエル ネザーランズ ビー.ブイ. 基板上の1つ又は複数の構造の特性を決定するためのメトロロジシステムおよび方法
DE102018201935B4 (de) * 2018-02-08 2022-12-15 Carl Zeiss Smt Gmbh Verfahren zur Vermessung von Strukturen auf einem Substrat für die Mikrolithographie
WO2019166190A1 (en) 2018-02-27 2019-09-06 Stichting Vu Metrology apparatus and method for determining a characteristic of one or more structures on a substrate
EP3531191A1 (en) * 2018-02-27 2019-08-28 Stichting VU Metrology apparatus and method for determining a characteristic of one or more structures on a substrate
IL279368B2 (en) * 2018-06-13 2024-06-01 Asml Netherlands Bv Metrological device
EP3614207A1 (en) * 2018-08-21 2020-02-26 ASML Netherlands B.V. Metrology apparatus
WO2020043582A1 (en) * 2018-08-29 2020-03-05 Asml Holding N.V. Compact alignment sensor arrangements
WO2020200993A1 (en) * 2019-04-04 2020-10-08 Asml Netherlands B.V. Method and apparatus for predicting substrate image
WO2020229049A1 (en) * 2019-05-13 2020-11-19 Asml Netherlands B.V. Detection apparatus for simultaneous acquisition of multiple diverse images of an object
EP3751342A1 (en) * 2019-06-13 2020-12-16 Stichting VU Metrology method and method for training a data structure for use in metrology
US20220299888A1 (en) * 2019-06-17 2022-09-22 Asml Netherlands B.V. Metrology method and apparatus for of determining a complex-valued field
EP3754427A1 (en) * 2019-06-17 2020-12-23 ASML Netherlands B.V. Metrology method and apparatus for of determining a complex-valued field
EP3786713A1 (en) * 2019-09-02 2021-03-03 ASML Netherlands B.V. Metrology method and device for determining a complex-valued field
KR20210033342A (ko) 2019-09-18 2021-03-26 삼성전자주식회사 초 해상도 홀로그래픽 현미경
CN114730140A (zh) * 2019-12-05 2022-07-08 Asml控股股份有限公司 使用锁定放大器技术的重叠测量系统
KR20220101695A (ko) 2019-12-17 2022-07-19 에이에스엠엘 네델란즈 비.브이. 암시야 디지털 홀로그래픽 현미경 및 관련된 계측 방법
EP3839635A1 (en) 2019-12-17 2021-06-23 ASML Netherlands B.V. Dark field digital holographic microscope and associated metrology method
CN115004113A (zh) * 2020-01-29 2022-09-02 Asml荷兰有限公司 量测方法和用于测量衬底上的周期性结构的装置
EP3876037A1 (en) * 2020-03-06 2021-09-08 ASML Netherlands B.V. Metrology method and device for measuring a periodic structure on a substrate
JP7432227B2 (ja) * 2020-02-27 2024-02-16 国立大学法人 東京大学 位相イメージング装置、位相イメージング方法
EP3964892A1 (en) 2020-09-02 2022-03-09 Stichting VU Illumination arrangement and associated dark field digital holographic microscope
CN111929986A (zh) * 2020-09-25 2020-11-13 歌尔股份有限公司 纳米压印工艺监测方法、监测装置及纳米压印设备
US20220291143A1 (en) * 2021-03-11 2022-09-15 Kla Corporation Optical metrology utilizing short-wave infrared wavelengths
EP4246231A1 (en) 2022-03-18 2023-09-20 Stichting VU A method for determining a vertical position of a structure on a substrate and associated apparatuses
CN117086500B (zh) * 2023-08-17 2024-06-25 深圳市大德激光技术有限公司 一种激光蚀刻设备电气控制系统

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060033921A1 (en) * 2004-08-16 2006-02-16 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
KR20120080198A (ko) * 2009-10-12 2012-07-16 에이에스엠엘 네델란즈 비.브이. 기판 상에서 대상물의 대략적인 구조를 결정하기 위한 방법, 검사 장치 및 기판
US20130258310A1 (en) * 2012-03-27 2013-10-03 Asml Netherlands B.V. Metrology Method and Apparatus, Lithographic System and Device Manufacturing Method
KR101395733B1 (ko) * 2009-06-17 2014-05-15 에이에스엠엘 네델란즈 비.브이. 오버레이 측정 방법, 리소그래피 장치, 검사 장치, 처리 장치, 및 리소그래피 처리 셀
KR101461457B1 (ko) * 2009-07-31 2014-11-13 에이에스엠엘 네델란즈 비.브이. 계측 방법 및 장치, 리소그래피 시스템, 및 리소그래피 처리 셀

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB0409572D0 (en) 2004-04-29 2004-06-02 Univ Sheffield High resolution imaging
US7580131B2 (en) * 2007-04-17 2009-08-25 Asml Netherlands B.V. Angularly resolved scatterometer and inspection method
GB0709796D0 (en) 2007-05-22 2007-06-27 Phase Focus Ltd Three dimensional imaging
NL1036245A1 (nl) 2007-12-17 2009-06-18 Asml Netherlands Bv Diffraction based overlay metrology tool and method of diffraction based overlay metrology.
GB0724448D0 (en) 2007-12-17 2008-01-30 Phase Focus Ltd Method and apparatus for providing image data
NL1036597A1 (nl) 2008-02-29 2009-09-01 Asml Netherlands Bv Metrology method and apparatus, lithographic apparatus, and device manufacturing method.
NL1036857A1 (nl) 2008-04-21 2009-10-22 Asml Netherlands Bv Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method.
GB0817650D0 (en) 2008-09-26 2008-11-05 Phase Focus Ltd Improvements in the field of imaging
NL2004094A (en) 2009-02-11 2010-08-12 Asml Netherlands Bv Inspection apparatus, lithographic apparatus, lithographic processing cell and inspection method.
GB0906449D0 (en) 2009-04-15 2009-05-20 Phase Focus Ltd Improvements in imaging
KR20120039659A (ko) * 2009-06-22 2012-04-25 에이에스엠엘 홀딩 엔.브이. 물체 검사 시스템 및 물체 검사 방법
KR20120058572A (ko) 2009-08-24 2012-06-07 에이에스엠엘 네델란즈 비.브이. 메트롤로지 방법 및 장치, 리소그래피 장치, 리소그래피 처리 셀 및 메트롤로지 타겟들을 포함하는 기판
US20120281197A1 (en) * 2010-01-27 2012-11-08 Asml Netherlands B.V. Holographic Mask Inspection System with Spatial Filter
GB201006593D0 (en) 2010-04-20 2010-06-02 Phase Focus Ltd Characteristic determination
GB2481589B (en) 2010-06-28 2014-06-11 Phase Focus Ltd Calibration of a probe in ptychography
NL2007176A (en) 2010-08-18 2012-02-21 Asml Netherlands Bv Substrate for use in metrology, metrology method and device manufacturing method.
GB201016088D0 (en) 2010-09-24 2010-11-10 Phase Focus Ltd Improvements in imaging
NL2007425A (en) 2010-11-12 2012-05-15 Asml Netherlands Bv Metrology method and apparatus, and device manufacturing method.
WO2012062858A1 (en) 2010-11-12 2012-05-18 Asml Netherlands B.V. Metrology method and apparatus, lithographic system and device manufacturing method
CN106289336B (zh) 2011-11-09 2019-07-09 齐戈股份有限公司 双通干涉测量编码器系统
WO2013143723A1 (en) * 2012-03-29 2013-10-03 Asml Holding N.V. Compact self-contained holographic and interferometric apparatus
NL2010458A (en) 2012-04-16 2013-10-17 Asml Netherlands Bv Lithographic apparatus, substrate and device manufacturing method background.
CN102681365B (zh) * 2012-05-18 2015-01-14 中国科学院光电技术研究所 一种投影物镜波像差检测装置及方法
CN104350424B (zh) 2012-05-29 2018-01-09 Asml荷兰有限公司 量测方法和设备、衬底、光刻系统以及器件制造方法
NL2009367C2 (en) 2012-08-27 2014-03-03 Stichting Vu Vumc Microscopic imaging apparatus and method to detect a microscopic image.
US9581430B2 (en) 2012-10-19 2017-02-28 Kla-Tencor Corporation Phase characterization of targets

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060033921A1 (en) * 2004-08-16 2006-02-16 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US20060066855A1 (en) * 2004-08-16 2006-03-30 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
KR101395733B1 (ko) * 2009-06-17 2014-05-15 에이에스엠엘 네델란즈 비.브이. 오버레이 측정 방법, 리소그래피 장치, 검사 장치, 처리 장치, 및 리소그래피 처리 셀
KR101461457B1 (ko) * 2009-07-31 2014-11-13 에이에스엠엘 네델란즈 비.브이. 계측 방법 및 장치, 리소그래피 시스템, 및 리소그래피 처리 셀
KR20120080198A (ko) * 2009-10-12 2012-07-16 에이에스엠엘 네델란즈 비.브이. 기판 상에서 대상물의 대략적인 구조를 결정하기 위한 방법, 검사 장치 및 기판
US20130258310A1 (en) * 2012-03-27 2013-10-03 Asml Netherlands B.V. Metrology Method and Apparatus, Lithographic System and Device Manufacturing Method

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20210018212A (ko) * 2018-04-09 2021-02-17 스티칭 브이유 구조의 특성을 결정하는 방법 및 계측 장치
KR20210030974A (ko) * 2018-08-01 2021-03-18 에이에스엠엘 네델란즈 비.브이. 기판 상의 하나 이상의 구조체의 특성을 결정하기 위한 계측 시스템 및 방법
US11709436B2 (en) 2018-08-01 2023-07-25 Asml Netherlands B.V. Metrology apparatus and method for determining a characteristic of one or more structures on a substrate
US12066764B2 (en) 2018-08-01 2024-08-20 Asml Netherlands B.V. Metrology apparatus and method for determining a characteristic of one or more structures on a substrate

Also Published As

Publication number Publication date
CN113204173B (zh) 2024-04-09
US20160061750A1 (en) 2016-03-03
CN113204173A (zh) 2021-08-03
IL250577A0 (en) 2017-03-30
CN107924132B (zh) 2021-02-12
US9632039B2 (en) 2017-04-25
TW201625935A (zh) 2016-07-16
CN107924132A (zh) 2018-04-17
WO2016030205A1 (en) 2016-03-03
TWI635272B (zh) 2018-09-11
IL250577B (en) 2020-08-31
KR101960403B1 (ko) 2019-03-20

Similar Documents

Publication Publication Date Title
KR101960403B1 (ko) 검사 장치, 검사 방법 및 제조 방법
US10379445B2 (en) Metrology method, target and substrate
KR101994385B1 (ko) 비대칭 측정 방법, 검사 장치, 리소그래피 시스템 및 디바이스 제조 방법
JP6618551B2 (ja) 検査装置、検査方法、リソグラフィ装置、パターニングデバイス及び製造方法
TWI626504B (zh) 判定校正之方法、量測目標之方法、量測不對稱性之方法、量測目標參數之方法、度量衡裝置、微影系統、元件製造方法及相關電腦程式
TWI618988B (zh) 決定臨界尺寸相關特性之方法、檢測裝置及器件製造方法
US10859923B2 (en) Method of measuring a structure, inspection apparatus, lithographic system and device manufacturing method
KR20180059930A (ko) 계측 방법 및 장치, 컴퓨터 프로그램 및 리소그래피 시스템
KR102188711B1 (ko) 구조체를 측정하는 방법, 검사 장치, 리소그래피 시스템 및 디바이스 제조 방법
EP3531191A1 (en) Metrology apparatus and method for determining a characteristic of one or more structures on a substrate
WO2019057578A1 (en) METHOD FOR DETERMINING A PARAMETER OF PATTERN CREATION PROCESS
KR102388682B1 (ko) 계측 방법 및 디바이스
WO2019048214A1 (en) METHOD AND APPARATUS FOR METROLOGY
EP3401733A1 (en) Method of measuring a structure, inspection apparatus, lithographic system and device manufacturing method
EP4300193A1 (en) Focus measurment and control in metrology and associated wedge arrangement

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant