JP4778021B2 - インスペクション方法および装置、リソグラフィ装置、リソグラフィ処理セル、ならびにデバイス製造方法 - Google Patents

インスペクション方法および装置、リソグラフィ装置、リソグラフィ処理セル、ならびにデバイス製造方法 Download PDF

Info

Publication number
JP4778021B2
JP4778021B2 JP2008148757A JP2008148757A JP4778021B2 JP 4778021 B2 JP4778021 B2 JP 4778021B2 JP 2008148757 A JP2008148757 A JP 2008148757A JP 2008148757 A JP2008148757 A JP 2008148757A JP 4778021 B2 JP4778021 B2 JP 4778021B2
Authority
JP
Japan
Prior art keywords
substrate
array
pitch
rest
diffraction gratings
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2008148757A
Other languages
English (en)
Other versions
JP2008311645A (ja
Inventor
ガストン マリー キールス,アントイネ
ボーフ,アリー,ジェフリー デン
デル シャール,マウリッツ ヴァン
Original Assignee
エーエスエムエル ネザーランズ ビー.ブイ.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by エーエスエムエル ネザーランズ ビー.ブイ. filed Critical エーエスエムエル ネザーランズ ビー.ブイ.
Publication of JP2008311645A publication Critical patent/JP2008311645A/ja
Application granted granted Critical
Publication of JP4778021B2 publication Critical patent/JP4778021B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/17Systems in which incident light is modified in accordance with the properties of the material investigated
    • G01N21/47Scattering, i.e. diffuse reflection
    • G01N21/4788Diffraction
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/956Inspecting patterns on the surface of objects
    • G01N21/95607Inspecting patterns on the surface of objects using a comparative method
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/956Inspecting patterns on the surface of objects
    • G01N21/95607Inspecting patterns on the surface of objects using a comparative method
    • G01N2021/95615Inspecting patterns on the surface of objects using a comparative method with stored comparision signal
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24273Structurally defined web or sheet [e.g., overall dimension, etc.] including aperture
    • Y10T428/24322Composite web or sheet
    • Y10T428/24331Composite web or sheet including nonapertured component
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24942Structurally defined web or sheet [e.g., overall dimension, etc.] including components having same physical characteristic in differing degree

Description

[0001] 本発明は、例えばリソグラフィ技法によるデバイスの製造で有用なインスペクション方法、およびリソグラフィ技法を使用したデバイスの製造方法に関する。
[0002] リソグラフィ装置は、所望のパターンを基板上、通常は基板のターゲット部分上に与える機械である。リソグラフィ装置は、例えば集積回路(IC)の製造で使用することができる。その場合には、マスクまたはレチクルとも呼ばれるパターニングデバイスを使用して、ICの個々の層上に形成すべき回路パターンを生成することができる。このパターンは、基板(例えば、シリコンウェーハ)上の(例えば、1つまたは複数のダイの一部を含む)ターゲット部分上に転写することができる。パターンの転写は一般に、基板上に設けられた放射感応性材料(レジスト)の層上に像形成することによってなされる。一般に、単一の基板は、連続してパターニングされる、隣接するターゲット部分のネットワークを含む。公知のリソグラフィ装置には、全体のパターンをターゲット部分上に一度に露光することによって各ターゲット部分が照射される、いわゆるステッパと、パターンを放射ビームによって所与の方向(「スキャン」方向)にスキャンしながら、それと同期して基板をその方向と平行に、または反平行にスキャンすることによって、各ターゲット部分が照射される、いわゆるスキャナとがある。パターンを基板上にインプリントすることによって、パターニングデバイスから基板にパターンを転写することも可能である。
[0003] リソグラフィプロセスを監視するには、パターニングされた基板の1つまたは複数のパラメータ、例えば基板内または基板上に形成された連続する層間のオーバーレイエラーが一般に測定される。リソグラフィプロセス中に形成された微視的構造の測定を行うための、走査電子顕微鏡およびさまざまな専用ツールの使用を含めたさまざまな技法がある。専用インスペクションツールの1つの形態がスキャトロメータであり、スキャトロメータでは、放射ビームが基板の表面上にあるターゲット上に向けられて、散乱または反射ビームの1つまたは複数の特性が測定される。ビームが基板によって反射または散乱される前後のその1つまたは複数の特性を比較することによって、基板の1つまたは複数の特性を求めることができる。これは例えば、反射ビームを、既知の基板特性に関連する既知の測定値のライブラリ内に格納されたデータと比較することによって行うことができる。2つの主なタイプのスキャトロメータが知られている。分光スキャトロメータは、広帯域放射ビームを基板上に向けて、特定の狭い角度範囲内に散乱された放射のスペクトル(波長の関数としての強度)を測定する。角度分解スキャトロメータは、1組の単色放射ビームを使用して、散乱放射の強度(または、偏光解析構成の場合には、強度比および位相差)を角度の関数として測定する。あるいは、さまざまな波長の測定信号を別々に測定して、解析段階で一緒にすることもできる。広帯域放射ビームを、以下測定ビームと呼ぶ。
[0004] スキャトロメータによって使用されるターゲットは一般に、(例えばICなどの)最終製品に使用されない基板の一部分上に配置される。製品に利用できる領域を最大にするために、ターゲット領域は一般に、別々の製品を形成するために後にソーイングまたは切断される基板の領域である「けがき線」に限定される。
[0005] したがって、ターゲットがそうしたけがき線に嵌ることが望ましい。エッチング、プリント、および他のリソグラフィ技法の向上に伴って、ますます小さなターゲットが可能になる。しかし、プリントおよび同様の技法により制限されるのではなく、最終的にターゲット上に向けられる測定ビームの波長により制限されるターゲットのサイズ限界がある。ターゲットはしばしば回折格子の形をとり、これは、1次元のバーの並びでも、2次元の正方形または他の形状の構造のアレイでもよい。これらのターゲットの最小サイズは、回折格子のピッチ(すなわち、あるバーまたは構造から次のバーまたは構造までの距離)が、測定ビームの波長よりも小さくなるサイズである。回折格子のピッチが、測定ビームの波長よりも小さい場合、回折放射ビームの1次(およびより高次)の回折次数が、スキャトロメータディテクタ(またはカメラ)によって検出可能な次数の範囲外にあることになる。測定することができる回折ビームの範囲は、スキャトロメータの光学系の開口数の大きさによって制限される。1次およびより高次が捕捉されない場合、ゼロ次のみが捕捉される。しかし、ゼロ次は、有意な測定値が得られるのに十分なコントラストをその像内に有していないことがある。パターンが十分に大きい場合、ゼロ次は十分な情報を含む。パターンおよびピッチが小さくなるにつれて、より高次の利用が可能になると、信号対雑音比が増大する。
[0006] 図4は、現況技術によるこの問題を解決しようとする試みについて示す。
[0007] 図4Aは、小ピッチをプリントするために使用される、ダブルパターニング技法を示す。例えば、90nmのピッチをそれぞれが有する、交錯した2つの同一の回折格子により、45nm(90nmの1/2)のピッチを有する有効回折格子が生じる。というのも、有効ピッチは1つの反復パターンの長さであり、この場合には、反復パターンが単一のバーであるためである。このより小さな有効ピッチが、図4Aにピッチp1として示されている。こうするには、これらの回折格子の交錯が、網掛けされていない回折格子として示す第2の回折格子の各バーが、第1の網掛けされた回折格子のバー相互間の中央に正確に配置されるようなものである必要がある。
[0008] 一方の回折格子を、他方に対して中央に配置することが完全ではない場合、有効ピッチは、図4Bに示すp2、または図4Cに示すp3となる。具体的には、図4Bに示すように、図4Bに示す第2の網掛けされていない回折格子が、第1の網掛けされた回折格子に対して左にシフトされる場合、結果として得られる有効回折格子はp2であり、これは、第1の回折格子が90nmのピッチを有する場合、(より小さな45nmではなく)90nmのピッチp2をもたらす。反対に、図4Cの第2の網掛けされていない回折格子が、第1の網掛けされた回折格子に対して右にわずかにシフトされる場合、有効ピッチp3は同様に90nmになる。これは、反復パターンがもはや単一のバーではなく、バー2つごとに隣により広いスペースがある二重のバーであるためである。図4で示す現況技術の方法を使用すると、p1からp2またはp3への有効ピッチの変化を測定することにより、オーバーレイエラーがあることが実証される。図4Bまたは4Cのターゲットのどちらか一方からの散乱スペクトルを求めることにより、オーバーレイエラーの大きさを、公知のスキャトロメトリ法を使用して識別することもできる。
[0009] しかし、図4に示す例から識別できないのは、どちらの方向にオーバーレイエラーが向いているかということである。換言すれば、オーバーレイエラーの符号(+または−)が、測定できないままである。
[0010] さらに、図4に示すダブルパターニングからもたらされるピッチがあまりにも密である場合、ピッチが測定放射ビームの波長よりも小さくなる結果としてゼロ次のみが検出可能になるため、1次回折次数を検出することができない。この結果、オーバーレイが全く測定できない恐れがある。ダブルパターニングの目的は、非常に密なラインをプリントすることであるため、オーバーレイの有効測定値を欠くことは、現況技術の非常に大きな問題である。
[0011] オーバーレイの測定を可能にしながら、より密なターゲット回折格子を可能にしようと試みる現況技術の他の例が、米国特許第US7061615号(Lowe-Webb)、米国特許第US6819426号(Sezginer等)、および米国特許出願公開US2004/0109165(Say等)に見られる。これらの文献は、さまざまなタイプの非対称ターゲット、特に、オーバーレイターゲットの上部および下部回折格子(すなわち、連続する各製品層上にある単一の回折格子)が異なるピッチ、位相、またはライン幅を有するものの配列について記載している。重なり合った回折格子のずれにより、2倍のピッチの回折格子が形成される配列に関する開示もある。これらは、上層の期待スペクトル、および(上層のものとは異なる)底層の期待スペクトルが分かっていることにより、合わさったスペクトルが、その2層の相対位置、したがってオーバーレイエラー測定値をもたらすように機能するものである。
[0012] 例えば、スキャトロメトリターゲットを提供すること、およびターゲットの回折格子のサイズが低減するのを可能にするが、回折格子のピッチが測定放射ビームの波長よりも小さい場合に、より高次の回折次数を損なわないターゲットの製作方法を提供することが望ましい。
[0013] 本発明の一態様によれば、n個ごとに1個の構造が構造の残りとは異なり、ただしnは少なくとも2である、構造の周期的なアレイを備えたオーバーレイターゲットを有する基板が提供される。周期的なアレイは、望ましくは、少なくとも2つの交錯した回折格子を備え、それらの回折格子のうち少なくとも1つの回折格子のm個ごとに1個の構造が、構造の残りとは異なり、ただしmは少なくとも1である。
[0014] 本発明の一態様によれば、基板上にオーバーレイターゲットを形成する方法であって、基板上に、n個ごとに1個の構造が構造の残りとは異なり、ただしnは少なくとも2である、構造の周期的なアレイを形成することを含む方法が提供される。
[0015] 本発明の一態様によれば、基板上にある少なくとも2層の製品層のオーバーレイエラーをインスペクションするインスペクション方法であって、
n個ごとに1個の構造が構造の残りとは異なり、nが少なくとも2である、構造の周期的なアレイを基板上に設けること、
基板上にある後続の製品層上に、第2の同一の、構造の周期的なアレイを設けること、
それらのアレイを放射ビームで照射すること、
それらのアレイによって方向変更された放射ビームを検出すること、および
方向変更されたビームの1つまたは複数の特性から、それらのアレイが互いに整合しているかどうかを求めること、
を含む方法が提供される。
[0016] それらのアレイが互いに整合しているかどうかを求めることは、
検出された方向変更後の放射ビームの像を、軸を通じて反転させること、
検出された方向変更後の放射ビームの像を反転された像から減算して、2像間の差の像を得ること、
差の像から、検出された方向変更後の放射ビームの像の非対称性の程度および位置を求めること、ならびに
非対称性の程度および位置から、オーバーレイエラーの程度および方向を求めること、
を含むことができる。
[0017] 検出された反射放射ビームの像の反転は、縦の回折格子が使用される場合、横軸を通じてよい。横の回折格子の場合、回折次数はY方向にあり、したがって「反転」は、それに応じて調整される必要がある。
[0018] 本発明の一態様によれば、基板上にある少なくとも2層の製品層のオーバーレイエラーをインスペクションするインスペクション装置、リソグラフィ装置、およびリソグラフィセルであって、それぞれ、
n個ごとに1個の構造が構造の残りとは異なり、nが少なくとも2である、構造の周期的なアレイを備えたオーバーレイターゲットを、基板上にプリントするように構成されたプリント装置であって、同じオーバーレイターゲットを基板の後続層上にプリントするように構成されたプリント装置と、
オーバーレイターゲットから方向変更された放射を検出するように構成されたディテクタと、
オーバーレイエラーがあるかどうかを、検出された方向変更後の放射から求めるように構成されたプロセッサと、
を備えるインスペクション装置、リソグラフィ装置、およびリソグラフィセルが提供される。
[0019] 次に、本発明の諸実施形態を、ほんの一例として、対応する参照符号が対応する部分を示す添付の概略図を参照して説明する。
[0034] 図1aは、リソグラフィ装置を概略的に示す。この装置は、
−放射ビームB(例えばUV放射またはDUV放射)を調整するように構成された照射システム(イルミネータ)ILを備える。
−パターニングデバイス(例えばマスク)MAを支持する構造になっており、かついくつかのパラメータに従ってパターニングデバイスを正確に位置決めするように構成された第1のポジショナPMに接続された、支持構造(例えばマスクテーブル)MT、
−基板(例えばレジストコートウェーハ)Wを保持する構造になっており、かついくつかのパラメータに従って基板を正確に位置決めするように構成された第2のポジショナPWに接続された、基板テーブル(例えばウェーハテーブル)WT、および
−パターニングデバイスMAによって放射ビームBに付与されたパターンを、基板Wの(例えば1つまたは複数のダイを備える)ターゲット部分C上に投影するように構成された、投影システム(例えば屈折投影レンズシステム)PL。
[0035] 照射システムは、放射を誘導、整形、または制御するために、屈折タイプ、反射タイプ、磁気タイプ、電磁タイプ、静電タイプ、または他のタイプの光学コンポーネント、あるいはそれらの任意の組合せなど、さまざまなタイプの光学コンポーネントを含むことができる。
[0036] 支持構造MTは、パターニングデバイスの向き、リソグラフィ装置の設計、および例えばパターニングデバイスが真空環境内で保持されるか否かのような他の条件に応じる方式で、パターニングデバイスを保持する。支持構造は、パターニングデバイスを保持するために、機械的クランプ技法、真空クランプ技法、静電クランプ技法、または他のクランプ技法を使用することができる。支持構造は、例えばフレームでも、テーブルでもよく、それは必要に応じて固定されても、可動でもよい。支持構造は、パターニングデバイスが、例えば投影システムに対して所望の位置にあるようにすることができる。本明細書において「レチクル」または「マスク」という用語を使用している場合、より一般的な用語である「パターニングデバイス」と同義語と見なすことができる。
[0037] 本明細書で使用される「パターニングデバイス」という用語は、基板のターゲット部分内にパターンを形成するなどのために、放射ビームの断面内にパターンを付与するのに使用することができる任意のデバイスを指すものとして広義に解釈すべきである。放射ビームに付与されたパターンは、例えば、パターンが位相シフトフィーチャまたはいわゆるアシストフィーチャを含む場合、基板のターゲット部分内の所望のパターンに厳密に対応しないことがあることに留意されたい。一般には、放射ビームに付与されるパターンは、集積回路など、ターゲット部分内に形成されているデバイス内の、特定の機能層に対応する。
[0038] パターニングデバイスは、透過型でも、反射型でもよい。パターニングデバイスの例には、マスク、プログラマブルミラーアレイ、およびプログラマブルLCDパネルがある。マスクは、リソグラフィの分野で公知であり、マスクには、バイナリ、レベンソン型(alternating)位相シフト、およびハーフトーン型(attenuated)位相シフトなどのマスクタイプ、ならびにさまざまなハイブリッドマスクタイプがある。プログラマブルミラーアレイの一例では、小型のミラーのマトリックス配列を使用しており、ミラーをそれぞれ、入射する放射ビームをさまざまな方向に反射するように個々に傾動することができる。傾動されたミラーにより、ミラーマトリックスによって反射された放射ビーム内にパターンが付与される。
[0039] 本明細書で使用される「投影システム」という用語は、使用される露光放射に適した、あるいは液浸液の使用または真空の使用など、他の要因に適した、屈折光学システム、反射光学システム、カタディオプトリック光学システム、磁気光学システム、電磁光学システム、および静電光学システム、またはそれらの任意の組合せを含む、任意のタイプの投影システムを包含するものとして、広義に解釈すべきである。本明細書において、「投影レンズ」という用語を使用している場合、より一般的な用語である「投影システム」と同義語として見なすことができる。
[0040] ここで示したように、この装置は、(例えば、透過マスクを使用する)透過型である。あるいは、装置は、(例えば、上記で言及したタイプのプログラマブルミラーアレイを使用する、または反射マスクを使用する)反射型でもよい。
[0041] このリソグラフィ装置は、2つ(デュアルステージ)以上の基板テーブル(および/または2つ以上のパターニングデバイス支持構造)を有するタイプのものでもよい。そのような「マルチステージ」の機械では、追加のテーブル/支持構造を同時に使用することができ、あるいは、1つまたは複数のテーブル/支持構造上で予備段階を実施している間に、1つまたは複数の他のテーブル/支持構造を露光に使用することもできる。
[0042] このリソグラフィ装置は、投影システムと基板の間のスペースを埋めるように、基板の少なくとも一部分を、比較的高い屈折率を有する液体、例えば水で覆うことができるタイプのものでもよい。液浸液を、リソグラフィ装置内の他のスペース、例えば、マスクと投影システムの間に与えることもできる。液浸技法は、投影システムの開口数を増大させることで、当技術分野で公知である。「液浸」という用語は、本明細書では、基板などの構造が液体中に浸されなければならないことを意味するのではなく、露光中に、液体が投影システムと基板の間にあることを意味するにほかならない。
[0043] 図1aを参照すると、イルミネータILが、放射源SOから放射ビームを受け取る。放射源およびリソグラフィ装置は、例えば、放射源がエキシマレーザであるとき、別々のものとすることができる。そのような場合には、放射源は、リソグラフィ装置の一部分を形成しているとは見なされず、放射ビームが、例えば適切な誘導ミラーおよび/またはビームエキスパンダを備えるビームデリバリシステムBDを用いて、放射源SOからイルミネータILに渡される。別の場合には、例えば放射源が水銀ランプであるとき、放射源をリソグラフィ装置の一部分をなすものとすることができる。放射源SOおよびイルミネータILは、必要ならビームデリバリシステムBDと共に、放射システムと呼ぶことができる。
[0044] イルミネータILは、放射ビームの角度強度分布を調整するためのアジャスタADを備えることができる。一般に、イルミネータの瞳面内の強度分布の、少なくとも外側および/または内側半径範囲(一般に、それぞれσ−outerおよびσ−innerと呼ばれる)を調整することができる。さらに、イルミネータILは、インテグレータINやコンデンサCOなど、他のさまざまなコンポーネントを備えることができる。イルミネータは、放射ビームがその断面内に、所望の均一性および強度分布を有するように調整するために使用することができる。
[0045] 放射ビームBが、支持構造(例えばマスクテーブル)MT上に保持されたパターニングデバイス(例えばマスク)MAに入射し、パターニングデバイスによってパターニングされる。放射ビームBは、パターニングデバイスMAを経由して投影システムPLを通過し、投影システムPLが、ビームを基板Wのターゲット部分C上に合焦させる。第2のポジショナPWおよび位置センサIF(例えば、干渉計デバイス、リニアエンコーダ、二次元エンコーダ、または容量センサ)を用いて、例えばさまざまなターゲット部分Cを放射ビームBの経路中に位置決めするように、基板テーブルWTを正確に移動させることができる。同様に、第1のポジショナPMおよび(図1aには明示的に図示されていない)もう1つの位置センサを使用して、パターニングデバイスMAを、例えばマスクライブラリから機械的に取り出した後、またはスキャン中に、放射ビームBの経路に対して正確に位置決めすることができる。一般に、支持構造MTの移動は、第1のポジショナPMの一部分を形成する、ロングストロークモジュール(粗動位置決め)およびショートストロークモジュール(微動位置決め)を用いて実現することができる。同様に、基板テーブルWTの移動は、第2のポジショナPWの一部分を形成する、ロングストロークモジュールおよびショートストロークモジュールを使用して実現することができる。(スキャナとは対照的に)ステッパの場合には、支持構造MTをショートストロークアクチュエータだけに接続してもよく、固定してもよい。パターニングデバイスMAおよび基板Wは、パターニングデバイスアライメントマークM1、M2、および基板アライメントマークP1、P2を使用して位置合せすることができる。図示の基板アライメントマークは、専用のターゲット部分を占有しているが、ターゲット部分相互間のスペース内に配置することもできる(これは、けがき線アライメントマークとして知られる)。同様に、パターニングデバイスMA上に2つ以上のダイが設けられている状況では、パターニングデバイスアライメントマークを、ダイ相互間に配置することができる。
[0046] 図示の装置は、以下のモードのうち少なくとも1つのモードで使用することができる。
[0047] 1.ステップモードでは、支持構造MTおよび基板テーブルWTが基本的に固定されたまま、放射ビームに付与されたパターン全体が、ターゲット部分C上に一度に投影される(すなわち、単一静止露光)。次いで、さまざまなターゲット部分Cを露光することができるように、基板テーブルWTが、Xおよび/またはY方向にシフトされる。ステップモードでは、露光フィールドの最大サイズによって、単一静止露光で像形成されるターゲット部分Cのサイズが制限される。
[0048] 2.スキャンモードでは、支持構造MTおよび基板テーブルWTが同期スキャンされるとともに、放射ビームに付与されたパターンが、ターゲット部分C上に投影される(すなわち、単一動的露光)。支持構造MTに対する基板テーブルWTの速度および方向は、投影システムPLの倍率(縮小率)および像の反転特性によって決まり得る。スキャンモードでは、露光フィールドの最大サイズによって、単一動的露光におけるターゲット部分の(非スキャン方向の)幅が制限され、スキャン運動の長さによって、ターゲット部分の(スキャン方向の)高さが決まる。
[0049] 3.別のモードでは、支持構造MTが、プログラマブルパターニングデバイスを保持した状態で基本的に固定されたままであり、基板テーブルWTが移動またはスキャンされるとともに、放射ビームに付与されたパターンがターゲット部分C上に投影される。このモードでは、一般にパルス放射源が使用され、基板テーブルWTが移動する毎にその後で、またはスキャン中に連続する放射パルスと放射パルスの間に、プログラマブルパターニングデバイスが必要に応じて更新される。この動作モードは、上記で言及したタイプのプログラマブルミラーアレイなどのプログラマブルパターニングデバイスを利用するマスクレスリソグラフィに、容易に適用することができる。
[0050] 上述の使用モードの組合せおよび/または変形、あるいは、全く異なる使用モードを使用することもできる。
[0051] 図1bに示すように、リソグラフィ装置LAは、リソセルまたはリソクラスタと呼ばれることもあるリソグラフィセルLCの一部分を形成し、リソグラフィセルLCは、基板に対して1つまたは複数の露光前プロセスおよび露光後プロセスを実施するための装置も含む。従来、これらは、レジスト層を堆積させるための1つまたは複数のスピンコータSC、露光後のレジストを現像するための1つまたは複数のデベロッパDE、1つまたは複数の冷却プレートCH、および1つまたは複数のベークプレートBKを含む。基板ハンドラ、すなわちロボットROが、基板を入/出力ポートI/O1、I/O2から拾い上げて、さまざまなプロセスデバイス間で移動させ、リソグラフィ装置の装填ベイLBに搬送する。しばしばまとめてトラックと呼ばれるこれらのデバイスは、トラック制御ユニットTCUの制御下にあり、トラック制御ユニットTCUはそれ自体が監視制御システムSCSによって制御され、監視制御システムSCSは、リソグラフィ制御ユニットLACUを介してリソグラフィ装置も制御する。したがって、さまざまな装置を、スループットおよび処理効率を最大にするように動作させることができる。
[0052] リソグラフィ装置によって露光される基板が正しく一貫して露光されるように、露光後の基板をインスペクションして、連続する層間のオーバーレイエラー、ラインの太さ、クリティカルディメンジョン(CD)など、1つまたは複数の特性を測定することが望ましい。エラーが検出された場合、特にインスペクションをすぐに、同じバッチの別の基板がまだ露光されていないほど十分に速く行うことができる場合に、1つまたは複数の後続の基板の露光に対して調整を行うことができる。また、すでに露光された基板を(歩留まりを改善するために)剥ぎ取って再加工しても、廃棄し、それによって異常があると分かっている基板に対して露光を実施しないようにしてもよい。基板のいくつかのターゲット部分にだけ異常がある場合、その後の露光を良好なターゲット部分に対してのみ実施することができる。もう1つの可能性は、後続のプロセスステップの設定を、エラーを補償するように適合させることである。例えば、トリムエッチングステップの時間を、リソグラフィプロセスステップから生じる基板間のCDばらつきを補償するように調整することができる。
[0053] インスペクション装置が、基板の1つまたは複数の特性、特にさまざまな基板の、または同じ基板のさまざまな層の1つまたは複数の特性が、層から層に、かつ/または基板全体にわたってどのようにばらつくのかについて求めるために使用される。インスペクション装置を、リソグラフィ装置LAまたはリソセルLCに統合しても、独立のデバイスとしてもよい。最も迅速な測定を可能にするためには、インスペクション装置が、露光後のレジスト層内の1つまたは複数の特性を露光直後に測定することが望ましい。しかし、レジスト内の潜像は、非常に低いコントラストを有し、放射に露光されたレジストの部分と放射に露光されていないレジストの部分との間には、非常に小さな屈折率の差があるだけであり、インスペクション装置全てが、潜像の有用な測定を行うのに十分な感度を有するとは限らない。したがって、通例、露光後の基板に対して実施される第1のステップであり、レジストの露光済み部分と未露光部分との間のコントラストを増大させる露光後ベークステップ(PEB)後に、測定をすることができる。この段階では、レジスト内の像を、半潜在的(semi-latent)と呼ぶことができる。現像後のレジスト像の測定を行うことも(その時点では、レジストの露光済み部分または未露光部分が除去されている)、エッチングなどのパターン転写ステップ後に測定を行うことも可能である。後者の可能性は、異常のある基板を再加工する可能性を制限するが、例えばプロセス制御を目的とした有用な情報を依然としてもたらすことができる。
[0054] 図2は、本発明の一実施形態によるスキャトロメータSM1を示す。スキャトロメータSM1は、放射を基板6上に投影する広帯域(白色光)放射投影器2を備える。反射された放射がスペクトロメータディテクタ4に渡され、スペクトロメータディテクタ4が、鏡面反射された放射のスペクトル10(すなわち、波長の関数としての強度の測定値)を測定する。このデータから、検出されたスペクトルを生じさせる構造または輪郭を、処理ユニットPUにより、例えば厳密結合波解析および非線形回帰によって、またはシミュレーションされたスペクトルのライブラリとの比較によって、図2の下部に示すように再構築することができる。一般に、再構築する場合、構造の概略形状が既知であり、いくつかのパラメータが、その構造が形成されたプロセスの情報から仮定されて、スキャトロメトリデータから求められるべきその構造のほんの少数のパラメータだけが残る。そのようなスキャトロメータは、垂直入射スキャトロメータまたは斜め入射スキャトロメータとして構成することができる。
[0055] 本発明の一実施形態によるもう1つのスキャトロメータSM2が、図3に示されている。このデバイスでは、放射源2から放出された放射が、レンズシステム12を使用して集束されて、干渉フィルタ13および偏光子17を通り、部分反射面16によって反射されて、望ましくは少なくとも0.9または少なくとも0.95の高い開口数(NA)を有する顕微鏡対物レンズ15を介して基板W上に合焦される。液浸スキャトロメータ(immersion scatterometer)は、開口数が1を超えるレンズを有することさえできる。次いで、散乱スペクトルを検出させるために、反射された放射が部分反射面16を通ってディテクタ18内に伝達する。ディテクタは、レンズ15の焦点距離のところにある後方投影(back−projected)瞳面11に配置することができるが、瞳面をその代わりに、補助光学系(図示せず)を用いてディテクタ18上に再結像してもよい。瞳面は、放射の半径位置が入射角を画定し、角度位置が放射の方位角を画定する面である。ディテクタは、基板ターゲットの2次元角度散乱スペクトル(すなわち、散乱角度の関数としての強度の測定値)を測定することができるように、望ましくは2次元ディテクタである。ディテクタ18は、例えばCCDまたはCMOSセンサのアレイでよく、例えば1フレームあたり40ミリ秒の積分時間を有してよい。
[0056] 例えば入射放射の強度を測定するために、参照ビームがしばしば使用される。これを行うためには、放射ビームが部分反射面16に入射するとき、その一部分が反射面を通って参照ビームとして参照鏡14に向かって伝達される。次いで、参照ビームが同じディテクタ18の異なる部分上に投影される。
[0057] 例えば405〜790nm、またはさらに小さな200〜300nmなどの範囲の対象とする波長を選択するために、1つまたは複数の干渉フィルタ13が利用可能である。1つまたは複数の干渉フィルタは、1組の異なるフィルタを備えるのではなくチューナブルでもよい。1つまたは複数の干渉フィルタの代わりにまたはそれに加えて、回折格子を使用することもできる。
[0058] ディテクタ18は、散乱放射の強度を単一波長(または狭波長範囲)で測定することができ、強度は別々に複数の波長である、または波長範囲にわたって積分される。さらに、ディテクタは、横方向磁界(TM)および横方向電界(TE)偏光放射の強度ならびに/あるいは横方向磁界偏光放射と横方向電界偏光放射との位相差を別々に測定することができる。
[0059] 広帯域放射源2(すなわち、広範囲の放射周波数または波長、したがって広範囲の色を有するもの)の使用が可能であり、それにより大きなエタンデュがもたらされて、複数の波長が混ざるのが可能になる。広帯域内の複数の波長は、望ましくはそれぞれが、δλの帯域幅および少なくとも2δλ(すなわち波長帯域幅の2倍)の間隔を有する。いくつかの放射「源」は、例えばファイバ束を使用して分割された拡張型放射源の異なる部分とすることができる。このようにして、角度分解散乱スペクトルを複数の波長で同時に測定することができる。2次元スペクトルよりも多くの情報を含む3次元スペクトル(波長および2つの異なる角度)を測定することもできる。こうすることにより、より多くの情報を測定することが可能になり、そのためメトロロジープロセスの堅牢さが増大する。これについては、米国特許出願公開US2006−0066855に、より詳細に記載されており、その文献をここに、参照によりその全体を組み込む。
[0060] 上述のスキャトロメータのいずれにおいても、基板W上のターゲットは、現像後にバーが中実のレジストラインから形成されるようにプリントされた回折格子でよい。バーは、別法として、基板内にエッチングすることもできる。ターゲットパターンは、関連するパラメータのばらつきがプリントされたターゲットのばらつきとなって現れるように、焦点、線量、オーバーレイ、リソグラフィ投影装置内の色収差などの対象となるパラメータに感応性があるものが選択される。例えば、ターゲットパターンは、リソグラフィ投影装置、特に投影システムPL内の色収差に感応性があるものとすることができ、したがって、照射対称性およびそうした収差の存在が、プリントされたターゲットパターンのばらつきとして現れることになる。したがって、プリントされたターゲットパターンのスキャトロメトリデータが、ターゲットパターンを再構築するために使用される。ラインの幅や形状など、ターゲットパターンのパラメータを、処理ユニットPUによってプリントステップおよび/または他のスキャトロメトリプロセスの情報から実施される再構築プロセスに入力することができる。
[0061] 本発明の一実施形態は、プリントされるパターンの1つまたは複数のパラメータ、例えばクリティカルディメンジョン(CD)やオーバーレイを測定するためのターゲットのプリントに関する。有意な測定値が得られることを可能にしながら、測定ターゲットによって使用されるけがき線のスペースを最小限に抑えるという問題を克服するために、本発明の一実施形態は、「ダブルパターニング」技法を使用する。ダブルパターニング技法は、2つの別々のパターン(例えば回折格子)を、一方のパターンが他方のパターンと交錯し、それによって、有効ピッチが2つの別々のパターンの相互作用によって決まる単一のより密なパターンが生じるように、互いに重ね合ってまたは同じ層上にプリントするものである。本明細書で開示されるパターニング技法の1つまたは複数の実施形態に共通するのは、小ピッチのパターン(例えば回折格子)内のn個ごとに1個の特徴(例えばライン)が、その他全ての特徴とは異なることである。n番目(例えば2番目または3番目)の特徴(例えばラインまたはバーまたは構造)のこの差は、その幅でも、高さでも、単にその省略でもよい。次いで、結果として得られるパターンが2つのピッチを有し、その一方が測定放射ビームの波長に匹敵し、したがって、スキャトロメータの瞳面内で捕捉する、したがってディテクタによって捕捉することができる回折次数をもたらすことができる。
[0062] 回折格子を使用した一実施形態を図5に示す。図5の上層に示す回折格子は、交互する薄いバーおよび厚いバーを備える。換言すれば、第1の(例えば網掛けされた)回折格子が、第1のライン幅を有するバーで形成され、第2の(この場合にはより大きな)ライン幅を有するバーを備える第2の(例えば網掛けされていない)回折格子が、重ね合わされる。図8〜11に関して後に論じるように、ライン幅の差が、検出することができる測定信号内に非対称性を生じさせる。
[0063] 重ね合わされた2つの回折格子は、マスクを使用することによって、または基板の露光中に形成することができる。それによって、各回折格子を同時に、または相次いで製作することができる。さらに別法として、各回折格子を異なる製品層上にさえ製作することもできる。(少なくとも)2つの回折格子が、回折格子のうち1つのオフセットがあっても、有効ピッチがあるバーから次のバーまでのピッチとは異なることができ、それによって一定のままであり得るように交錯した位置に配置されるという結果になる限り、各回折格子は、任意の手段によって、任意の順序で、製品層の任意の分離を用いて形成することができる。ピッチが一定である場合(かつ測定ビームの波長よりも大きい場合)、オーバーレイエラーをより効率的に測定することができる。
[0064] 具体的には、この実施形態によってもたらされる利点は、一方の回折格子が図5の中間層に示すように左に、または図5の底層に示すように右に、他方の回折格子に対してシフトされた場合に、ピッチp5の変化がないことである。換言すれば、モアレ効果またはエイリアシング効果がない。
[0065] 一定のピッチを有することが有利である理由は、回折格子の一方(例えば網掛けされていない、より広いライン幅の回折格子)を、少量だけ他方の回折格子に対してある方向または別の方向にシフトさせることによって、ターゲット内の非対称性を形成できることである。この利点は、次いで1層または複数の層における2度の露光のオーバーレイを測定して、後続層のずれがあればそれを求めることができ、したがって、任意のオーバーレイエラーを補正するように、露光ステップに対して補正を行うことができることである。前述のように、測定ターゲットの重ね合わされる2つの回折格子が同一であり、後続層が、同一の測定ターゲットを伴ってその層の上面上に配置されるが、底層に対してわずかにシフトされる場合、オーバーレイエラーは通知されるが、エラーの方向を通知することはできない。というのも、正方向の半ピッチのオーバーレイエラーは、負(すなわち反対)方向の半ピッチのオーバーレイエラーと同じに見えるためである。測定ターゲットが(例えば図5に示すように)非対称である場合、測定ターゲットは、結果として得られるそのスペクトル内に非対称性を生じさせ、ある方向のオーバーレイエラーが、反対方向のオーバーレイエラーとは異なるスペクトルを生じさせる。
[0066] 図5に示す実施形態は、第1の回折格子のピッチが、それを照射する放射ビームの波長の約2/3よりも大きい場合に成功する。しかし、ピッチが容易に検出されるにはあまりにも密になりすぎる(すなわち、ピッチが測定放射ビームの波長の約2/3未満であり、1次またはより高次の回折次数が、ディテクタの瞳面内にもはや存在しない)場合、ピッチを、図6に示すように意図的に増大させることができる。
[0067] 図6は、網掛けされたラインとして示す第1の回折格子のピッチの2倍となるように選択された、意図的に増大されたピッチp6を示す。これは、第2の網掛けされていない回折格子のピッチを、網掛けされた第1の回折格子のピッチの2倍にすることによって可能である。図6の第2および第3のライン内に示すように、ピッチp6は、第2の網掛けされていない回折格子がそれぞれ左または右にシフトされても変わらない。
[0068] 有効ピッチは、重ね合わされた回折格子相互の関係によって増大される。図6から分かるように、第1の網掛けされた回折格子は、図4または5と同じである。しかし、第2のより広い網掛けされていない回折格子は、第1の網掛けされた回折格子の1つおきのバー相互間にしか存在しない。したがって、第2の網掛けされていない回折格子のピッチは、第1の網掛けされた回折格子のピッチの2倍である。その結果得られるピッチp6は、ターゲットのパターンが反復される距離であり、反復は、第1の網掛けされた回折格子のピッチの2倍で行われる。さらに、第2の網掛けされていない回折格子が、図6の中間層に示すように、第1の網掛けされた回折格子に対して左にシフトされる場合、または図6の底層に示すように右にシフトされる場合、ピッチp6の変化はない。反復パターンが同じ距離にわたるので、ピッチは同じままである。
[0069] 図5の実施形態と同様に、一定ピッチは、この類のターゲットの唯一の利点ではない。さらなる利点またはそれに代わる利点が、ターゲットの非対称性から生じ、それは任意の方向のオーバーレイエラーが正確に求められることを可能にするものである。非対称性は、どちらの方向にオーバーレイエラーが偏っているかを求めるためだけでなく、ターゲットから反射する測定ビームから生じるスペクトルが受ける非対称性のばらつきの程度によって、オーバーレイエラーの程度を求めるためにも使用される。非対称性は、オーバーレイエラーが存在することを示すための一種のフラグとして働き、次いで、結果として得られるスペクトルの個々のパラメータが、オーバーレイエラーの程度および方向を示す。
[0070] 図6に示すピッチが、容易に検出されるには依然としてあまりにも密である場合、さらに大きなピッチを形成することができる。図6に示すピッチ2倍化法と類似の方法を使用して、図7に示すように、ピッチ3倍化を達成することができる。
[0071] ピッチ3倍化は、いくつかの方式で実施することができる。2つの方式が図7Aおよび7Bに示されている。図7Aは、網掛けされたバーのアレイとしての、規則的なピッチの第1の回折格子を示す。これは、実際には、図4〜6に示す第1の網掛けされた回折格子と同じである。第1の網掛けされた回折格子のピッチの3倍のピッチを有する第2の網掛けされていない回折格子が、第1の網掛けされた回折格子に対して重ね合わされる。有効ピッチp7は、この場合は、第1の網掛けされた回折格子のピッチの3倍となる。図7Aから分かるように、パターンの反復は、第1の回折格子のピッチの3倍ごとに1回生じる(すなわち、より大きくピッチ設定された回折格子のピッチによって設定される)。
[0072] 別法として、図7Bに示すように、第1の網掛けされた回折格子は、図7Aの実施形態と同じであるが、第2の網掛けされていない回折格子が、網掛けされていないバーが隣接する2つの網掛けされたバー対の間に存在し、次いでそれが第3の対の間で省略されて、パターンが再度開始するように重ね合わされる。この場合もやはり、有効ピッチp7は、第2の網掛けされていない回折格子のより大きなピッチによって決定されるので、第1の網掛けされた回折格子のピッチの3倍となる。
[0073] このようにして、1次の回折次数(少なくとも)が瞳面の像内に出現するように、有効ピッチを増大させることができる。この結果、非対称信号が増大され、オーバーレイエラーやCDなどのパラメータの検出がずっと容易になる。
[0074] 図8aは、2次元回折格子ターゲットの2つのバーを示し、図では、各屈折率が異なる網掛けで示してある。2つのバーを取り囲む大気が、屈折率1を有する。回折格子のバーが、屈折率1.5366を有し、回折格子を支持する基板層が、屈折率1.5451−0.00011097iを有し、基板層の下の層が、屈折率1.4624を有し、底層が屈折率4を有する。図8bは、網掛けされていない回折格子として示す第2の回折格子が交互に重ね合わされた(または「交錯された」)、図8aの回折格子を示す。回折格子は、バイアスがない(すなわち対称である)が、10nmのオーバーレイエラーを有する。図8cは、角度分解スキャトロメータを使用した横方向電界(TE)像を示す。図8dは、角度分解スキャトロメータを使用して測定された横方向磁界(TM)像を示す。
[0075] 非対称性が存在する場合、それはTEまたはTM像の上部および下部の差となるが、これらの像だけから識別するのは困難である。したがって、回折格子の非対称性は、横方向に反転された像を元の像から減算することによって計算される(像形成される回折格子が縦である場合、対称性は横方向に注目される)。図8eおよび8fはそれぞれ、図8cおよび8dそれぞれのTE画像およびTM画像内の非対称性を示す。あるいは、横の回折格子が使用されている場合、反転を縦軸において実施することもできる。
[0076] 回折格子にバイアスはないが、オーバーレイエラーがある場合、非対称像内に非対称性がほとんど見られない。
[0077] 反対に、図9を参照すると、図9aは、2つの回折格子それぞれの1つのバーを示し、屈折率は図8aの場合と同じである。図9bは、第1の網掛けされた回折格子(図9aの左のバー)と、第2の網掛けされていない回折格子(図9aの右のバー)の関係を示す。この場合、20nmのバイアスがあるが、1nmのオーバーレイエラーしかない。図9cは、角度分解スキャトロメータを使用したTE像を示し、図9dは、角度分解スキャトロメータを使用したTM像を示す。図9eおよび9fはそれぞれ、図9cのTE像および図9dのTM像それぞれの非対称性を示す。図9eおよび9fの暗い斑点から分かるように、TE像およびTM像内の非対称性は明白である。ほんの1nmの小さなオーバーレイエラーでさえ、明瞭な非対称信号をもたらす。したがって、暗い斑点は、オーバーレイエラーがあることを示す。斑点の位置および密度が、どれだけオーバーレイエラーが大きいか、またどちらの方向にエラーが偏っているかを示す。
[0078] 上記で示し説明した測定値には、測定雑音は含まれていない。その場合には、最小オーバーレイエラーでさえ検出される。しかし、いくらかの測定雑音がある場合、雑音が暗い斑点、あるいはTE像またはTM像の上部と下部の差として出現し、それによって非対称性として出現し得るので、対称性の微妙な差を測定するのが困難になり得る。この場合、図6および7を参照して説明した意図的なピッチ2倍化によって、または3倍化でも、信号を増大させることができる。図10および11は、1倍ピッチパターンと2倍ピッチパターンの間での非対称性測定値の比較を示す。ダブルパターニング(すなわち重ね合わされた2つの回折格子の使用)が、どちらの場合にも使用されている。図10aは、第1のライン幅を有する第1の網掛けされた回折格子、およびより大きなライン幅を有し、したがってバイアスがあると言われる、重ね合わされた第2の網掛けされていない回折格子を示す。図10bは、この2つの回折格子のバーそれぞれに対応するサイズ(第1の網掛けされた回折格子のバーが左に示してあり、第2の網掛けされていない回折格子のバーが右に示してある)、ならびに前述の基板、バー、および大気のそれぞれに対応する部分の屈折率を示す。図10cは、図10aの回折格子の回折像の非対称性を示す。
[0079] 図11aは、図10aの第1の回折格子と同じピッチを有する第1の網掛けされた回折格子を示す。第2の網掛けされていない回折格子が、第1の回折格子のバー相互間の1つおきのスペースごとにその中に重ね合わされる。第2の回折格子はやはり、第1の回折格子よりも大きなライン幅を有する。したがって、図11aの回折格子の有効ピッチは、図10aの有効ピッチの2倍である。図11bは、この2つの回折格子のバーそれぞれに対応するサイズ(第1の網掛けされた回折格子のバーが左および右に示してあり、第2の網掛けされていない回折格子のバーが中央に示してある)、ならびに前述の基板、バー、および大気のそれぞれに対応する部分の屈折率を示す。図11cは、図11aの回折格子から回折された測定放射ビームの回折像の非対称性を示す。
[0080] 図10cの非対称像のスケールには、10−5までのスケールがある(非対称性の「量」、すなわち、反射係数の差としての。図9cに示すような元の像は、反射係数を示し、図9eおよび9fに示すような像は、反射係数の非対称性を示す。非対称性の量は、瞳像の左側と右側の反射係数の差である)。一方、図11cの像の非対称性は、10−3のスケールを有する。図11cの非対称像内では、信号が、図10cの非対称像に対して2桁増大されている。図10cの信号は光センサの検出限界未満になることがあるが、図11cの像は問題を引き起こさないはずである。
[0081] 上記の実施形態は、n個ごとに1個の特徴(上記の場合には、n個ごとに1個のバー)が、特徴の残りとは異なるときの可能性の単なる例である。n個ごとに1個の特徴は、異なる形状でも、省略されても、より厚くてもより薄くても、より高くてもより低くてもよい。どんな差も、有効ピッチが第1の回折格子の実際のピッチよりもはるかに大きくなるのを可能にする。
[0082] さらに、図中に1次元として示した回折格子は、2次元に拡張させることもできる。したがって、ピッチの変化をx方向とy方向のどちらにも与えることができる。
[0083] 上記の実施形態は、単一層内にある回折格子に対するこの非対称性の適用について説明しているにすぎないが、異なる製品層相互間のオーバーレイの測定値を、このようにして同様に測定することができる。
[0084] さらに、異なる回折格子を重ね合わせることによって、2つの回折格子を各方向について隣り合わせに有するという、より多くのスペースを占めるこれまでの慣行がもはや必要でなくなる。
[0085] 本明細書で説明した「デフォルトの」オーバーレイ測定は、回折格子の非対称性に基づくものである。1つには、非対称性があることを示すことであり、この目的のために、反転された像の減算が非常に有用であるが、実際のオーバーレイの計算は別の問題である。デフォルトの場合には、x方向のオーバーレイを測定するために、2つの回折格子が必要である。現在説明した方法では、輪郭再構築を、例えばRCWAを使用して実施することができる。このモデルでは、2つのピッチの相対位置が自由パラメータとして使用され、その結果、オーバーレイを測定することができる。
[0086] ICの製造におけるリソグラフィ装置の使用に対して、具体的な言及がこの説明において行われることがあるが、本明細書で記載のリソグラフィ装置には、集積光学システム、磁気ドメインメモリ用の誘導パターンおよび検出パターン、フラットパネルディスプレイ、液晶ディスプレイ(LCD)、薄膜磁気ヘッド等の製造など、他の適用分野があることを理解されたい。そのような代替適用分野の文脈では、本明細書において「ウェーハ」または「ダイ」という用語を使用している場合、それぞれ、より一般的な用語である「基板」または「ターゲット部分」と同義語として見なすことができることが、当業者には理解されよう。本明細書で言及される基板は、露光前または後に、例えばトラック(一般に、レジストの層を基板に与え、露光後のレジストを現像するツール)、メトロロジーツール、および/またはインスペクションツール内で処理することができる。適用可能な場合、本明細書における開示は、そのような基板処理ツール、および他の基板処理ツールに適用することができる。さらに、例えば多層ICを形成するために、基板を2回以上処理することもでき、したがって、本明細書で使用される基板という用語は、複数の処理済みの層をすでに含む基板を指すこともある。
[0087] 光リソグラフィの文脈において、本発明の諸実施形態の使用に対して、上記で具体的な言及を行ってきたかもしれないが、本発明を、他の適用分野、例えば、インプリントリソグラフィで使用することができ、文脈が許容する場合は、光リソグラフィに限定されないことが理解されよう。インプリントリソグラフィでは、パターニングデバイス内のトポグラフィが、基板上に形成されるパターンを画定する。パターニングデバイスのトポグラフィは、基板に供給されたレジストの層へと押し込むことができ、その後すぐに、レジストは電磁放射、熱、圧力、またはそれらの組合せを印加することによって硬化される。レジストが硬化された後、パターニングデバイスは、レジスト中にパターンを残した状態でそこから移される。
[0088] 本明細書で使用される「放射」および「ビーム」という用語は、(例えば、365、355、248、193、157または126nmの波長、あるいはその近くの波長を有する)紫外(UV)放射、および(例えば、5〜20nmの範囲の波長を有する)極端紫外(EUV)放射、ならびにイオンビームまたは電子ビームなどの粒子ビームを含む、あらゆるタイプの電磁放射を包含する。
[0089] 「レンズ」という用語は、文脈が許容する場合、屈折光学コンポーネント、反射光学コンポーネント、磁気光学コンポーネント、電磁光学コンポーネント、および静電光学コンポーネントを含む、さまざまなタイプの光学コンポーネントのいずれか1つまたは組合せを指すことがある。
[0090] 以上、本発明の具体的な諸実施形態を上記で説明してきたが、本発明を、説明した以外の方式で実施できることが理解されよう。例えば、本発明は、上記で開示した方法を記述した機械読取可能命令の、1つまたは複数のシーケンスを含むコンピュータプログラムの形、あるいは、そのようなコンピュータプログラムが中に記憶されたデータ記憶媒体(例えば、半導体メモリ、磁気または光ディスク)の形をとることができる。
[0091] 上記の説明は、限定するものではなく、例示のためのものである。したがって、添付の記載された特許請求の範囲から逸脱することなく、説明したように本発明に対して修正を行えることが、当業者には明らかであろう。
[0020]リソグラフィ装置を示す図である。 [0021]リソグラフィセルまたはクラスタを示す図である。 [0022]第1のスキャトロメータを示す図である。 [0023]第2のスキャトロメータを示す図である。 [0024]現況技術によるターゲットのダブルパターニングを示す図である。 [0025]本発明の一実施形態による、正常なターゲットおよびバイアスのあるターゲットを示す図である。 [0026]本発明の一実施形態による、バイアスのあるターゲットおよびピッチ2倍化を示す図である。 [0027]本発明の一実施形態による、バイアスのあるターゲットおよびピッチ3倍化を示す図である。 [0028]バイアスのないターゲットを示す図である。 [0028]バイアスのないターゲットを示す図である。 [0029]図8aおよび8bのターゲットの横方向電界(TE)像および横方向磁界(TM)像を示す図である。 [0029]図8aおよび8bのターゲットの横方向電界(TE)像および横方向磁界(TM)像を示す図である。 [0029]図8aおよび8bのターゲットの横方向電界(TE)像および横方向磁界(TM)像を示す図である。 [0029]図8aおよび8bのターゲットの横方向電界(TE)像および横方向磁界(TM)像を示す図である。 [0030]本発明の一実施形態による、バイアスのあるターゲットを示す図である。 [0030]本発明の一実施形態による、バイアスのあるターゲットを示す図である。 [0031]図9aおよび9bのターゲットの横方向電界(TE)像および横方向磁界(TM)像を示す図である。 [0031]図9aおよび9bのターゲットの横方向電界(TE)像および横方向磁界(TM)像を示す図である。 [0031]図9aおよび9bのターゲットの横方向電界(TE)像および横方向磁界(TM)像を示す図である。 [0031]図9aおよび9bのターゲットの横方向電界(TE)像および横方向磁界(TM)像を示す図である。 [0032]ラインの一方にバイアスが与えられたダブルパターニングを示す図である。 [0032]ラインの一方にバイアスが与えられたダブルパターニングを示す図である。 [0032]ラインの一方にバイアスが与えられたダブルパターニングを示す図である。 [0033]パターンの一方にオフセットが与えられ、ピッチが2倍化された、本発明の一実施形態によるダブルパターニングを示す図である。 [0033]パターンの一方にオフセットが与えられ、ピッチが2倍化された、本発明の一実施形態によるダブルパターニングを示す図である。 [0033]パターンの一方にオフセットが与えられ、ピッチが2倍化された、本発明の一実施形態によるダブルパターニングを示す図である。

Claims (15)

  1. n個ごとに1個の構造が構造の残りとは異なり、ただしnが少なくとも2である、前記構造の周期的なアレイを備えたオーバーレイターゲットを有する基板であって、
    n個ごとに1個の構造が、前記構造の残りよりも広く、
    前記周期的なアレイが、少なくとも2つの交錯した回折格子を備え、前記少なくとも2つの交錯した回折格子のうち少なくとも1つの回折格子のm個ごとに1個の構造が、前記構造の残りとは異なり、ただしmが少なくとも1であり、
    前記少なくとも2つの交錯した回折格子のうち少なくとも1つの回折格子が、前記アレイの有効ピッチが最小ピッチを有する回折格子のピッチよりも大きくなるように配列され、
    前記アレイの有効ピッチが、前記アレイから反射または回折される測定放射ビームの波長よりも大きく設定されている、基板。
  2. n個ごとに1個の構造が、前記構造の残りよりも高い、請求項1に記載の基板。
  3. n個ごとに1個の構造が、前記構造の残りよりも低い、請求項1に記載の基板。
  4. n番目の構造が、x軸とy軸のどちらにおいてもn個ごとに1個の構造を備える、請求項1〜3のいずれか1の請求項に記載の基板。
  5. 前記少なくとも2つの交錯した回折格子のうち少なくとも1つの回折格子の2個ごとに1個の構造が、前記構造の残りとは異なる、請求項1または2に記載の基板。
  6. 前記少なくとも2つの交錯した回折格子のうち少なくとも1つの回折格子の3個ごとに1個の構造が、前記構造の残りとは異なる、請求項1または2に記載の基板。
  7. 前記少なくとも2つの交錯した回折格子のうち第1の回折格子が、前記基板上にある第1の層上に配列され、前記回折格子のうち第2の回折格子が、前記基板上にある後続層上に配列される、請求項1〜6のいずれか1の請求項に記載の基板。
  8. 基板上にオーバーレイターゲットを形成する方法であって、
    前記基板上に、n個ごとに1個の構造が構造の残りとは異なり、ただしnが少なくとも2である、前記構造の周期的なアレイを形成することを含み、
    n個ごとに1個の構造が、前記構造の残りよりも広く、
    前記周期的なアレイが、少なくとも2つの交錯した回折格子を備え、前記少なくとも2つの交錯した回折格子のうち少なくとも1つの回折格子のm個ごとに1個の構造が、前記構造の残りとは異なり、ただしmが少なくとも1であり、
    前記少なくとも2つの交錯した回折格子のうち少なくとも1つの回折格子が、前記アレイの有効ピッチが最小ピッチを有する回折格子のピッチよりも大きくなるように配列され、
    前記アレイの有効ピッチが、前記アレイから反射または回折される測定放射ビームの波長よりも大きく設定されている、方法。
  9. 前記少なくとも2つの交錯した回折格子のうち第1の回折格子が、前記基板上にプリントされ、前記少なくとも2つの交錯した回折格子のうち第2の回折格子が、前記基板上にある後続の製品層上にプリントされる、請求項に記載の方法。
  10. 前記少なくとも2つの交錯した回折格子のうち第1の回折格子が、前記基板上にある第1の製品層上にプリントされ、前記少なくとも2つの交錯した回折格子のうち第2の回折格子が、前記基板上にある後続の製品層上にプリントされる、請求項に記載の方法。
  11. 基板上にある少なくとも2層の製品層のオーバーレイエラーをインスペクションするインスペクション方法であって、
    n個ごとに1個の構造が構造の残りとは異なり、nが少なくとも2である、前記構造の周期的なアレイを基板上に設けること、
    前記基板上にある後続の製品層上に、第2の同一の、構造の周期的なアレイを設けること、
    前記アレイを放射ビームで照射すること、
    前記アレイによって方向変更された前記放射ビームを検出すること、および
    前記方向変更されたビームの1つまたは複数の特性から、前記アレイが互いに整合しているかどうかを求めることを含み、
    n個ごとに1個の構造が、前記構造の残りよりも広く、
    前記周期的なアレイが、少なくとも2つの交錯した回折格子を備え、前記少なくとも2つの交錯した回折格子のうち少なくとも1つの回折格子のm個ごとに1個の構造が、前記構造の残りとは異なり、ただしmが少なくとも1であり、
    前記少なくとも2つの交錯した回折格子のうち少なくとも1つの回折格子が、前記アレイの有効ピッチが最小ピッチを有する回折格子のピッチよりも大きくなるように配列され、
    前記アレイの有効ピッチが、前記アレイから反射または回折される測定放射ビームの波長よりも大きく設定されている、方法。
  12. 前記アレイが互いに整合しているかどうかを求めることが、
    検出された方向変更後の放射ビームの像を、軸を通じて反転させること、
    前記検出された方向変更後の放射ビームの像を前記反転された像から減算して、前記2像間の差の像を得ること、
    前記差の像から、前記検出された方向変更後の放射ビームの像の非対称性の程度および位置を求めること、ならびに
    非対称性の程度および位置から、オーバーレイエラーの程度および方向を求めること
    を含む、請求項11に記載の方法。
  13. 基板上にある少なくとも2層の製品層のオーバーレイエラーをインスペクションするインスペクション装置であって、
    n個ごとに1個の構造が構造の残りとは異なり、nが少なくとも2である、前記構造の周期的なアレイを備えたオーバーレイターゲットを、基板上にプリントするように構成されたプリント装置であって、同じオーバーレイターゲットを前記基板の後続の製品層上にプリントするように構成されたプリント装置と、
    前記オーバーレイターゲットから方向変更された放射を検出するように構成されたディテクタと、
    オーバーレイエラーがあるかどうかを、前記検出された方向変更後の放射から求めるように構成されたプロセッサと、
    を備え
    n個ごとに1個の構造が、前記構造の残りよりも広く、
    前記周期的なアレイが、少なくとも2つの交錯した回折格子を備え、前記少なくとも2つの交錯した回折格子のうち少なくとも1つの回折格子のm個ごとに1個の構造が、前記構造の残りとは異なり、ただしmが少なくとも1であり、
    前記少なくとも2つの交錯した回折格子のうち少なくとも1つの回折格子が、前記アレイの有効ピッチが最小ピッチを有する回折格子のピッチよりも大きくなるように配列され、
    前記アレイの有効ピッチが、前記アレイから反射または回折される測定放射ビームの波長よりも大きく設定されている、インスペクション装置。
  14. リソグラフィ装置であって、
    n個ごとに1個の構造が構造の残りとは異なり、nが少なくとも2である、前記構造の周期的なアレイを備えたオーバーレイターゲットを、基板上にプリントするように構成されたプリント装置であって、同じオーバーレイターゲットを前記基板の後続層上にプリントするように構成されたプリント装置と、
    前記オーバーレイターゲットから方向変更された放射を検出するように構成されたディテクタと、
    オーバーレイエラーがあるかどうかを、前記検出された方向変更後の放射から求めるように構成されたプロセッサと、を備え
    n個ごとに1個の構造が、前記構造の残りよりも広く、
    前記周期的なアレイが、少なくとも2つの交錯した回折格子を備え、前記少なくとも2つの交錯した回折格子のうち少なくとも1つの回折格子のm個ごとに1個の構造が、前記構造の残りとは異なり、ただしmが少なくとも1であり、
    前記少なくとも2つの交錯した回折格子のうち少なくとも1つの回折格子が、前記アレイの有効ピッチが最小ピッチを有する回折格子のピッチよりも大きくなるように配列され、
    前記アレイの有効ピッチが、前記アレイから反射または回折される測定放射ビームの波長よりも大きく設定されている、リソグラフィ装置。
  15. リソグラフィセルであって、
    n個ごとに1個の構造が構造の残りとは異なり、nが少なくとも2である、前記構造の周期的なアレイを備えたオーバーレイターゲットを、基板上にプリントするように構成されたプリント装置であって、同じオーバーレイターゲットを前記基板の後続層上にプリントするように構成されたプリント装置と、
    前記オーバーレイターゲットから方向変更された放射を検出するように構成されたディテクタと、
    オーバーレイエラーがあるかどうかを、前記検出された方向変更後の放射から求めるように構成されたプロセッサと、
    を備え
    n個ごとに1個の構造が、前記構造の残りよりも広く、
    前記周期的なアレイが、少なくとも2つの交錯した回折格子を備え、前記少なくとも2つの交錯した回折格子のうち少なくとも1つの回折格子のm個ごとに1個の構造が、前記構造の残りとは異なり、ただしmが少なくとも1であり、
    前記少なくとも2つの交錯した回折格子のうち少なくとも1つの回折格子が、前記アレイの有効ピッチが最小ピッチを有する回折格子のピッチよりも大きくなるように配列され、
    前記アレイの有効ピッチが、前記アレイから反射または回折される測定放射ビームの波長よりも大きく設定されている、リソグラフィセル。
JP2008148757A 2007-06-13 2008-06-06 インスペクション方法および装置、リソグラフィ装置、リソグラフィ処理セル、ならびにデバイス製造方法 Expired - Fee Related JP4778021B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/808,922 2007-06-13
US11/808,922 US7911612B2 (en) 2007-06-13 2007-06-13 Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method

Publications (2)

Publication Number Publication Date
JP2008311645A JP2008311645A (ja) 2008-12-25
JP4778021B2 true JP4778021B2 (ja) 2011-09-21

Family

ID=40132607

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2008148757A Expired - Fee Related JP4778021B2 (ja) 2007-06-13 2008-06-06 インスペクション方法および装置、リソグラフィ装置、リソグラフィ処理セル、ならびにデバイス製造方法

Country Status (2)

Country Link
US (1) US7911612B2 (ja)
JP (1) JP4778021B2 (ja)

Families Citing this family (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7791727B2 (en) 2004-08-16 2010-09-07 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US20080144036A1 (en) * 2006-12-19 2008-06-19 Asml Netherlands B.V. Method of measurement, an inspection apparatus and a lithographic apparatus
NL1036468A1 (nl) * 2008-02-27 2009-08-31 Asml Netherlands Bv Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method.
US8105736B2 (en) * 2008-03-13 2012-01-31 Miradia Inc. Method and system for overlay correction during photolithography
KR101330116B1 (ko) * 2008-12-30 2013-11-15 에이에스엠엘 네델란즈 비.브이. 특성을 결정하는 방법
US9182682B2 (en) 2008-12-30 2015-11-10 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
US8502955B2 (en) * 2008-12-30 2013-08-06 Asml Netherlands B.V. Method of determining a characteristic
CN102422227B (zh) * 2009-05-12 2014-09-17 Asml荷兰有限公司 用于光刻技术的检查方法
TWI417942B (zh) * 2009-12-17 2013-12-01 Ind Tech Res Inst 二維陣列疊對圖樣組之設計方法、疊對誤差量測方法及其量測系統
JP5391055B2 (ja) * 2009-12-25 2014-01-15 東京エレクトロン株式会社 半導体装置の製造方法及び半導体装置の製造システム
JP5525919B2 (ja) * 2010-05-28 2014-06-18 株式会社東芝 欠陥検査方法および欠陥検査装置
WO2012062501A1 (en) 2010-11-12 2012-05-18 Asml Netherlands B.V. Metrology method and apparatus, and device manufacturing method
US9140998B2 (en) 2010-11-12 2015-09-22 Asml Netherlands B.V. Metrology method and inspection apparatus, lithographic system and device manufacturing method
US10107621B2 (en) * 2012-02-15 2018-10-23 Nanometrics Incorporated Image based overlay measurement with finite gratings
US8913237B2 (en) * 2012-06-26 2014-12-16 Kla-Tencor Corporation Device-like scatterometry overlay targets
KR20150087397A (ko) * 2012-11-21 2015-07-29 케이엘에이-텐코 코포레이션 프로세스 호환 세그먼팅된 타겟들 및 설계 방법들
JP2014228396A (ja) * 2013-05-22 2014-12-08 株式会社日立ハイテクノロジーズ 回折格子断面形状測定装置、及び断面形状測定方法
US9740108B2 (en) * 2013-05-27 2017-08-22 Kla-Tencor Corporation Scatterometry overlay metrology targets and methods
US9257351B2 (en) 2013-08-15 2016-02-09 Globalfoundries Inc. Metrology marks for bidirectional grating superposition patterning processes
US9059102B2 (en) 2013-08-15 2015-06-16 International Business Machines Corporation Metrology marks for unidirectional grating superposition patterning processes
US9490182B2 (en) * 2013-12-23 2016-11-08 Kla-Tencor Corporation Measurement of multiple patterning parameters
US20170146465A1 (en) * 2014-06-19 2017-05-25 Nova Measuring Instruments Ltd. Test structure design for metrology measurements in patterned samples
KR102235615B1 (ko) * 2014-07-29 2021-04-02 삼성전자주식회사 노광 공정 계측용 기판 타겟 및 노광 공정 계측 방법과 이를 이용한 집적회로 소자의 제조 방법
WO2016020925A1 (en) * 2014-08-07 2016-02-11 Nova Measuring Instruments Ltd. Metrology test structure design and measurement scheme for measuring in patterned structures
DE112016001982T5 (de) * 2015-04-28 2018-02-15 Kla-Tencor Corporation Recheneffiziente auf röntgenstrahlgestützte messung des overlays
NL2017300A (en) * 2015-08-27 2017-03-01 Asml Netherlands Bv Method and apparatus for measuring a parameter of a lithographic process, substrate and patterning devices for use in the method
US20170256465A1 (en) * 2016-03-01 2017-09-07 Asml Netherlands B.V. Method and apparatus to determine a patterning process parameter
KR20180123156A (ko) * 2016-04-04 2018-11-14 케이엘에이-텐코 코포레이션 필 팩터 변조에 의한 공정 호환성 개선
EP3321738A1 (en) * 2016-11-10 2018-05-16 ASML Netherlands B.V. Method of measuring a parameter of a device manufacturing process, metrology apparatus, substrate, target, device manufacturing system, and device manufacturing method
EP3339959A1 (en) * 2016-12-23 2018-06-27 ASML Netherlands B.V. Method of determining a position of a feature
JP2020529621A (ja) * 2017-06-06 2020-10-08 ケーエルエー コーポレイション レティクル最適化アルゴリズム及び最適ターゲットデザイン
CN113260924A (zh) * 2018-12-31 2021-08-13 Asml荷兰有限公司 用于重叠量测的方法及其设备
CN113130340B (zh) * 2020-02-27 2024-02-20 台湾积体电路制造股份有限公司 叠对误差测量方法及叠对误差测量结构
US11852981B2 (en) 2020-02-27 2023-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. Frequency-picked methodology for diffraction based overlay measurement

Family Cites Families (49)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5703692A (en) 1995-08-03 1997-12-30 Bio-Rad Laboratories, Inc. Lens scatterometer system employing source light beam scanning means
US5805290A (en) * 1996-05-02 1998-09-08 International Business Machines Corporation Method of optical metrology of unresolved pattern arrays
US5880838A (en) 1996-06-05 1999-03-09 California Institute Of California System and method for optically measuring a structure
US5963329A (en) 1997-10-31 1999-10-05 International Business Machines Corporation Method and apparatus for measuring the profile of small repeating lines
JP2001093820A (ja) 1999-09-24 2001-04-06 Toshiba Corp マーク、アライメントマーク、合わせずれ測定用マーク、フォトマスク、及び、半導体ウェーハ
US6429943B1 (en) 2000-03-29 2002-08-06 Therma-Wave, Inc. Critical dimension analysis with simultaneous multiple angle of incidence measurements
US6689519B2 (en) 2000-05-04 2004-02-10 Kla-Tencor Technologies Corp. Methods and systems for lithography process control
US7317531B2 (en) * 2002-12-05 2008-01-08 Kla-Tencor Technologies Corporation Apparatus and methods for detecting overlay errors using scatterometry
US6753961B1 (en) 2000-09-18 2004-06-22 Therma-Wave, Inc. Spectroscopic ellipsometer without rotating components
IL138552A (en) 2000-09-19 2006-08-01 Nova Measuring Instr Ltd Measurement of transverse displacement by optical method
US6768983B1 (en) 2000-11-28 2004-07-27 Timbre Technologies, Inc. System and method for real-time library generation of grating profiles
US6433878B1 (en) * 2001-01-29 2002-08-13 Timbre Technology, Inc. Method and apparatus for the determination of mask rules using scatterometry
US6515744B2 (en) 2001-02-08 2003-02-04 Therma-Wave, Inc. Small spot ellipsometer
US6819426B2 (en) * 2001-02-12 2004-11-16 Therma-Wave, Inc. Overlay alignment metrology using diffraction gratings
US6699624B2 (en) 2001-02-27 2004-03-02 Timbre Technologies, Inc. Grating test patterns and methods for overlay metrology
KR100536646B1 (ko) 2001-03-02 2005-12-14 액센트 옵티칼 테크놀로지스 인코포레이티드 산란 측정법을 이용한 라인 프로파일 비대칭 측정
US20030002043A1 (en) 2001-04-10 2003-01-02 Kla-Tencor Corporation Periodic patterns and technique to control misalignment
US20020192577A1 (en) 2001-06-15 2002-12-19 Bernard Fay Automated overlay metrology system
US6704661B1 (en) 2001-07-16 2004-03-09 Therma-Wave, Inc. Real time analysis of periodic structures on semiconductors
US6785638B2 (en) 2001-08-06 2004-08-31 Timbre Technologies, Inc. Method and system of dynamic learning through a regression-based library generation process
DE10142316A1 (de) * 2001-08-30 2003-04-17 Advanced Micro Devices Inc Halbleiterstruktur und Verfahren zur Bestimmung kritischer Dimensionen und Überlagerungsfehler
DE10142318C1 (de) * 2001-08-30 2003-01-30 Advanced Micro Devices Inc Halbleiterstruktur und Verfahren zur Bestimmung kritischer Dimensionen und Überlagerungsfehler
US7061615B1 (en) 2001-09-20 2006-06-13 Nanometrics Incorporated Spectroscopically measured overlay target
US6608690B2 (en) 2001-12-04 2003-08-19 Timbre Technologies, Inc. Optical profilometry of additional-material deviations in a periodic grating
US6772084B2 (en) 2002-01-31 2004-08-03 Timbre Technologies, Inc. Overlay measurements using periodic gratings
US6813034B2 (en) 2002-02-05 2004-11-02 Therma-Wave, Inc. Analysis of isolated and aperiodic structures with simultaneous multiple angle of incidence measurements
US7061627B2 (en) 2002-03-13 2006-06-13 Therma-Wave, Inc. Optical scatterometry of asymmetric lines and structures
US6721691B2 (en) 2002-03-26 2004-04-13 Timbre Technologies, Inc. Metrology hardware specification using a hardware simulator
US6804005B2 (en) * 2002-05-02 2004-10-12 Timbre Technologies, Inc. Overlay measurements using zero-order cross polarization measurements
DE10224164B4 (de) * 2002-05-31 2007-05-10 Advanced Micro Devices, Inc., Sunnyvale Eine zweidimensionale Struktur zum Bestimmen einer Überlagerungsgenauigkeit mittels Streuungsmessung
US6928628B2 (en) 2002-06-05 2005-08-09 Kla-Tencor Technologies Corporation Use of overlay diagnostics for enhanced automatic process control
US7046376B2 (en) 2002-07-05 2006-05-16 Therma-Wave, Inc. Overlay targets with isolated, critical-dimension features and apparatus to measure overlay
US6919964B2 (en) 2002-07-09 2005-07-19 Therma-Wave, Inc. CD metrology analysis using a finite difference method
SG125926A1 (en) 2002-11-01 2006-10-30 Asml Netherlands Bv Inspection method and device manufacturing method
US7148959B2 (en) 2002-11-01 2006-12-12 Asml Netherlands B.V. Test pattern, inspection method, and device manufacturing method
US7075639B2 (en) * 2003-04-25 2006-07-11 Kla-Tencor Technologies Corporation Method and mark for metrology of phase errors on phase shift masks
US7068363B2 (en) 2003-06-06 2006-06-27 Kla-Tencor Technologies Corp. Systems for inspection of patterned or unpatterned wafers and other specimen
US7061623B2 (en) 2003-08-25 2006-06-13 Spectel Research Corporation Interferometric back focal plane scatterometry with Koehler illumination
US7403259B2 (en) * 2003-10-17 2008-07-22 Asml Netherlands B.V. Lithographic processing cell, lithographic apparatus, track and device manufacturing method
KR20050110467A (ko) * 2004-05-19 2005-11-23 삼성전자주식회사 오버레이 마크 및 얼라인 키 형성방법
US7791727B2 (en) 2004-08-16 2010-09-07 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US20060109463A1 (en) 2004-11-22 2006-05-25 Asml Netherlands B.V. Latent overlay metrology
US7453577B2 (en) 2004-12-14 2008-11-18 Asml Netherlands B.V. Apparatus and method for inspecting a patterned part of a sample
US7528931B2 (en) 2004-12-20 2009-05-05 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7067615B1 (en) * 2005-06-13 2006-06-27 Eastman Chemical Company Hydrotreating polyester precursors
US7481579B2 (en) 2006-03-27 2009-01-27 Jordan Valley Applied Radiation Ltd. Overlay metrology using X-rays
US7616313B2 (en) 2006-03-31 2009-11-10 Kla-Tencor Technologies Corporation Apparatus and methods for detecting overlay errors using scatterometry
US7564554B2 (en) * 2006-06-30 2009-07-21 Intel Corporation Wafer-based optical pattern recognition targets using regions of gratings
US7656518B2 (en) * 2007-03-30 2010-02-02 Asml Netherlands B.V. Method of measuring asymmetry in a scatterometer, a method of measuring an overlay error in a substrate and a metrology apparatus

Also Published As

Publication number Publication date
US7911612B2 (en) 2011-03-22
JP2008311645A (ja) 2008-12-25
US20080311344A1 (en) 2008-12-18

Similar Documents

Publication Publication Date Title
JP4778021B2 (ja) インスペクション方法および装置、リソグラフィ装置、リソグラフィ処理セル、ならびにデバイス製造方法
JP4787232B2 (ja) 測定方法、検査装置、およびリソグラフィ装置
KR101129332B1 (ko) 검사 장치, 리소그래피 장치, 리소그래피 처리 셀 및 검사 방법
JP4912241B2 (ja) インスペクション方法およびインスペクション装置、リソグラフィ装置、リソグラフィ処理セルならびにデバイス製造方法
JP4719817B2 (ja) 基板の性質を測定する方法、スキャトロメータ、及び、リソグラフィ装置
JP5412528B2 (ja) 検査方法、検査システム、基板、およびマスク
JP4672704B2 (ja) 基板のオーバーレイ誤差を測定する方法、基板製造方法、および検査装置
KR100930654B1 (ko) 측정 방법, 검사 장치 및 리소그래피 장치
JP4980264B2 (ja) 検査方法、デバイス製造方法、検査装置、基板、マスク、リソグラフィ装置、及びリソグラフィセル
US7599064B2 (en) Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method, substrate for use in the methods
KR20120018227A (ko) 계측 방법 및 장치, 리소그래피 시스템, 및 리소그래피 처리 셀
JP2009002931A (ja) 計測ツールのキャリブレーションに使用する基板を形成する方法、キャリブレーション基板および計測ツールをキャリブレーションする方法
JP2008139303A (ja) 検査方法、検査装置、リソグラフィ装置、リソグラフィ処理セル、およびデバイス製造方法
US9081304B2 (en) Substrate, an inspection apparatus, and a lithographic apparatus
JP2009081436A (ja) オーバレイエラーの測定方法、検査装置及びリソグラフィ装置
US20110028004A1 (en) Inspection Method and Apparatus, Lithographic Apparatus, Lithographic Processing Cell and Device Manufacturing Method
JP5525547B2 (ja) 特性を求める方法
KR20210075189A (ko) 리소그래피 장치의 포커스 성능을 측정하는 장치들 및 패터닝 디바이스들 및 방법들, 디바이스 제조 방법
US20140199634A1 (en) Method of Measuring a Characteristic
NL1036683A1 (nl) Focus sensor, inspection apparatus, lithographic apparatus and control system.

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20101224

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110105

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110405

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20110531

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20110630

R150 Certificate of patent or registration of utility model

Ref document number: 4778021

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140708

Year of fee payment: 3

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees