JP2020529621A - レティクル最適化アルゴリズム及び最適ターゲットデザイン - Google Patents

レティクル最適化アルゴリズム及び最適ターゲットデザイン Download PDF

Info

Publication number
JP2020529621A
JP2020529621A JP2019567284A JP2019567284A JP2020529621A JP 2020529621 A JP2020529621 A JP 2020529621A JP 2019567284 A JP2019567284 A JP 2019567284A JP 2019567284 A JP2019567284 A JP 2019567284A JP 2020529621 A JP2020529621 A JP 2020529621A
Authority
JP
Japan
Prior art keywords
target
pitch
periodic
measurement
periodic structure
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2019567284A
Other languages
English (en)
Inventor
ヨエル フェレル
ヨエル フェレル
ウラジーミル レヴィンスキー
ウラジーミル レヴィンスキー
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
KLA Corp
Original Assignee
KLA Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by KLA Corp filed Critical KLA Corp
Publication of JP2020529621A publication Critical patent/JP2020529621A/ja
Priority to JP2022068387A priority Critical patent/JP7378530B2/ja
Pending legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/38Masks having auxiliary features, e.g. special coatings or marks for alignment or testing; Preparation thereof
    • G03F1/44Testing or measuring features, e.g. grid patterns, focus monitors, sawtooth scales or notched scales
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • G03F7/7015Details of optical elements
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70681Metrology strategies
    • G03F7/70683Mark designs

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Theoretical Computer Science (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Electron Beam Exposure (AREA)

Abstract

レティクル上及びウェハ上の計量ターゲットデザイン、並びにターゲットデザイン及び処理方法が提供される。ターゲットデザインは、粗ピッチを呈する周期性構造を有し、その周期性構造は細ピッチを呈する副要素群を有し、それら副要素のCD及び/又は高さに変動があるものであり、更に、計測方向に対し垂直な直交方向沿い周期性構造を有し、その周期性構造は非分解直交方向ピッチを呈する周期反復性バー群を有し、それらのバーにより良好印刷ターゲット達成用校正パラメタが提供されるものである。直交方向沿い周期性構造はレティクル上で設計すること及び非分解なものとすることができ、或いは比較的低い対切れ目層オーバレイ感度で以てプロセス層上の切れ目パターンに適用することができる。設計されたターゲットは、オーバレイ計量に用いうるほか、プロセスパラメタ例えばスキャナ収差及びピッチウォークの計測に用いることができる。

Description

本発明は計量の分野に関し、より具体的にはオーバレイターゲットデザインに関する。
周期性ターゲットがオーバレイ計測に広く用いられているとはいえ、検出可能な計測結果を提供するとともに生産デバイスに対するコンプライアンスを実現する、という継続的な難問にオーバレイターゲットは直面しており、しかもそれらデバイスがかつてなく小型化し且つそれらの生産プロセスに応じた独特な設計となっている。
米国特許出願公開第2015/0309402号明細書
上述した、検出可能な計測結果を提供するとともに生産デバイスに対するコンプライアンスを実現する、という継続的な難問を解決することが望まれている。
以下は、本発明についての初期的な理解を提供する簡略化された概要である。この概要は、必ずしも本発明の根幹要素を特定し又は技術的範囲を限定するものではなく、単に後掲の記述への導入部として働いている。
本発明の一態様により提供される計量ターゲットデザインは、計測方向沿い周期性構造を有し、その周期性構造が、粗ピッチを呈する周期反復性要素群を有し、各要素が、その計測方向に沿い周期性を呈すると共に、細ピッチを呈する周期反復性副要素群を有し、それらの副要素CD(限界寸法)に変動があり、粗ピッチが細ピッチの整数倍であるターゲットデザインであって、更に、計測方向に対し垂直な直交方向沿い周期性構造を有し、その周期性構造が、非分解(分解されない)直交方向ピッチを呈する周期反復性バー群を有し、その非分解直交方向ピッチが所定の最小デザインルールピッチより小さいものである。
本発明のこれらの、付加的な及び/又は他の諸態様及び/又は長所については、後続する詳細記述中で説明され、恐らくはその詳細記述から推定可能であり、及び/又は、本発明の実施によって学ぶことができる。
本発明の諸実施形態についてより良好な理解を図りそれをどう実施すればよいかを示すため、以下純粋なる例として添付図面を参照し、またそれら図面を通じ対応諸要素又は諸部分に同様の符号を付すことにする。
添付図面は以下の通りである。
本発明の諸実施形態に係る計量ターゲットデザインの上位模式図である。 本発明の諸実施形態に係るリソグラフィプロセスのセッティングの高度簡略化上位模式図である。 従来型ターゲットデザインの上位模式図である。 従来型ターゲットデザインの上位模式図である。 従来型ターゲットデザインの上位模式図である。 本発明の諸実施形態に係る計量ターゲットデザインの上位模式図である。 本発明の諸実施形態に係る計量ターゲットデザインの上位模式図である。 本発明の諸実施形態に係り直交方向バーの幅が変動するターゲットデザインを用い印刷された計量ターゲットの上位模式図である。 本発明の諸実施形態に従い印刷された計量ターゲットの上位模式図である。 本発明の諸実施形態に従い印刷された計量ターゲットの上位模式図である。 本発明の諸実施形態に従い印刷された計量ターゲットの上位模式図である。 本発明の諸実施形態に係るターゲットを用いた付加的計測の上位模式図である。 本発明の諸実施形態に係るターゲットを用いた付加的計測の上位模式図である。 本発明の諸実施形態に係る方法を描いた上位フローチャートである。
以下の記述では本発明の様々な態様が述べられている。説明目的で具体的な構成及び細部が説明され、それにより本発明についての一貫理解が図られている。しかしながら、本件技術分野に習熟した者(いわゆる当業者)には明らかな通り、本発明を実施するに当たり、本願にて提示されている具体的細部を省いてもよい。更に、本発明を曖昧にさせないため周知特徴が省略又は単純化されているところがある。図面への具体的参照との関連で強調しておきたいのは、図示事項が一例であり専ら本発明の例証的議論を目的としていること、並びにそれらの提示理由が本発明の諸原理及び概念的諸側面についての最有用且つ理解容易な記述と思しきものの提供であることである。この点についていえば、本発明の基礎的理解に必要な以上に詳細に本発明の構造的細部を示すつもりはなく、本発明の諸形態をどう実施すればよいかをその記述に加え図面によっていわゆる当業者向けに明らかにしている。
本発明の少なくとも1個の実施形態が詳説されるのに先立ち理解し得るように、本発明の用途は、後掲の記述中で説明され又は図面中で描写されている諸部材の構成及び配置の細部に限定されない。本発明を他の諸実施形態に適用し、それを様々な要領で実施又は実行してもよいし、開示されている諸実施形態の組合せに適用してもよい。やはり理解し得るように、本願にて採用されている用句法及び用語法は記述目的のものであり、限定として解されるべきではない。
別様に個別記述されていない限り、後掲の議論から明らかに察せられる通り、「処理」、「計算」、「算出」、「判別」、「拡張」、「導出」等の語を利用した具体的議論は皆、コンピュータ若しくは情報処理システム又はそれに類する電子情報処理装置の動作及び/又はプロセスであり、その情報処理システムのレジスタ及び/又はメモリ内で物理量例えば電子量として表現されているデータを操作し、及び/又は、その情報処理システムのメモリ、レジスタその他の情報格納、伝送又は表示装置内で物理量として道標に表現される他のデータへと変換するもののことを、指している。
オーバレイ制御は現行リソグラフィの主要問題の一つである。一般には、これを達成するため特殊なマーク即ちターゲットがウェハ上に印刷され、それを基準としてオーバレイが計測されている。マスク又はレティクルの設計(デザイン)に際しては、印刷適性(プロセスウィンドウ(処理窓))及び収差安定性の問題を考慮に入れねばならない。これによりマスクの設計が非常に困難なタスクとなり、シミュレーション及び実験部分の双方を含むものとなっている。この問題を扱う手立ての一つは、印刷不能な支援フィーチャ(外形特徴)をそのマスク上で用いることである(非分解支援フィーチャASRAF)。この種のフィーチャは、(分解されないため)それら自体は印刷されないが、設計された構造の印刷適性を高め且つスキャナの収差に対するそれら構造の感度を低下させる。とはいえ、支援フィーチャの使用は多数の自由度を付加することであり、そのことと包括的方法論の欠如とが相俟ち、ターゲット設計の領域は試行錯誤的手法を用いる方向に駆り立てられている。
有益なことに、開示されているターゲットデザイン及び方法では、CD変調及びフィールド変調ターゲットが、計測方向に対し垂直で非分解ピッチを呈する直交方向沿い周期性構造と組み合わされることで、オーバレイターゲットの設計プロセスがより直観的且つ予測可能なものとなる。
レティクル上及びウェハ上の計量ターゲットデザイン、並びにターゲットデザイン及び処理方法が提供される。ターゲットデザインは、粗ピッチを呈する周期性構造を有し、その周期性構造が細ピッチを呈する副要素群を有し、それら副要素のCD及び/又は高さに変動があるものであり、更に、計測方向に対し垂直な直交方向沿い周期性構造を有し、その周期性構造が、非分解直交方向ピッチを呈する周期反復性バー群を有し、それらにより良好印刷ターゲット達成用校正パラメタが提供されるものである。直交方向沿い周期性構造は、レティクル上で設計し非分解とすること、或いはプロセス層上の切れ目パターンに適用することができ、その切れ目層オーバレイに対しては比較的低い感度を呈する。設計されたターゲットは、オーバレイ計量に用いうるほか、プロセスパラメタ例えばスキャナ収差及びピッチウォークの計測に用いることができる。
図1Aは本発明の諸実施形態に係る計量ターゲットデザイン100の上位模式図である。描かれているのはレティクル(ウェハ上でパターン化層を画定すべくリソグラフィにて用いられるフォトレティクル、別称マスク又はフォトマスク)上のターゲットデザイン100であり、これを用いウェハ上に対応するターゲットを作成することができ、それを、後に説明する通り幾つかの相異なるフィーチャを有するものとすることができる。計量ターゲットデザイン100は計測方向沿い周期性構造を有しており、その周期性構造は粗ピッチPを呈する周期反復性要素110を有している。各要素110は、その計測方向に沿い周期性を呈すると共に、細ピッチP1を呈する周期反復性副要素120を有している。副要素120においては副要素CD(限界寸法、CD1、CD2、CD3等々と表記)が変動しており、粗ピッチPが細ピッチP1の整数倍となっている(P=n・P1、nは整数、図示の非限定例ではn=5)。ターゲットデザイン100は更に直交方向沿い周期性構造を有しており、その周期性構造は計測方向に対し垂直で非分解直交方向ピッチP2を呈する周期反復性バー130を有しており、それらのバーはCD(B)なるCDを呈している。非分解直交方向ピッチP2は、所定の最小デザインルールピッチよりも小さいためそれ自体はウェハ上に印刷されないが、後に説明する通り、専ら可制御ターゲットを提供するのに役立っている。なお、要素110は幾らか無作為に単位セルとして示されており、計量ターゲットデザイン100の様々な個所にて選り出すことができよう。
図1Bは、本発明の諸実施形態に係るリソグラフィプロセスのセッティングの高度簡略化上位模式図である。ウェハ104上のターゲット150は照明源70からの照明を用い作成され、その照明はレティクル102(別称マスク)及びその上のターゲットデザイン100を介し投射される。明白な通り、レティクル102には、通常、回路設計データと、ウェハ104上に作成される集積回路(群)に備わる1個の、ときとして複数個の層に関わる恐らくは複数個のターゲットデザイン100とが、含まれている。ターゲットデザイン100,ターゲット150についての本件開示での記述は、専ら、それぞれレティクル102上,ウェハ104上にあるそれらの構成要素に関するものであり、通常はかなり複雑なデザインの一部となっている。ある種の実施形態では、本願にて開示される計量ターゲットデザイン100を有するリソグラフィレティクル(群)102が提供される。ある種の実施形態では、本願にて開示される計量ターゲットデザイン(群)100を用い作成された計量ターゲット(群)150を備えるウェハ104、並びに計量ターゲット(群)150それ自体が提供される。ある種の実施形態では、計量ターゲット(群)150についてのターゲットデザインファイルが提供される。ある種の実施形態では、計量ターゲット(群)150についての計量オーバレイ計測ターゲットデザインファイルが提供される。
具体的には、計量ターゲット(群)150(図1Bではその一部分が模式的に描かれている)に計測方向沿いターゲット周期性構造150を具備させ、その周期性構造を、ターゲット粗ピッチ(P(T))を呈する周期反復性ターゲット要素151を有するものとし、各ターゲット要素151を、計測方向に沿い周期性を呈すると共に、ターゲット細ピッチ(P1(T))を呈する周期反復性ターゲット副要素155を有するものとし、それら副要素にてターゲット副要素CDを変動させること(図5参照)、またターゲット粗ピッチP(T)をターゲット細ピッチP1(T)の整数倍とし整数nに関しP(T)=n・P1(T)にすることができる。計量ターゲット(群)150に関しては非限定的な諸例が図5にて提示されている。
図2A〜図2Cは従来型ターゲットデザイン80の上位模式図である。図2Aに模式的に描かれているように、オーバレイ計測向けの通常のターゲットデザインは、諸要素82がピッチPに設定された周期性構造を有している。全要素82が印刷されるので、レティクル上のターゲットデザインと、ターゲットの実幾何形状とが同様になる。なお、ピッチPは大きい(可視域の照明スペクトルで以て光学的解像を達成する必要があるため、最小デザインルールよりも大きくされ、通常は1000nm超とされる)。しかしながら、デザイン80は、幅広な空っぽの空間とセグメント化されていない幅広なバー82とが残っているため、一般にプロセス非互換であり、且つ非対称なスキャナ収差故に諸デバイスに対する顕著な偏りが発生する。
図2B,図2Cには、順にレティクル上,ウェハ上の従来型ターゲットデザイン90, 95が描かれている。従来型デザイン90では幅広バー82がセグメント化され、細ピッチP1で以て反復する要素92を有する細セグメント化周期性構造とされており、それにより粗ピッチP内にデバイス状構造群がもたらされている。要素92のピッチP1はデバイス構造と同程度、ことによると同一(最小デザインルールピッチ)であり、参照を以てその全容が本願に繰り入れられる米国特許出願第14/820917号及び国際出願第PCT/US16/60626号にてより詳細に開示されている通り、粗ピッチに亘り変動するようデバイス状印刷構造97のパラメタ群を設定することによって、所要の光学的分解能が達成される。
しかしながら、発明者が見出したところによれば、ターゲットデザイン90は、得られる分解性能に影響する自由パラメタを多く有し過ぎていて、それら全てを同時に最適化することが、極めて困難なタスクとなりうる。例えば、ターゲットデザイン90では自由パラメタに各バー92の幅値が含まれていて、それらパラメタにより、全バー92が印刷され十分に広いプロセスウィンドウを持つようにすることができる。これは良好に校正されたシミュレーション結果を必要とする顕著な難事であり、そうしたシミュレーション結果はレジストの化学的性質の校正の困難性故に滅多に得られないため、通常は試行錯誤的手法によって捌かれている。しかしながら、相異なるCD値同士の組合せの個数は多大であるため、この従来手法はほとんど適用不能である。発明者が見出したところによれば、分析を邪魔しているのは不連続部及び弁別不能領域であり、それらはまた、ターゲット95が良好に印刷される条件を推し量るための直観的経験則の形成をも妨げている。
これらの難事についての解決策として発明者がターゲットデザイン100にて提案しているのは、ターゲットデザイン100における変動パラメタの個数を大きく減らすこと、並びにターゲット印刷適性に影響するパラメタ群を物理的視点から見て直観的な形態にて用いることである。主たる印刷適性問題が、空間像閾値の正しい値についての知識欠如に結びついていることから、発明者は、直交バー130を用い副要素120の印刷適性及びそれらのパラメタを制御すること、それでいて直交バー130自体が印刷されず且つ印刷構造155に対し垂直な方向に沿い分解されないようにすることを、提案している。
図3及び図4は、本発明の諸実施形態に係る計量ターゲットデザイン100の上位模式図である。なお、図3及び図4には、専ら個々のターゲットデザイン100の小部分がそれらデザインの設計原理を説明する目的で、即ち(i)追加された直交パターン130が(計測方向に対し)垂直方向に沿い周期的であり、そのピッチP2(図1A参照)が最小デザインルールピッチよりも小さいため印刷ツールの光学系では分解されないこと、(ii)追加された直交パターン130が計量システムの瞳面内諸次回折位置(計測方向に沿い要素110, 120から見たそれ)に影響を及ぼさず、これに相応して、CD変調ターゲット(副要素120毎に異なるCDを呈するそれ)により実現される準2ビームイメージング方式ではパターン130により視野面内印刷パターン位置が変化しない(デバイス群に対する偏りが生じない)こと、並びに(iii)直交バー130の幅(CD(B))を変動させることで0次及び1次回折の強度を変動させることができ、それを用いることで、所与パラメタに関する好適値であり良好な印刷適性コンディションをもたらすものを容易に見つけ出せることを、説明する目的で描かれている。図4に模式的に描かれているのはターゲットデザイン100の変形例であり、その高さ(H1、H2、H3等々)が変動していて幅(CD)が等しい副要素120に直交バー130が付加されている。
図5は、本発明の諸実施形態に係り直交バー130の幅(CD(B))が変動するターゲットデザイン100を用い印刷された計量ターゲット150の上位模式図である。図5に描かれている印刷済み計量ターゲット150は、図1A及び図3中に非限定例として描かれたターゲットデザイン100を用い印刷されたものである。図5にて強調されている通り、直交バー130の幅(CD(B))を変動させることでターゲット副要素155の幅(CD)に相違が生じ、最適なCD(B)の簡略な選択が可能となる。もたらされる候補ターゲット150の集合及びそれに対応するデザイン100が単一のパラメタ(CD(B))に依存しているので、物理的により直観的になると共に他のプロセスパラメタの簡略な当て嵌めが可能となり、例えば、ターゲットデザイン100のパラメタのうち1個しか関わってこないことから、任意値の空間像閾値への露光量の当て嵌めが可能となる。
なお、開示されている手法、即ち計測方向に対し垂直な直交方向沿い周期性構造130であり分解されないピッチを有するものを導入する手法は、上述の如くCDが変動する細い副要素群を有するものに限らず、広範な計量オーバレイターゲットにて一般原理として用いることができる。
なお、前掲の図4に示した通り、副要素120を、幅を変動させる(CD1、CD2、CD3等々)のに代え(或いは可能ならそれに加え)、高さが変動する(H1、H2、H3等々)ように設計することで、その最適化プロセスに係る他の(又は付加的な)パラメタを提供してもよい。この縦方向変調は、分解されず且つ瞳面内諸次回折位置に影響せず、諸デバイスに対する偏りが形成されないように設定することができ、それでいてなお、その全容が参照により本願に繰り入れられる特許文献1等にて説明されている通り、マスクの透過特性には影響する。ターゲットデザイン100、例えば図4にて開示されているそれを用いることで、更なる柔軟性を付加し(例.バー130の幅及び副要素120の高さ)、良好な印刷適性コンディションの達成に資することができる。
有益なことに、開示されている諸実施形態では、最適化プロセスの単一パラメタ緩和を用いることが可能で、恐らくはCD変調された副要素120及び印刷ターゲット150が統一されたパラメタ集合で以て構築される。更に、開示されている諸実施形態では、単一パラメタ族最適化を用いた実効的空間像閾値制御や、副要素120の高さ及び/又は幅を用いた統一ターゲットデザイン最適化緩和を初め、革新的なマスク設計能力が提供される。
図6A〜図6Cは、本発明の諸実施形態に従い印刷された計量ターゲット150の上位模式図である。なお、図6A〜図6Cには、専ら、各計量ターゲット生産段階150A、150B及び150の小部分であり1周期に対応するものが、それらの設計原理を説明する目的で描かれている。図6A〜図6Cには、順に、プロセス段階150A, 150B、それらによりウェハ上に作成されるターゲット150が、模式的に描かれている。計量ターゲット150は計測方向沿い周期性構造151を有し、その周期性構造が粗ピッチ(P)を呈する周期反復性要素151を有し、各要素151が、その計測方向に沿い周期性を呈すると共に、細ピッチ(P1)を呈する周期反復性副要素155を有し、そして全副要素155が同じCDを呈するものと、することができる。副要素155を、計測方向に対し垂直な直交方向沿い周期性構造160で切る(図6A中のパターン150Aから図6B中のパターン150Bへと移行させる)ことで、周期反復性切れ目を持たせることができる。発明者が見出したところによれば、それらの切れ目を適宜構成することで、印刷されたターゲット150のCD変調を模すこと及び生産プロセスを単純化することができる。副要素155を適宜構成することで、CD変動なしでデバイス構造を表す(それにより印刷適性問題を回避する)と共に、切り込みプロセスによりそれらの構造を実質的にCD変調されたターゲット150に変転させ、計量用光学ツールにより計測可能なものにすることができる。
副要素155については、(例えば図1A及び図1Bに描いた通り)CDを変動させてもよいし、或いはCDを同一にしてもよい。開示されているターゲット150は、副要素155のCDが同一なものであるので、副要素155のCDが変動するものよりも良好な印刷適性を得ることができ、デバイス構造に対しほぼ0のオフセットを達成することができる。各オーバレイターゲット150を計量オーバレイ計測に用いることができる。
ある種の実施形態によれば、標準的なデバイス印刷手順のうち、最小デザインルールを有する周期格子と垂直方向に沿って切る後続の線とが印刷されるものを利用し、ターゲット150を作成することができる。なお、この手順は、それらが切り込みを孕むことからプロセス層内ターゲット150のみに適用可能であるが、まさにそうしたターゲットがプロセス互換性の視点から主たる難題を課している。
粗ピッチ(P)は計量ツールの光学系、例えば400nm超で動作するものによって分解されるよう、構成設定することができる。細ピッチ(P1)は印刷条件を満足するよう構成設定することができ、切れ目160は、印刷適性閾値例えば100nmを上回る間隙が残らないようにすること等で、プロセス互換性が遜色なく保持されるよう構成することができる。ターゲット150は、とりわけ副要素155が同一ピッチを呈するデバイスラインとして設計されているときに、0又は極小NZO(非ゼロオフセット)を呈するよう構成することができる。ターゲット位置が(副要素155の)基本線位置によって全面的に決まるので、計測用光学ツールに関しなおも十分なコントラストを提供しつつ定義によりNZO=0とすることができる。
有益なことに、ターゲット150は印刷適性問題を被らないし、計測方向沿いでも垂直方向沿いでも切れ目パターン160の居所に関し厳しい仕様を課さないので、切れ目パターン160に係るオーバレイ誤差の許容範囲が数nm、ことによると10nmに達しさえする広い範囲になる。
ある種の実施形態によれば、副要素155を最小デザインルールピッチのちょうど2倍(P1=2・DR)にて印刷することで、切れ目構造160と副要素155との潜在的な重なり合い(例えばP1=DRであり切れ目構造160が極端ダイポール照明を用い印刷された場合に起こりうるそれ)を防ぐことができる。P1=2・DRとなるようターゲット150を構成することで、切れ目パターン160の広いオーバレイ誤差許容範囲さえ越えてNZOを小値又は0に保つことができるのであり、これは、細ピッチP1及び非対称収差位相シフトの状態(φで表記)を瞳内照明位置に対応付ける空中強度分布を表す下記の等式1に示されている通りである。
発明者が注記するところによれば、対応するターゲット位置シフトはΔx=(P/(2π))φであり、最小デザインルールピッチと等しいP1で以て印刷されたターゲット150に関してはこれが同一であり、それに関しては空中強度分布が等式2で記述される(等式2ではP2=1・DR且つP1=2・DR)。
図7A及び図7Bは、本発明の諸実施形態に係るターゲット150を用いた付加的計測170の上位模式図である。ある種の実施形態によれば、ターゲット150を付加的な計測、例えばスキャナ収差計測174、ピッチウォーク計測176等々に利用することができる。スキャナ収差計測174に関しては、相共存するターゲット150であり、最小デザインルール(DR)からその最小デザインルールの2倍(2・DR)に及ぶ範囲内のセグメント化ピッチ(細ピッチP1)を呈するものを印刷し、先に開示した如く切って、オーバレイ計量ツール172により計測すればよい。相異なるセグメント化ピッチ(細ピッチP1)に対応する相異なるセル150間のオーバレイを計測した結果を以て、参照によりその全容が本願に繰り入れられる米国特許出願第14/820917号に記載の如き、スキャナ収差振幅計算の基礎とすることができる。ピッチウォーク計測176に関しては、相共存するターゲット150を2個のセル150Aで構成し、二重パターニング手順の相異なるステップで印刷された周期性構造を各セルで体現すればよく(複数個のセル150Aを用い多重パターニング手順におけるピッチウォークを計測すればよく)、その一方でターゲット150内の他の周期性構造は切り込み手順を用いCD変調ターゲットへと転換させる。
図8は、本発明の諸実施形態に係る方法200が描かれた上位フローチャートである。本方法の諸段階は上述したターゲットデザイン100及び/又はターゲット150に関し実行されうるものであり、方法200を実施しうるようそれらを随意に構成することができる。方法200は少なくとも1個のコンピュータプロセッサ、例えば計量モジュール内のそれにより、少なくとも部分的に実施することができる。ある種の実施形態では、コンピュータ可読格納媒体及びそれにより体現されるコンピュータ可読プログラムを備えるコンピュータプログラム製品が構成され、方法200の関連諸段階を実行するようそのプログラムが構成される。ある種の実施形態では、方法200の諸実施形態によって設計されたターゲットそれぞれのターゲットデザインファイルが構成される。方法200は、その順序を問わず後掲の諸段階を有するものとすることができる。
方法200によれば、計測方向沿い周期性構造を有する計量ターゲットデザインに、その計測方向に対し垂直で非分解直交方向ピッチを呈する直交方向沿い周期性構造を導入すること(段階210)、並びに、その計量ターゲットデザインをリソグラフィレティクル上で用い、計測方向のみに沿い周期性構造を有する構成設定可能な計量ターゲットを作成すること(段階220)ができる。方法200によれば、その計量ターゲットデザインを適宜構成することで、その周期性構造が粗ピッチを呈する周期反復性要素を有し、各要素が、計測方向に沿い周期性を呈すると共に、細ピッチを呈する周期反復性副要素群を有し、それらの副要素CDに変動があり、粗ピッチが細ピッチの整数倍となるようにすること(段階230)ができる。
方法200によれば、更に、直交方向沿い周期性構造の諸要素の幅を構成設定してターゲット印刷適性を最適化すること(段階240)ができる。方法200によれば、更に、計量ターゲットデザインから作成された計量ターゲットから、オーバレイ計量計測結果を導出すること(段階250)ができる。
方法200によれば、計測方向沿い周期性構造をプロセス層上に作成し、その周期性構造を、粗ピッチを呈する周期反復性要素群を有するものとし、各要素を、計測方向に沿い周期性を呈すると共に、細ピッチを呈する周期反復性副要素を有がするものとし、それら副要素を、同一CDを呈するものにすること(段階260)ができ、また計測方向に対し垂直な直交方向沿い周期性構造によりそれら副要素を切って周期反復性切れ目を持たせること(段階270)ができる。
ある種の実施形態に係る方法200によれば、細ピッチを呈する副要素群を、同一CDを呈するように作成し、切り込みを用いて可変CDを実質的に模すること (段階272)ができる。その細ピッチを最小デザインルールピッチ(DR)の1〜2倍、可能ならDRの2倍となるよう構成設定することで、プロセスウィンドウを拡張すること(段階275)ができる。
方法200によれば、更に、最小デザインルールの1〜2倍の細ピッチを呈する複数個の作成済み計量ターゲットをオーバレイ計測ツールにより計測して用い、スキャナ収差を計測すること(段階280)ができる。
方法200によれば、更に、多重パターニング手順の相異なるステップに関連する周期的セルを作成済み計量ターゲット内に含めることで、ピッチウォークを計測すること(段階290)ができる。
以上、本発明の諸実施形態に係る方法、装置(システム)及びコンピュータプログラム製品のフローチャート描写及び/又は部分図を参照して本発明の諸態様を述べてきた。ご理解頂けるように、それらフローチャート描写及び/又は部分図の各部分、並びにそれらフローチャート描写及び/又は部分図の諸部分の組合せを、コンピュータプログラム命令により実現することができる。それらコンピュータプログラム命令を汎用コンピュータ、専用コンピュータその他、プログラマブルデータ処理装置のプロセッサに供給してマシンを構築すること、ひいてはコンピュータその他のプログラマブルデータ処理装置のプロセッサによりそれらの命令を実行させることで、そのフローチャート及び/又は部分図若しくはその諸部分にて特定されている諸機能/諸動作を実現する手段を、生み出すことができる。
それらコンピュータプログラム命令をコンピュータ可読媒体内に格納しておき、ある特定の要領で機能するようそこからコンピュータその他のプログラマブルデータ処理装置或いはその他の装置に指令することで、そのコンピュータ可読媒体内に格納されている命令により、フローチャート及び/又は部分図若しくはその諸部分にて特定されている機能/動作を実現する製品を、提供することもできる。
それらコンピュータプログラム命令を、コンピュータその他のプログラマブルデータ処理装置或いはその他の装置にロードし、そのコンピュータその他のプログラマブルデータ処理装置或いはその他の装置上で一連の動作ステップを実行させることで、コンピュータ実施プロセスを提供することができ、ひいては、そのコンピュータその他のプログラマブル装置上で実行される命令によって、フローチャート及び/又は部分図若しくはその諸部分にて特定されている諸機能/諸動作を実現するプロセスを、提供することもできる。
上掲のフローチャート及び図面には、本発明の様々な実施形態に係るシステム、方法及びコンピュータプログラム製品の潜在的諸実現形態のアーキテクチャ、機能及び動作が描かれている。この点との関連では、特定の論理機能(群)を実現するための可実行命令1個又は複数個で構成されたコードのモジュール、セグメント又は部分を、それらフローチャート又は部分図の各部分により表すことができる。これもやはり注記すべきことに、ある種の代替的実現形態においては、その部分に記されている諸機能が、図中に記されている順序とは異なる順序で生起することがある。例えば、相連続する態で示されている二部分が、実際にはほぼ同時に実行されることもあるし、それらの部分がときとして逆の順序で実行されることもあるのであり、これは関わる機能に依存している。やはり注記されることに、それら部分図及び/又はフローチャート描写の各部分、並びにそれら部分図及び/又はフローチャート描写中の諸部分の組合せを、指定されている諸機能又は諸動作を実行する専用のハードウェアベースシステムによって、或いは専用ハードウェアとコンピュータ命令との組合せによって、実現することができる。
上掲の記述における実施形態は本発明の一例又は実現形態である。「ある実施形態」、「一実施形態」、「ある種の実施形態」又は「幾つかの実施形態」なる様々な表現が、必ずしも全て同じ実施形態を指すわけではない。本発明の様々な特徴がある単一の実施形態の文脈に沿い述べられもしているが、それらの特徴が個別に又は何らかの好適な組合せで提供されることもありうる。逆に、本願では本発明が明瞭化のため個々別々の実施形態の文脈に沿い述べられもしているが、本発明が単一実施形態の態で実施されることもありうる。本発明のある種の実施形態によれば、先に開示された相異なる実施形態から諸特徴を取り入れることができ、またある種の実施形態によれば、先に開示された他の諸実施形態から諸要素を取り入れることができる。ある特定の実施形態の文脈に沿った、本発明の諸要素についての開示を、それらの使用が当該特定の実施形態のみに限られるものと解すべきではない。更に、ご理解頂けるように、本発明は様々な要領で実行又は実施することができ、また本発明は上掲の記述にて概括されたものとは異なる何らかの実施形態にて実現することができる。
本発明はそれらの図面や対応する記述に限定されない。例えば、図示されているボックス又は状態それぞれをフローが通り抜ける必要はないし、図示及び記述されているそれと厳密に同じ順序で通り抜ける必要もない。本願にて用いられている技術用語及び科学用語の意味は、別様に定義されているのでない限り、本発明が属する分野のいわゆる当業者により理解される通り、通例的に理解されるべきである。ある有限個数の実施形態を基準にして本発明を述べてきたが、それらを本発明の技術的範囲に対する限定事項として解すべきではなく、寧ろ好適な諸実施形態のうち幾つかの例として解すべきである。他の潜在的変形、修正及び応用もまた本発明の技術的範囲内とする。従って、本発明の技術的範囲は、これまでに述べられたものではなく、別項の特許請求の範囲及びその法的等価物で限定されるべきである。

Claims (19)

  1. 計測方向沿い周期性構造を有し、その周期性構造が、粗ピッチを呈する周期反復性要素群を有する計量ターゲットデザインであって、
    各要素が、前記計測方向に沿い周期性を呈すると共に、細ピッチを呈する周期反復性副要素群を有し、それら副要素のCD(限界寸法)に変動があり、前記粗ピッチがその細ピッチの整数倍であり、
    更に、前記計測方向に対し垂直な直交方向沿い周期性構造を有し、その周期性構造が、非分解直交方向ピッチを呈する周期反復性バー群を有するターゲットデザインであり、その非分解直交方向ピッチが所定の最小デザインルールピッチより小さい計量ターゲットデザイン。
  2. 請求項1に記載の計量ターゲットデザインを有するリソグラフィレティクル。
  3. 請求項2に記載のレティクルを用い作成された計量ターゲット。
  4. 請求項3に記載の計量ターゲットであって、計測方向沿いターゲット周期性構造を有し、その周期性構造が、ターゲット粗ピッチを呈する周期反復性ターゲット要素群を有し、
    各ターゲット要素が、前記計測方向に沿い周期性を呈すると共に、ターゲット細ピッチを呈する周期反復性ターゲット副要素群を有し、それらターゲット副要素のCDに変動があり、前記ターゲット粗ピッチがそのターゲット細ピッチの整数倍である計量ターゲット。
  5. 請求項3又は4に記載の計量ターゲットを少なくとも1個備えるウェハ。
  6. 請求項3又は4に記載の計量ターゲット少なくとも1個のターゲットデザインファイル。
  7. 請求項3又は4に記載の計量ターゲット複数個の計量オーバレイ計測。
  8. 計測方向沿い周期性構造を有し、その周期性構造が、粗ピッチを呈する周期反復性要素群を有する計量ターゲットであって、各要素が、その計測方向に沿い周期性を呈すると共に、細ピッチを呈し同一CDを呈する周期反復性副要素群を有し、それら副要素が、当該計測方向に対し垂直な直交方向沿い周期性構造により切られ周期反復性切れ目を呈している計量ターゲット。
  9. 請求項8に記載の計量ターゲットであって、前記細ピッチが最小デザインルールピッチの1〜2倍である計量ターゲット。
  10. 請求項8に記載の計量ターゲットであって、前記細ピッチが最小デザインルールピッチの2倍である計量ターゲット。
  11. 請求項8〜10のうちいずれか一項に記載の計量ターゲットを少なくとも1個備えるウェハ。
  12. 請求項8〜10のうちいずれか一項に記載の計量ターゲット少なくとも1個のターゲットデザインファイル。
  13. 計測方向沿い周期性構造を有する計量ターゲットデザインに、その計測方向に対し垂直で非分解直交方向ピッチを呈する直交方向沿い周期性構造を導入し、且つ
    前記計量ターゲットデザインをリソグラフィレティクル上で用い、計測方向のみに沿い周期性構造を有する構成設定可能な計量ターゲットを作成する、
    方法。
  14. 請求項13に記載の方法であって、更に、前記計量ターゲットデザインを適宜構成することで、粗ピッチを呈する周期反復性要素群を周期性構造に具備させ、各要素を、その計測方向に沿い周期性を呈すると共に、細ピッチを呈する周期反復性副要素群を有するものとし、またそれら副要素のCDを変動させ、且つその粗ピッチをその細ピッチの整数倍とする方法。
  15. 請求項13又は14に記載の方法であって、更に、直交方向沿い周期性構造の諸要素の幅を構成設定することでターゲット印刷適性を最適化する方法。
  16. 請求項15に記載の方法であって、更に、前記計量ターゲットデザインから作成された計量ターゲット群からオーバレイ計量計測結果を導出する方法。
  17. 粗ピッチを呈する周期反復性要素群を有する計測方向沿い周期性構造であり、各要素が、その計測方向に沿い周期性を呈すると共に、細ピッチを呈する同一CDの周期反復性副要素群を有するものを、プロセス層上に作成し、且つ
    前記計測方向に対し垂直な直交方向沿い周期性構造によりそれら副要素を切って周期反復性切れ目を持たせる、
    方法。
  18. 請求項17に記載の方法であって、更に、最小デザインルールの1〜2倍の細ピッチを呈する複数個の作成済み計量ターゲットをオーバレイ計測ツールにより計測して用い、スキャナ収差を計測する方法。
  19. 請求項17に記載の方法であって、更に、多重パターニング手順の相異なるステップに関わる周期的なセルを、作成される計量ターゲット内に含めることで、ピッチウォークを計測する方法。
JP2019567284A 2017-06-06 2017-06-06 レティクル最適化アルゴリズム及び最適ターゲットデザイン Pending JP2020529621A (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2022068387A JP7378530B2 (ja) 2017-06-06 2022-04-18 計量ターゲットデザイン及び方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/US2017/036219 WO2018226215A1 (en) 2017-06-06 2017-06-06 Reticle optimization algorithms and optimal target design

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2022068387A Division JP7378530B2 (ja) 2017-06-06 2022-04-18 計量ターゲットデザイン及び方法

Publications (1)

Publication Number Publication Date
JP2020529621A true JP2020529621A (ja) 2020-10-08

Family

ID=64458803

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2019567284A Pending JP2020529621A (ja) 2017-06-06 2017-06-06 レティクル最適化アルゴリズム及び最適ターゲットデザイン
JP2022068387A Active JP7378530B2 (ja) 2017-06-06 2022-04-18 計量ターゲットデザイン及び方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2022068387A Active JP7378530B2 (ja) 2017-06-06 2022-04-18 計量ターゲットデザイン及び方法

Country Status (6)

Country Link
US (1) US10754261B2 (ja)
EP (1) EP3639166A4 (ja)
JP (2) JP2020529621A (ja)
KR (1) KR102447611B1 (ja)
TW (1) TWI805587B (ja)
WO (1) WO2018226215A1 (ja)

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH09167731A (ja) * 1995-12-14 1997-06-24 Mitsubishi Electric Corp 投影露光装置、収差評価用マスクパタン、収差量評価方法、収差除去フィルター及び半導体装置の製造方法
JPH1167631A (ja) * 1997-08-18 1999-03-09 Mitsubishi Electric Corp 重ね合わせマークおよびこの重ね合わせマークを使用した半導体装置の製造方法
JP2005518107A (ja) * 2002-02-15 2005-06-16 ケーエルエー−テンカー テクノロジィース コーポレイション オーバレイ計測および制御方法
JP2008311645A (ja) * 2007-06-13 2008-12-25 Asml Netherlands Bv インスペクション方法および装置、リソグラフィ装置、リソグラフィ処理セル、ならびにデバイス製造方法
US20160062231A1 (en) * 2014-08-26 2016-03-03 Semiconductor Manufacturing International (Shanghai) Corporation Photolithographic mask and fabrication method thereof
US20160266505A1 (en) * 2015-01-30 2016-09-15 Kla-Tencor Corporation Device metrology targets and methods
JP2017511504A (ja) * 2014-03-31 2017-04-20 ケーエルエー−テンカー コーポレイション スキャタロメトリ計測法を用いた焦点測定
US20170146810A1 (en) * 2015-05-19 2017-05-25 Kla-Tencor Corporation Self-Moire Target Design Principles for Measuring Unresolved Device-Like Pitches
US20170146915A1 (en) * 2015-05-19 2017-05-25 Kla-Tencor Corporation Topographic Phase Control For Overlay Measurement

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
AU2003300005A1 (en) * 2003-12-19 2005-08-03 International Business Machines Corporation Differential critical dimension and overlay metrology apparatus and measurement method
JP4758358B2 (ja) * 2004-01-29 2011-08-24 ケーエルエー−テンカー コーポレイション レチクル設計データにおける欠陥を検出するためのコンピュータに実装される方法
JP4904034B2 (ja) * 2004-09-14 2012-03-28 ケーエルエー−テンカー コーポレイション レチクル・レイアウト・データを評価するための方法、システム及び搬送媒体
KR101565071B1 (ko) * 2005-11-18 2015-11-03 케이엘에이-텐코 코포레이션 검사 데이터와 조합하여 설계 데이터를 활용하는 방법 및 시스템
WO2010040696A1 (en) * 2008-10-06 2010-04-15 Asml Netherlands B.V. Lithographic focus and dose measurement using a 2-d target
WO2012022584A1 (en) * 2010-08-18 2012-02-23 Asml Netherlands B.V. Substrate for use in metrology, metrology method and device manufacturing method
JP5882590B2 (ja) * 2011-02-28 2016-03-09 キヤノン株式会社 光学式エンコーダおよび光学式エンコーダを有する装置
US20130328155A1 (en) * 2012-06-07 2013-12-12 Toshiba America Electronic Components, Inc. Generation of additional shapes on a photomask for a multiple exposure process
US8908181B2 (en) 2012-06-28 2014-12-09 Taiwan Semiconductor Manufacturing Company, Ltd. Overlay mark and method of measuring the same
WO2014194095A1 (en) * 2013-05-30 2014-12-04 Kla-Tencor Corporation Combined imaging and scatterometry metrology
WO2015080858A1 (en) 2013-12-01 2015-06-04 Kla-Tencor Corporation Target element types for process parameter metrology
CN105814492B (zh) * 2013-12-13 2018-06-15 Asml荷兰有限公司 检查设备和方法、光刻系统和器件制造方法
US9594862B2 (en) * 2014-06-20 2017-03-14 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating an integrated circuit with non-printable dummy features
US10228320B1 (en) 2014-08-08 2019-03-12 KLA—Tencor Corporation Achieving a small pattern placement error in metrology targets
WO2016134954A1 (en) * 2015-02-23 2016-09-01 Asml Netherlands B.V. Device manufacturing method and patterning devices for use in device manufacturing method
US10579768B2 (en) 2016-04-04 2020-03-03 Kla-Tencor Corporation Process compatibility improvement by fill factor modulation

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH09167731A (ja) * 1995-12-14 1997-06-24 Mitsubishi Electric Corp 投影露光装置、収差評価用マスクパタン、収差量評価方法、収差除去フィルター及び半導体装置の製造方法
JPH1167631A (ja) * 1997-08-18 1999-03-09 Mitsubishi Electric Corp 重ね合わせマークおよびこの重ね合わせマークを使用した半導体装置の製造方法
JP2005518107A (ja) * 2002-02-15 2005-06-16 ケーエルエー−テンカー テクノロジィース コーポレイション オーバレイ計測および制御方法
JP2008311645A (ja) * 2007-06-13 2008-12-25 Asml Netherlands Bv インスペクション方法および装置、リソグラフィ装置、リソグラフィ処理セル、ならびにデバイス製造方法
JP2017511504A (ja) * 2014-03-31 2017-04-20 ケーエルエー−テンカー コーポレイション スキャタロメトリ計測法を用いた焦点測定
US20160062231A1 (en) * 2014-08-26 2016-03-03 Semiconductor Manufacturing International (Shanghai) Corporation Photolithographic mask and fabrication method thereof
US20160266505A1 (en) * 2015-01-30 2016-09-15 Kla-Tencor Corporation Device metrology targets and methods
US20170146810A1 (en) * 2015-05-19 2017-05-25 Kla-Tencor Corporation Self-Moire Target Design Principles for Measuring Unresolved Device-Like Pitches
US20170146915A1 (en) * 2015-05-19 2017-05-25 Kla-Tencor Corporation Topographic Phase Control For Overlay Measurement

Also Published As

Publication number Publication date
EP3639166A4 (en) 2021-02-24
KR102447611B1 (ko) 2022-09-26
US10754261B2 (en) 2020-08-25
WO2018226215A1 (en) 2018-12-13
KR20200005682A (ko) 2020-01-15
TWI805587B (zh) 2023-06-21
CN110741374A (zh) 2020-01-31
EP3639166A1 (en) 2020-04-22
TW201903517A (zh) 2019-01-16
JP2022087346A (ja) 2022-06-09
US20180348648A1 (en) 2018-12-06
JP7378530B2 (ja) 2023-11-13

Similar Documents

Publication Publication Date Title
JP5078543B2 (ja) 階層opcのための局所的な色付け
US7172838B2 (en) Chromeless phase mask layout generation
US8849008B2 (en) Determining calibration parameters for a lithographic process
CN106164733B (zh) 使用散射术计量的焦点测量
US10228320B1 (en) Achieving a small pattern placement error in metrology targets
CN103370654B (zh) 用于印刷高分辨率二维周期性图案的方法和装置
US8214775B2 (en) System for determining repetitive work units
CN101836161B (zh) 用于确定掩模图案和写图案的技术
JPH1083064A (ja) 光学式近接補正方法およびシステム
TWI726163B (zh) 以繞射為基礎之聚焦度量
JP2009200499A (ja) 二重パターニングのための分割および設計指針
US8498469B2 (en) Full-field mask error enhancement function
US9348964B2 (en) MASK3D model accuracy enhancement for small feature coupling effect
US20070198964A1 (en) Multi-dimensional analysis for predicting RET model accuracy
JP6952711B2 (ja) ターゲットデザイン方法、製造方法及び計量ターゲット
KR102424805B1 (ko) 핫 스폿 및 프로세스 창 모니터링
JP5988569B2 (ja) 決定方法、決定装置およびプログラム
JP2022087346A (ja) 計量ターゲットデザイン及び方法
KR102381168B1 (ko) 비대칭 수차의 추정
CN110741374B (zh) 光罩优化算法及最优目标设计
Pang et al. Model-based MPC enables curvilinear ILT using either VSB or multi-beam mask writers
GB2375403A (en) Optical proximity correction
Puthankovilakam et al. Unified rule based correction for corners in proximity lithography mask using high resolution features
KR20230066471A (ko) 보조 피처들을 이용하여 디지털 리소그래피를 위한 프로세스 윈도우 및 해상도를 개선하기 위한 방법들
Hamaker Extending a multi-beam laser writer for optical and EUV masks

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20200602

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20210422

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20210518

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210813

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20211221