JP2005518107A - オーバレイ計測および制御方法 - Google Patents

オーバレイ計測および制御方法 Download PDF

Info

Publication number
JP2005518107A
JP2005518107A JP2003570292A JP2003570292A JP2005518107A JP 2005518107 A JP2005518107 A JP 2005518107A JP 2003570292 A JP2003570292 A JP 2003570292A JP 2003570292 A JP2003570292 A JP 2003570292A JP 2005518107 A JP2005518107 A JP 2005518107A
Authority
JP
Japan
Prior art keywords
target
overlay
targets
manufacturing
error
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP2003570292A
Other languages
English (en)
Inventor
アデル・マイク
ギノブカー・マーク
カッセル・エリヤキム
ゴロヴァネブスキイ・ボリス
ロビンソン・ジョン・シー.
マック・クリス
ポップラウスキ・ジョージ
イジクソン・パベル
プレイル・モシェ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
KLA Corp
Original Assignee
KLA Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by KLA Corp filed Critical KLA Corp
Publication of JP2005518107A publication Critical patent/JP2005518107A/ja
Withdrawn legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • G03F9/70Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically for microlithography
    • G03F9/7003Alignment type or strategy, e.g. leveling, global alignment
    • G03F9/7019Calibration
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/70516Calibration of components of the microlithographic apparatus, e.g. light sources, addressable masks or detectors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • G03F9/70Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically for microlithography
    • G03F9/7003Alignment type or strategy, e.g. leveling, global alignment
    • G03F9/7007Alignment other than original with workpiece
    • G03F9/7011Pre-exposure scan; original with original holder alignment; Prealignment, i.e. workpiece with workpiece holder

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Length Measuring Devices By Optical Means (AREA)
  • Length Measuring Devices With Unspecified Measuring Means (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)

Abstract

【課題】 半導体プロセス中に形成されたデバイス構造のオーバレイ誤差を決定するオーバレイ方法を提供する。
【解決手段】 本オーバレイ方法は、与えられたプロセス条件のセットについて、第1位置における第1ターゲットの前記オーバレイ誤差を第2位置における第2ターゲットの前記オーバレイ誤差に関連付けるオーバレイ情報を含む較正データ(30)を作ることを含む。本オーバレイ方法はまた、前記デバイス構造で製造された製造ターゲットに関連付けられたオーバレイ情報を含む製造データを作ることを含む。本オーバレイ方法はさらに 前記較正データに基づいて前記製造ターゲットの前記オーバレイ誤差を補正すること(34)によって、前記フィールド内のその位置における前記デバイス構造の真のオーバレイ誤差をよりよく反映させることを含む。

Description

本発明は、オーバレイの計測法および「ユースケース」として制御を実行することに関する。
集積回路の製造で用いられるリソグラフィツールは現れてしばらく経つ。そのようなツールは、製品中の非常に微細な詳細の正確な製造および形成に非常に効果的であることが証明されている。たいていのリソグラフィツールにおいて、光ビームを介してパターンを転写することによって回路イメージは基板上に書かれる。例えば、リソグラフィツールは、回路イメージをレチクルを通して、フォトレジストでコーティングされたシリコンウェーハ上に投射する光源を含みえる。露光されたフォトレジストは典型的には、例えば、堆積および/またはエッチングのように、後続の処理ステップ中でウェーハのレイヤをマスクするパターンを形成する。一般に知られるように材料は、堆積中にウェーハ上に堆積され、エッチング中に材料はウェーハのレイヤから選択的に除去される。
ウェーハ上の連続するパターン付きレイヤ群間のオーバレイの測定は、集積回路およびデバイスの製造で用いられる最もクリチカルなプロセスコントロール技術のうちの一つである。オーバレイは一般に、どのように第1パターン付きレイヤを、その上または下に配置された第2パターン付きレイヤに対して正確にアラインさせるかの決定に関する。現在は、オーバレイ測定は、ウェーハのレイヤと共にプリントされるターゲットを介して実行される。ふつう用いられるオーバレイターゲットパターンは、「ボックスインボックス」ターゲットであり、これはウェーハの連続するレイヤ上に形成される同心状正方形(またはボックス)のペアを含む。オーバレイ誤差は、一方の正方形の位置を他方の正方形と比較することによって一般に決定される。これは2つの正方形の相対的変位を測定するオーバレイ計測ツールで達成されえる。
議論を進めるために、図1は、典型的な「ボックスインボックス」ターゲット2の上面図である。示されるように、ターゲット2は、中空の(open-centered)外側ボックス6内に配置された内側ボックス4を含む。内側ボックス4は、ウェーハのトップレイヤ上にプリントされ、外側ボックス6はウェーハのトップレイヤの直接、下にプリントされる。一般によく知られるように、例えばx軸に沿った2つのボックス間のオーバレイ誤差は、外側ボックス6のラインc1およびc2のエッジの位置、および内側ボックス4のラインc3およびc4のエッジの位置を計算し、それからラインc1およびc3間の平均距離を、ラインc2およびc4間の平均距離と比較することによって決定される。c1およびc3平均距離およびc2およびc4平均距離の間の差の半分がその点におけるオーバレイ誤差(x軸に沿った)である。よってもしラインc1およびc3間の平均距離がラインc2およびc4間の平均距離と等しいなら、対応するオーバレイ誤差はゼロになる傾向にある。記載されないが、y軸に沿った2つのボックス間のオーバレイ誤差も上記手法を用いて決定されえる。
たいていのオーバレイ測定は、フォトレジストが現像された直後に実行される、すなわち露光されてオーバレイパターンをフォトレジスト中に残す部分においてフォトレジストが現像され取り除かれた後に実行される。場合によってはオーバレイ測定は、オーバレイ誤差を所望の限度内に収めるためにプロセスを補正するよう用いられる。例えば、オーバレイ測定は、補正可能なものおよび他の統計を計算する分析ルーチンに与えられえ、これらはツールをよりよくアラインさせてウェーハ処理が所望の限度内で進行することを可能にするために操作者および/またはリソグラフィツールによって用いられる。もしオーバレイ誤差が大きすぎるなら、分析結果はウェーハがリワークされるべきであること、すなわちレジストを剥がす、すなわち除去しそのレイヤをやり直すべきことを示しえる。領域ワーキングは典型的には高価で、かつ望ましくなく、しかしながらウェーハを全部、廃棄するよりはよい。オーバレイ測定は、フォトレジストが存在しないエッチングのような処理ステップの後にも実行されえる。この場合、リワークは不可能であるが、追加情報は全体のプロセスのさらに細かい調整を助ける。
典型的な半導体プロセスは、ロットによるウェーハ処理を含む。ロットは、一緒に処理される、典型的には25ウェーハを含む。ロット中のそれぞれのウェーハは、リソグラフィ処理ツール(例えばステッパ、スキャナなど)からの多くの露光フィールドを含む。それぞれの露光フィールド内には典型的には1から多くのダイがありえる。ダイは、最終的には単一のチップになる機能的ユニットである。製品ウェーハ上では、オーバレイ計測マークが典型的にはスクライブライン領域内(例えばフィールドの四隅内)に配置される。これは、露光フィールドの周辺近傍(かつダイの外側)における典型的には回路がない領域である。ときにはオーバレイターゲットは、フィールドの周辺ではないがダイの間の領域であるストリートに配置される。オーバレイターゲットが製品ウェーハ上のプライムダイ領域内に配置されるのはかなりまれなことであり、なぜならこの領域は回路のために非常に必要とされるからである。しかしエンジニアリングおよび特性決定ウェーハ(製品ウェーハではない)は典型的には多くのオーバレイターゲットをフィールドの中央全体に有し、そのような制限は伴わない。「スクライブライン」計測マークおよびプライムダイ回路間の空間的距離のために、製品ウェーハ上では測定されるものと最適化されるべきものとの間に不一致が生じることがある。スクライブライン計測マークおよびそれらの解釈の両方の発展が必要とされる。
残念ながら発展したデザインルールの集積回路をパターンニングするとき、リソグラフィツールの光学的特性は、オーバレイおよび微小寸法パフォーマンスに強い影響を有する。特に重要なのは、リソグラフィレンズシステムの光学収差である。今日、これら光学収差を定量的に測定するのに用いられる方法の多くの例が存在する。それぞれわずかに異なる物理的原理に基づくこれらの3つの例としては、“In Situ Measurement of Lens Aberrations”, N. R. Farrar, Hewlet-Packard Co.; A. H. Smith, Litel Instruments; D. R. Busath, KLA-Tencor Corp. [4000-03], March 2000, Proceedings of SPIE Vol. 4000, Optical Microlithography XIIIに記載されたLitelレチクル概念、SPIE vol. 3679 (1999) p. 77-86 “Novel Aberration Monitor for Optical Lithography” Peter Dirksen et al.に記載されたArtemis概念、およびOptical Review No. 8 Vol. 4 (2001) p. 227-234 “Measurement of Wavefront Aberrations in Lithographic Lenses with an Optical Inspection Tool,” Hiroshi Nomuraに記載された位相シフト格子がある。いずれの場合も分析ツールの出力は、典型的にはゼルニケ多項式係数について与えられ、これは、球面収差、非点収差、およびコマ収差のような光学的に意味のある項で簡単に解釈されえる形式でリソグラフィレンズの出口瞳にわたる誘導された位相誤差を正確に記述しえる。
これら収差記述子は、レンズシステムの質についての定量的基準として一般に受け入れられているが、オーバレイに、より具体的にはパターン配置誤差に及ぼす影響を定量的に評価することは少なからず問題である。このような計算は、露光ツール照射コンフィギュレーション、波長、開口数およびパターン形状のような他のプロセスパラメータの詳細な知識を必要とする。今日、ふつうであるボックスインボックスを用いる従来のオーバレイ計測においては、計測の正確さに及ぼす露光ツールの光学的収差の影響およびオーバレイ制御のためのこれら計測結果の適切な使用は全く考慮に入れられない。
従来のオーバレイターゲットは、大きな開放領域および大きなフィーチャサイズ(feature sizes)によって特徴付けられ、これはそれらが表現しようとしているトランジスタとは非常に異なる。今日の半導体プロセスは、トランジスタおよび回路フィーチャサイズおよびピッチだけを最適化するべく設計されている。したがって従来のオーバレイマークは、トランジスタと同じような収差には敏感ではない。
しかし最近の発見には、この問題を、よりデバイスを表現する(つまりデバイスのような)かつプロセスにロバストなボックスインボックスターゲットを作ることによって扱おうとするものもある。説明の簡単のために、「デバイスを表現する」ターゲットは、トランジスタの特定のサイズおよびピッチと同じ収差に敏感であるターゲットとして定義されえる。また大きい開放スペースは、CMP研磨および堆積のような他のプロセス領域(リソグラフィ以外)の悪影響を受けやすい。さらに「プロセスロバストな」ターゲットは、これら副次的プロセスによって悪影響を受けないターゲットとして定義されえる。これら2つの語は本発明の説明においてより詳細に定義される。
例として、「ボックスインボックス」ターゲットは、「ボックスインボックス」ターゲットおよび「バーインバー」ターゲットを形成するよう変更されてきている。これらターゲットの両方とも、「ボックスインボックス」ターゲットと同じ大まかな概観を有する。「ボックスインボックス」ターゲットにおいては、「ボックスインボックス」ターゲットの外側ボックスは、複数の平行なバーに分けられる。「バーインバー」ターゲットにおいては、「ボックスインボックス」ターゲットの外側および内側ボックスの両方は、複数の平行バーに分けられる。さらに最近では、集積回路のデザインルールに匹敵するフィーチャを作った分離されたバーの導入がある。例えばSatoらによる米国公開特許第2001 0055720号を参照されたい。この方法は、ボックスインボックススクライブライン構造およびデバイス構造そのものにおけるオーバレイの間の不一致を低減するのにある程度の可能性を持つが、やはり欠点もある。
一つとして、それらのターゲットの数は典型的には限定され、ウェーハ上の特定の場所に限定されるので、露光フィールドにわたってリソグラフィツールの収差が変化するという事実のために補償することはできない。理解されるように、その面積は非常に高価である、すなわちウェーハ上のほとんどのスペースはダイのために予約されているという事実のために、ウェーハ上の利用可能なスペースは厳しく制限されている。たいていの場合、ターゲットは、露光フィールドの周辺におけるスクライブライン内に典型的には位置し、すなわちウェーハからダイをダイシングするために用いられるダイ間のスペースである。さらに、スクライブライン内のターゲットの個数は典型的には4つに限られ、フィールドのそれぞれの角に一つずつである。理解されるように、もしフィールドの周辺の4つの場所だけしかサンプリングされないなら、すなわちもしフィールドの四隅だけしか測定しないなら、他の任意の点については知識がなく、オーバレイがフィールドにわたってどのように振る舞うかを正確に決定するのは難しい。すなわちスクライブラインのような周辺位置において行われるオーバレイ測定は、ダイ内のデバイスフィーチャの真のオーバレイを必ずしも表現しないが、これはレンズの収差がリソグラフィツールの露光フィールドにわたって変化するからである。例としてHarry J. Levinson らによるSPIE vol. 3051 (1997) p. 362-373“Minimization of Total Overlay Errors on Product Wafers Using an Advanced Optimization Scheme”を参照されたい。
さらに、ターゲットは、プロセスのためには典型的には最適化されず、したがってターゲットの微細構造は、計測ツールによって測定されるとき、プロセスによって引き起こされたバイアスからの悪影響を受けえる。理解されるように、新しいプロセスが微小電子回路の製造において導入されるたびにそのターゲットにはなんらかの影響がある。ターゲットを測定する能力は、計測ツールの画像獲得顕微鏡におけるその可視性つまりコントラストに依存する。スパッタリングのようなある種のプロセスは、コントラストを減らす傾向にあり、よって精密さに影響を与える。化学機械研磨(CMP)のような他のプロセスは、ターゲットをぼかしたり歪ませたりする傾向にあり、よって正確さに影響を与える。またこれらプロセスは、ターゲットフィーチャを非対称にしたり、元々パターンニングされたトレンチまたはラインの中心に対して、ターゲットフィーチャの中心を見かけ上空間変換を及ぼしたりする。
さらにボックスインボックスおよび関連するターゲットは非対称であり、すなわち内側ボックスはより小さく、外側ボックスはより大きく、したがってそれぞれは光学的計測ツールの瞳を異なるようにサンプリングする。さらに、ボックスインボックスおよび関連するターゲットは、計測目的では利用可能なスクライブラインのスペースを充分に利用しない。すなわち、それらは、オーバレイ計測ツールによって正確に捕捉されるために、互いに空間的に分離される必要がある、すなわちもし分離されないなら、計測ツールは間違ったターゲットを測定するリスクを冒すという事実のためにスペースを占有する。さらに、ボックスインボックスおよび関連するターゲットは、それと共にプリントされる実際のデバイスに比べて大きく厄介であり、したがってそのオーバレイ測定に基づく補正値(correctables)は理想的な補正値ではないかもしれない。例えば、補正値は、理想的にはウェーハをリワークするほうが好ましいときに、ステッパをアラインさせるために補正がなされえることを示しえる。さらに、オーバレイ測定はウェーハ上のいくつかの点においてだけ実行されるので、フィールドにわたっての点を表現しないため、補正値は最適なプロセス制御を促進しないかもしれない。
ウェーハプロセスに及ぼされる収差の影響を減らすために、ステッパマッチングのような他の測定が利用されてきた。ステッパマッチングは一般に、どのステッパがいっしょにうまく機能するかを決定すること、すなわち2つのラインが異なるステッパでプリントされるときにそれら2つのレイヤ間で最小のオーバレイ誤差が存在するようにステッパをマッチングさせることをいう。理解されるようにそれぞれのステッパには、収差および他の誤差のそれ自身の独特のクセがあり、したがってそれぞれのステッパは与えられたプロセス条件について異なるようにパターンをプリントする傾向にある。パターンを同様にプリントするステッパがマッチングされ、よって全体のプロセスにわたるこれら収差および他の誤差の影響を最小化する。たいていの場合、ステッパマッチングは、標準パターンを有するゴールデンウェーハ(golden wafer)を提供し、同じレチクルおよびプロセス条件を用いてそれぞれのステッパでゴールデンウェーハ上にパターンをプリントし、標準パターンおよびそれぞれのステッパパターン間のアライメントを比較することによって、それぞれのステッパ間の相対的差異を計算することによって実行される。もしステッパ間のアライメントが同様なら、ステッパはうまく機能する傾向にある。もしステッパ間のアライメントが異なるなら、ステッパはいっしょにうまく機能しないかもしれない。ステッパマッチングはある程度の効果を上げるが、ウェーハプロセス中には制御フィードバックを提供しない、すなわち従来のオーバレイターゲットおよびオーバレイ誤差がそれらから決定される方法に関連付けられた問題を克服できないので、理想とはいえない。
上述を鑑み、オーバレイ計測に与える収差の影響および他のプロセスの影響を分離し、定量化し、および/または最小化できる方法が望まれる。さらにリソグラフィツールオーバレイ制御(例えば補正値)または製品ロットの対応(例えばリワーク)のいずれかについての最も正確な可能なフィードバックをリソグラフィセルに提供する、シナリオに特定の方法でオーバレイ情報を利用できる方法が望まれる。
ある実施形態において本発明は、半導体プロセス中に形成されたデバイス構造のオーバレイ誤差を決定するオーバレイ方法に関する。このオーバレイ方法は、与えられたプロセス条件のセットについて、第1位置における第1ターゲットの前記オーバレイ誤差を第2位置における第2ターゲットの前記オーバレイ誤差に関連付けるオーバレイ情報を含む較正データを作ることを含む。このオーバレイ方法は、さらに前記デバイス構造で製造された製造ターゲットに関連付けられたオーバレイ情報を含む製造データを作ることを含む。このオーバレイ方法は、さらに前記較正データに基づいて前記製造ターゲットの前記オーバレイ誤差を補正することによって、前記フィールド内のその位置における前記デバイス構造の真のオーバレイ誤差をよりよく反映させることを含む。
本発明は、他の実施形態においてオーバレイ処理方法に関する。この方法はプロセスロバストターゲットを提供することを含む。この方法はまた前記プロセスロバストターゲットの1つ以上と共に基板上にデバイス構造を形成することを含む。この方法はさらに、 前記1つ以上のプロセスロバストターゲットの前記オーバレイ誤差を計測することを含む。この方法はさらに前記1つ以上のプロセスロバストターゲットに関連付けられた較正データを受け取ることを含む。この方法は、さらに前記計測されたオーバレイ誤差および前記較正データに基づいて前記フィールド内のその位置における前記デバイス構造の前記オーバレイ誤差を予測することを含む。
本発明は、他の実施形態においては較正方法に関する。この較正方法は、複数のオーバレイターゲットパターンを有する1つ以上の特徴付けレチクルを提供することを含む。この較正方法はまた、前記オーバレイターゲットパターンを較正ウェーハ上に転写することを含む。この較正方法は、さらに前記較正ウェーハ上の前記オーバレイターゲットの前記オーバレイ誤差を計測することを含む。この較正方法はさらに前記計測されたオーバレイターゲットの前記オーバレイ誤差を互いに対して較正することを含む。
本発明は、他の実施形態においてオーバレイ補正分析を実行する方法に関する。この方法は、較正データを提供することを含む。この方法はまた前記スクライブライン内に位置するプロセスロバストターゲットのオーバレイ誤差を決定することを含む。この方法は前記スクライブライン内に位置する仮想デバイス表現ターゲットの前記オーバレイ誤差を前記プロセスロバストターゲットの前記オーバレイ誤差および前記較正データに基づいて決定することをさらに含む。この方法はさらに前記フィールド内のある点に位置する第2仮想デバイス表現ターゲットの前記オーバレイ誤差を前記第1仮想デバイス表現ターゲットの前記オーバレイ誤差および前記較正データに基づいて決定することを含む。
本発明は、他の実施形態においてダイ内に位置するデバイス構造のオーバレイ誤差を決定する方法に関する。この方法は、前記ダイの周辺のスクライブライン内に位置するプロセスロバストターゲットを計測することを含む。この方法はまた、前記計測されたプロセスロバストターゲットを前記スクライブライン内に位置する仮想デバイス表現ターゲットに変換することを含む。この方法はさらに、前記仮想デバイス表現ターゲットを前記ダイ内に位置する第2仮想デバイス表現ターゲットに変換することを含む。この方法はさらに、前記第2仮想デバイス表現ターゲットの前記オーバレイ誤差を計算することを含む。
本発明は他の実施形態においてオーバレイをモニタする方法に関する。この方法は、 オーバレイ較正データを作るよう構成された較正モードを含む。前記較正モードは、1つ以上のテストダイを1つ以上のテストウェーハ上に形成すること、前記テストダイは複数の較正ターゲットを含み、および前記較正ターゲットを計測することを含む。この方法はまた製造モードを含む。この製造モードは、1つ以上の製造ダイを製造ウェーハ上に形成すること、前記製造ダイは1つ以上のデバイス構造および1つ以上の製造ターゲットを含み、前記製造ターゲットを計測すること、および前記製造計測を前記較正計測と比較することによって特定のデバイス位置における特定のデバイス構造の前記オーバレイ誤差を決定することを含む。
本発明は例示的に示されるのであって、限定的に示されるのではない。
本発明は、オーバレイ測定法および「ユースケース」としての制御を実行する方法に大きくは関する。本発明のある局面は、プロセスに寛容な測定マークを作る方法およびそのようなマークをオフラインでデバイス構造に較正する方法に関する。本発明の他の局面は、レンズの組み合わせおよびデバイス形状によるオフセットを、プロセスによって引き起こされたオフセットから分離する方法に関する。本発明の他の局面は、デバイスに類似し、プロセスロバストまたは耐性のあるマーク(process robust or tolerant marks)を、同一のウェーハ上に設け、それによりそれらの間のオフセットが特徴付けられえるようにする較正方法に関する。本発明の他の局面は、プロセスロバストまたは耐性のある製造方法に関する。本発明の他の局面は、プロセスロバストまたは耐性のあるマークを用いる方法および較正フェーズで測定されたプロセス−デバイスシフトを補償する方法に関する。本発明の他の局面は、デバイスへのプロセス耐性(例えば位置の関数としてのオフセット)を知ることによって、またはシミュレーションを用いてオフセットの根本的原因を理解することによって任意のタイプの構造についてダイ内の任意の点における真のデバイスオーバレイを予測する方法に関する。本発明の他の局面は、シミュレーションを用いてフィールド全体のマップを作る方法に関し、このマップは限られた個数の測定点を使って実際のインデバイスのオーバレイをレンズフィールド内の任意の点について計算する。本発明の他の局面は、全体のレンズフィールドにわたる収差で導かれた差異をマッピングする方法、およびそのマッピングを較正ウェーハ上で走らせる方法に関する。本発明の他の局面は、格子または他の任意のタイプのターゲットを任意の上述の方法において用いる方法に関する。これらおよび他の局面はより詳細に後述される。
本発明は、添付図面において示されるようにそのいくつかの好ましい実施形態を参照して詳細に記載される。以下の記載において多くの具体的な詳細が述べられるが、これは本発明を完全に理解するためである。しかし本発明はこれら具体的な詳細の一部または全てがなくても実施できることが当業者には明らかだろう。あるいはよく知られたプロセスステップは本発明の趣旨を不必要にぼかさないために詳細には記載されていない。
図2は、本発明のある実施形態によるオーバレイ方法10の図である。一般にオーバレイ方法10は、リソグラフィプロセス(例えばフォトリソグラフィ)のあいだに形成されるデバイス構造のオーバレイ誤差を決定するよう構成される。決定されたオーバレイ誤差は、後続のリソグラフィパターニングの制御を改良するために、またリソグラフィパターンの質が特定の要件に合うかを決定するために用いられえる。この方法は、例えば半導体製造、光学デバイス製造、微小機械デバイス製造、磁気記録データ記憶製造などに関するフォトリソグラフィプロセスのようなさまざまなフォトリソグラフィプロセスに適しえる。この方法は、上述のプロセスのいずれにも用いられえるが、ここで記載された示された実施形態は半導体製造に関する。よってこの実施形態においてはデバイス構造は、バイア、トレンチ、ラインなどに対応しえる。
簡単にはオーバレイ誤差は、第1パターン付きレイヤが、その上または下に配置された第2パターン付きレイヤにどのくらい正確にアラインするかの決定に、および第1パターン付きレイヤが、同じレイヤ上に配置された第2パターン付きレイヤにどのくらい正確にアラインするかの決定に大きくは関する。オーバレイ誤差は、ワークピース(例えば半導体ウェーハ)の1つ以上のレイヤ上に形成される構造を有するオーバレイターゲットを用いて典型的には決定される。構造は周期的でありえ、またはそれらはボックスインボックスおよび関連するターゲットの構造に基づきえる。もし2つのレイヤまたはパターンが適切に形成されるなら、あるレイヤ上の構造またはパターンは、他のレイヤまたはパターン上の構造に対してアラインされる傾向にある。もし2つのレイヤまたはパターンが適切に形成されていないなら、あるレイヤ上の構造は、他のレイヤまたはパターン上の構造に対してオフセットまたはミスアラインされる傾向にある。
図2を参照して、この方法は、較正ブロック12、製造ブロック14および補正ブロック16を大きくは含む。較正ブロック12は、製造ブロック14の前に一般に実現され(例えば前処理)、一方、補正ブロック16は、製造うブロック14の後に一般に実現される(例えば後処理)。
較正ブロック12は、与えられたプロセス条件のセットについて、第1位置における第1ターゲットのオーバレイ誤差を、第2位置における第2ターゲットのオーバレイ誤差に関連付ける、オーバレイ誤差に関するオーバレイ情報を含む較正データを作るよう構成される。較正とは一般に、ターゲット間で作られる関係を意味し、すなわちオーバレイ誤差は互いに対して較正される。例えば較正されたオーバレイ情報は、第1ターゲットのオーバレイ誤差および第2ターゲットのオーバレイ誤差間の相対的差異を示す情報を含みえ、すなわちこの差異は特定され、その後、互いについて特徴付けられる。差異は、以下に限定されないが、リソグラフィシステムのレンズの収差、リソグラフィシステムのレンズの歪み、リソグラフィシステムの機械的誤差、レチクルの誤差など、さまざまなファクタによって引き起こされえる。第1および第2位置は大きく変わりえる。例えばターゲットは、フィールド内部および外部のどこにでも配置されえる。しかし多くの場合、第1位置は典型的なターゲット位置(例えばスクライブライン内)に対応し、第2位置はデバイス構造(例えばフィールド)の典型的な位置に対応する。オーバレイ情報は、将来、使用するためにオーバレイデータとして直接に、または数学的係数としてパラメータ化されてライブラリ内に一般には記憶される。
製造ブロック14は、製造のあいだに形成されるターゲットに関連付けられたオーバレイ情報を含む製造データを作るよう構成される。ターゲットの位置および構成は、較正ブロック12において用いられる第1ターゲットに同様でありえる。ターゲットは、上述の同様のプロセス条件のセットを用いたデバイス構造で形成される。デバイス構造は較正ブロック12内で用いられる第2ターゲットの位置の近傍に典型的には位置する。理解されるように、製造においては、デバイス構造のオーバレイ誤差は、簡単には決定されえないので、ターゲットはデバイス構造のオーバレイ誤差を予測するのに用いられる。しかし残念ながら前述のように、デバイス構造およびターゲットの異なる形成に影響を及ぼすファクタがいろいろあるため、異なるオーバレイ誤差がありえる。例えばそれらは同じ領域に位置しないので、歪み、すなわち収差がデバイス構造およびターゲットに異なるように影響を与ええる。他の例は、デバイスおよびターゲットが近傍に位置していても、疑似プロセス(spurious processes)がターゲットとは異なるようにデバイスに影響を与えることがある。
補正ブロック16は、フィールド内のその位置における真のデバイス構造のオーバレイ誤差をよりよく反映させるために、製造ターゲットのオーバレイ誤差を補正するよう、すなわちさまざまなファクタの影響を考慮するよう構成される。従来は、オーバレイ誤差は、さまざまな点で決定されてきたが、デバイス構造のフィールド位置でなく、すなわち、オーバレイ誤差は試料にわたって同じであると仮定されていた。補正は、製造データを較正データと比較することによって一般に実現される。この比較は、製造中にデバイス構造の近傍にもし形成されたなら第2ターゲットのオーバレイ誤差がどうであったかを一般に生む(これを測定することなく)。すなわち2つの較正ターゲット間の差は既知である(これらのうちの1つは製造ターゲットの位置に対応する点に位置し、これらのうちの1つはデバイス構造の位置に対応する点に位置する)ので、これは製造ターゲットの既知のオーバレイ誤差と共に、デバイス構造の位置における製造中に形成されたであろう第2ターゲットの未知のオーバレイ誤差について解くために用いられえる、すなわち未知の変数について解くために既知の変数が用いられえる。特に、製造ターゲットのオーバレイ誤差は、製造ターゲットのオーバレイ誤差から較正中に形成される第1および第2ターゲットのオーバレイ誤差の間で見いだされるこの差を加えるかまたは引くかすることによって(直接にまたは変換によって)、製造中に形成されたデバイス構造のフィールド位置におけるオーバレイ誤差に変換されえる。理解されるように、他の場所(例えばスクライブライン)よりもデバイス構造のフィールド位置におけるオーバレイ誤差を知ることによって、プロセスはよりよく制御されえる。
デバイス構造の予測されるオーバレイ誤差をより向上させるために、ターゲットの任意のものがプロセスロバストターゲット(process robust targets)および/またはターゲットを表現するデバイスに対応しえる。プロセスロバストターゲットは、幅広いプロセス条件に耐え、製造条件下、最適パフォーマンスで測定されえるターゲットを一般に指し、すなわちプロセスはこのプロセスロバストターゲットの測定結果には少ししか影響を与えない。本質的に、プロセスロバストターゲットは、幅広いプロセス条件にわたって(例えばCMP、スパッタ、膜厚、露光)最も一貫した計測結果を与えるターゲットである。一方、デバイス表現ターゲット(device representing targets)は、与えられたプロセス条件のセットについて、製造ウェーハ上に形成された実際のデバイスに類似のオーバレイ誤差を生むターゲットを一般に指す。すなわち、デバイス表現ターゲットは、最も広い範囲のパラメータ(レンズ収差、フォーカス、露光など)にわたってデバイス構造それ自身と同様に典型的には変化する。例えば、もしデバイス構造が10nm右にシフトするなら、デバイス表現ターゲットもそうなる。
プロセスロバストターゲットおよびデバイス表現ターゲットの異なる変化形も用いられえる。例えば、較正ブロックにおいては一つのレイヤおよび/またはいくつかのレイヤ間で、プロセスロバストターゲットがプロセスロバストターゲットに対して較正されえ、プロセスロバストターゲットがデバイス表現ターゲットに対して較正されえ、および/またはデバイス表現ターゲットがデバイス表現ターゲットに対して較正されえる。ある具体的な実施形態において、較正はプロセスロバストターゲットおよびデバイス表現ターゲット間である。特に第1ターゲットは、プロセスロバストターゲットに対応し、第2ターゲットはデバイス表現ターゲットに対応する。製造ターゲットは典型的には第1較正ターゲットと同様に構成されるので、それは大まかにはプロセスロバストターゲットに対応する。これは、よりよりオーバレイ決定を提供するために一般になされる。すなわち、プロセスロバストターゲットは不要なバラツキに敏感ではないので、それは最も正確で一貫した製造中のオーバレイ情報を提供する傾向にある、すなわちそれはプロセスによって望ましくないやりかたで歪まされない。さらに、デバイス表現ターゲットはよりよくデバイスを表現するので、それはどのようにプロセスが実際のデバイス構造に影響を与えるかについて最も正確なオーバレイ情報を提供する傾向にある。
本発明のある実施形態において、第1ターゲットは、プロセスロバストターゲットに対応する、すなわちそれは、幅広いプロセス条件に耐え、それによりそれが製造条件下で最適なパフォーマンスで測定されえるように構成される。第2ターゲットはデバイス表現ターゲットに対応し、すなわち与えられたプロセス条件のセットについて製造ウェーハ上に形成された実際のデバイスと同様のオーバレイ誤差を作るように構成される。理解されるように、デバイスそれ自身は簡単に測定されえず、よってデバイス表現ターゲットは、フィールド内のデバイスの位置において何が起こっているかを模擬するために用いられえる。例えば、デバイス表現ターゲットは、実際のデバイスと同様の空間特性(サイズ、ピッチなど)を持ちえ、製造ウェーハ上に形成されるとき実際のデバイスの位置の近傍に配置されえる。
最もプロセスロバストターゲットは、前のステップにおいて一般に決定される。ある実現例において、最もプロセスロバストターゲットは、複数のプロセスロバストターゲット(例えば10個から100個)を1つ以上のウェーハにわたってさまざまなプロセス条件、およびオーバレイについてさまざまな既知のオフセットで形成し、それからプロセスロバストターゲットを測定してどのターゲットが既知のオーバレイ値に最も近いかを見ることによって、決定される。最も広い範囲のプロセス条件にわたって最も既知のオーバレイ値に近いターゲットが、最もプロセスロバストであると考えられる。ゴールは大きくは、与えられたプロセス、すなわちウェーハレイヤ、プロセス条件、ツールなどについての単一のプロセスロバストターゲットを見つけることである。
最もよくデバイス表現ターゲットも一般には前のステップで決定される。ある実現例では、最もよくデバイス表現ターゲットは、複数のデバイス表現ターゲットを1つ以上のウェーハにわたってさまざまなパラメータでプリントし、デバイス表現ターゲットを測定し、その測定結果を幅広い条件にわたってデバイス自身と比較することによって、どのデバイス表現ターゲットが理想のデバイス構造に最も近いか、すなわちどのデバイス表現ターゲットが、パラメータによってそのデバイスが変化する様子に忠実でありつづけるかを見ることによって決定される。この比較は大きく変えられえる。多くの場合、この比較は、計算シミュレーションを用いてなされる(ここで物理的プロセスはこの業界でよく知られた高度なコンピュータプログラムを介してモデリングされる)。2つの構造を比較するためには、あるいは走査電子顕微鏡(SEM)、CD−SEM、断面SEM、原子間力顕微鏡(AFM)、高解像度プロファイラ(HRP)の手法が用いられえる。ゴールは大きくは、与えられたプロセスについて、すなわちウェーハレイヤ、プロセス条件、ツールなどについて、単一のデバイス表現ターゲットを見つけることである。
較正データが作られる方法は、大きく変えられえる。例えば、シミュレーションおよび/または実験的方法を用いて作られえる。示される実施形態においては、較正されたデータは、与えられたプロセス条件のセットについて2つ以上のターゲットをテストウェーハ上のさまざまな位置に従来のウェーハ処理手法を用いて形成し、従来のオーバレイ計測ツールを用いてターゲットのオーバレイ誤差を測定し、それから与えられたプロセス条件のセットについて異なる位置における異なるターゲット間のオーバレイ誤差を相関させることによって実験的に得られる。ターゲットを形成することに関して、ターゲットは一般には、適切なフォトリソグラフィ手法を用いてテストウェーハ上にパターンニングされる。最も簡単な場合、ターゲットは、テストウェーハ(例えばレジスト/レジストウェーハ)上のフォトレジストレイヤ内に形成される。あるいはターゲットは、誘電体または金属レイヤのような他のレイヤ内に形成されえる。ターゲットを測定することに関して、オーバレイ測定は、さまざまな方法および計測ツールを用いて実行されえる。例えばこれらは、画像化、走査、散乱計測などを用いて実行されえる。さらに相関付けに関して、ターゲットは、それらの間に定格ではゼロのオーバレイを持つよう、すなわちターゲット構造間でゼロオーバレイであるよう構成されえる。よって処理中に任意の2つのターゲット間で起こる任意のオーバレイ誤差は、ウェーハのある領域においてウェーハの他の領域に対する相対シフトをプロセスが引き起こしていることの証明である。
ターゲットの位置、個数および構成は大きく変更されえる。例えばターゲットは、テストウェーハ上のほとんど任意の場所に配置されえる。ある特定の実施形態においては、少なくとも1つのターゲットは、ウェーハ上で将来、計測可能な位置に配置され、少なくとも1つの他のターゲットは、ウェーハ上でデバイス構造の将来のサイトに配置されえる。そのため、較正されたデータは、デバイス構造のフィールド位置に対応するものと共に、ウェーハの異なる領域に関連付けられたオーバレイ情報を含む。したがって、較正されたデータは、フィールド内のデバイス構造の位置におけるデバイス構造のオーバレイ誤差を決定するのに役立たせるために後のステップにおいて使用されえる。
さらにターゲットは、同様の属性を持って構成されえるか、またはそれらは実質的に異なる属性を持って構成されえる。例えばターゲットは、同じターゲットファミリー(実質的に同様の属性)からなりえ、またはそれらは異なるターゲットファミリー(実質的に異なる属性)からなりえる。同じターゲットファミリーからのターゲットでさえ異なるように構成されえる。すなわちそれらは全体として同様の属性を示しても、それらは、そのファミリーメンバーから差別化するある種の属性を持つ。例として、第1ターゲットファミリーは、プロセスロバストターゲットを含みえ、第2ターゲットファミリーは、デバイス表現ターゲットを含みえる。
さらに、少なくとも2つが存在する限り、任意の構成を持つターゲットの任意の個数が用いられえる。例えば第1ターゲットファミリーからのターゲットは、第1ターゲットファミリーからの他のターゲットと、または第2ターゲットファミリーからのターゲットと相関付けられえ、第1ターゲットファミリーからのターゲットは、第2ターゲットファミリーからの複数のターゲットと相関付けられえ、第2ターゲットファミリーからのターゲットは、第1ターゲットファミリーの複数のターゲットと相関付けられえ、第1ターゲットファミリーからの複数のターゲットは、第2ターゲットファミリーからの複数のターゲットと相関付けられえる、などとなる。さらに、2つのターゲットファミリーは限定ではなく、2つより多いターゲットファミリーが用いられえることに注意されたい。たいていの場合、異なるターゲットファミリーからのターゲットのグループが、露光フィールド全体にわたって用いられることによって、クロスレファレンスマトリクスを形成する。すなわち、フィールドの内部および外部のほとんどどこにでも(例えばチェッカーボード)ターゲットが存在しえ、これらのそれぞれは、他の全てまたは一部に対して特徴付けられる(組み合わせ群の大きなセット)。
製造データが製造されるやり方は大きく変えられえる。ある具体的な実施形態において製造データは、従来のウェーハ処理手法を用いてターゲットおよびデバイス構造を製造ウェーハ上に形成すること、および従来の計測ツールを用いてターゲットのオーバレイ誤差を計測することによって作られる。ターゲットおよびデバイス構造を形成することについて、ターゲットおよびデバイス構造は、一般には適切なフォトリソグラフィ技術を用いて製造ウェーハ上にパターンニングされる。最も簡単な場合は、ターゲットおよびデバイス構造は、製造ウェーハ上のフォトレジストレイヤ内に形成される。しかしあるいは、ターゲットおよびデバイス構造は、誘電体またはメタルレイヤのような他のレイヤ内に形成されえる。ターゲットは、相関付けられたターゲットのうちの一つと同様に一般に構成される。例えば、それはウェーハ上の同様の位置を持ちえ、それは同じ周期的構造を用いえる、などのようである。製造ターゲットは一般に、製造ウェーハの特定の領域内に位置し、すなわち、それらは典型的にはフィールドにわたっては位置しない。たいていの場合、製造ターゲットは、露光フィールドのスクライブライン内に位置する。理解されるように、スクライブラインは、半導体ダイを形成するようカットされるウェーハの領域である。ダイ内の製造ウェーハ上ではウェーハの面積が非常に高価であるので、このスクライブラインが一般に用いられる。しかしこれは限定ではなく、任意の部分のウェーハが用いられえることに注意されたい。例えばウェーハは、デバイス構造の近傍のフィールド内の製造ターゲットを含みえる。ターゲットを計測することについて、オーバレイ計測はさまざまな方法および計測ツールを用いて実行されえる。例えばそれらは、画像化、スキャニング、散乱計測などを用いて実行されえる。
この方法は、デバイス構造のフィールド位置におけるオーバレイ誤差を決定するとして記載されるが、これは任意の位置、特にターゲットのために典型的には使用されない位置におけるオーバレイ誤差を決定するのに用いられえることに注意されたい。
図3は、本発明のある実施形態によるオーバレイ処理20のフロー図である。フローは大きくはブロック22において始まり、ここでプロセスロバストターゲットが提供される。プロセスロバストターゲットは、全てのレイヤ、処理条件、ステッパなどにわたって用いられる単一の標準ターゲットでありえ、またはそれは特定のデバイス構造(例えばバイア、ラインなど)、特定の空間的特徴(例えば周期、ピッチ、解像度など)、特定のレイヤ(例えば暗視野、明視野など)、特定の処理条件(例えば露光、開口数、コヒーレンスなど)、特定の材料(例えばフォトレジスト)、特定のステッパ(例えばプロセスバイアス)、特定のマスク(例えばバイナリ、フェーズシフトなど)および/または類似のもののために設計されたターゲットでありえる。特定のものに基づくターゲットは一般に前のステップにおいて実験的にまたはシミュレーションによって見つけられる。一般的な概念は、デバイスに固有のデータの与えられたセットについて最もよく働くターゲットを見つけることであり、すなわちそのターゲットは最もプロセスロバストである。しかし状況によっては1つより多いターゲットが選択されえることに注意されたい。ターゲット(標準であろうが特定であろうが)は、大きく変えられうる。例えば、それらは従来のボックスインボックスまたは関連付けられたターゲット(例えばボックスインバー、バーインバーなど)に対応しえ、またはそれらは、2001年6月27日に出願され「OVERLAY MARKS, METHODS OF OVERLAY MARK DESIGN AND METHODS OF OVERLAY MEASUREMENTS」と題され、ここで参照によって援用されるGhinovkerらの米国特許出願第09/894,987号に見られるもの(またはその等価物)のような周期的構造に対応しえる。
ある実施形態においては、特定のターゲットがライブラリに記憶されたターゲットのグループから選ばれる。デバイス固有データに最もよくフィットするこの特定のターゲットが典型的には選択され、すなわちこのターゲットはこのデータについて最もよく働く。他の実施形態において、特定のターゲットは、デザインルールのセットを用いて設計される。例えば、デバイス固有のデザインルールの与えられたセットについて、ターゲットは、特定のピッチ、線幅、間隔などを持たなければならない。ターゲットは、プロセスに関する一連の質問に対してエンジニアを答えさせ、デザインルールおよび質問に対する答えに基づいて適切なターゲットを決定する(例えばアルゴリズムを用いて)よう構成されたターゲットデザインツールを用いて決定されえる。
ブロック22に続いてプロセスフローはブロック24に進み、ここでは、提供されたターゲットの1つ以上が通常のウェーハ処理のあいだにデバイス構造(すなわちダイを形成する構造)と共に製造ウェーハ上にプリントされる。例としてターゲットは、この技術でよく知られる標準ウェーハ処理技術を用いてプリントされえる。一般にターゲットは、従来それらが配置されるスクライブライン内に配置される。ある実現例では、ターゲットはフィールドの4つの角に配置される。
ブロック24の後、プロセスフローはブロック26に進み、ここでは、プリントされたターゲットの1つ以上が計測される。一般にこれはオーバレイ計測ツールで達成される。オーバレイツールは大きく変えられえる。例としてオーバレイツールは、画像化、スキャニング、散乱計測などに基づきえる。使用されえるある具体的なツールは、カリフォルニア州、サンノゼのKLA−Tencorによって製造されるArcher 10である。ある実現例では、フィールドの4つの角に配置されたターゲットが計測される。
ブロック26の後、プロセスフローはブロック28に進み、ここでは、オーバレイ分析が実行される。一般にオーバレイ分析28は較正データを受け取ること(ブロック30)およびオーバレイ計測(ブロック26)を含む。例として、一般にブロック28は図2のブロック16に対応する。一般にオーバレイ分析28は、ウェーハおよびフィールド内の任意の点におけるオーバレイ誤差、より具体的には、フィールド内のその位置におけるデバイス構造のオーバレイ誤差の予測を行うことを含む。一般にこれは、プロセスロバストターゲットのオーバレイ計測値を較正データ内に含まれるオーバレイデータと比較することによって達成される。特にスクライブラインにおいて見いだされるオーバレイ計測値は、フィールドにわたってマッピングされるオーバレイデータと比較される。一般に較正データは、フィールド内の異なる点におけるターゲットに関するオーバレイデータを含む。例えば、較正データは、スクライブライン内に位置付けられたオーバレイおよびフィールド内に位置付けられたオーバレイの間の関係を含みえる。この関係は、プロセスロバストターゲットおよびデバイス表現ターゲットの両方を含むさまざまなターゲットと共に形成されえる。たいていの場合、この関係は、プロセスロバストターゲットおよびデバイス表現ターゲットの間のものであり、より具体的にはスクライブライン内に位置付けられたプロセスロバストターゲットおよびフィールドにわたって位置付けられたデバイス表現ターゲットの間のものである。較正は、フィールド内の与えられた位置における直接オフセットの形でありえ、フィールドの与えられた点における外挿によるものでありえ、またはパラメータ化に基づくフィールドの与えられた点におけるオーバレイの数学的変換に基づくものでありえる。具体的な露光ツール(または露光ツールペア)についてのこの較正は、シグナチャ(signature)とも呼ばれる。
ある実施形態において、デバイス構造のそのフィールド位置におけるオーバレイ誤差は、1)スクライブライン内に位置する1つ以上の計測されたプロセスロバストターゲットを較正データを用いてスクライブライン内の1つ以上の仮想デバイス表現ターゲットに変換すること、2)スクライブライン内に位置する1つ以上の仮想デバイス表現ターゲットを較正データを用いてデバイス構造のフィールド位置内の1つ以上の仮想デバイス表現ターゲットに変換すること、3)デバイス構造のフィールド位置内の仮想デバイス表現ターゲットのオーバレイ誤差を計算すること、および4)デバイス構造のフィールド位置内の1つ以上のデバイス表現ターゲットを平均すること(もし1つより多いターゲットが用いられるなら)によって予測される。仮想とは一般にデバイス表現ターゲットが実際に存在するのではなく実効的に存在することを意味する。
例として、前記第1変換は、較正データを表現するテーブル、方程式、または変換式を介して、第1レイヤにおける計測されたプロセスロバストターゲットを第1レイヤにおける仮想デバイス表現ターゲットに変換すること、および第2レイヤにおける計測されたプロセスロバストターゲットを第2レイヤにおける仮想デバイス表現ターゲットに変換することによって実行されえる。この情報があれば、スクライブライン内のデバイス表現ターゲットのオーバレイ誤差が決定されえる(第1および第2レイヤ間のミスアライメント)。加えて第2変換は、較正データを表現するテーブル、方程式、または変換式を介して、第1レイヤにおける仮想デバイス表現ターゲットを第1レイヤにおける第2仮想デバイス表現ターゲットに変換すること、および第2レイヤにおける仮想デバイス表現ターゲットを第2レイヤにおける仮想デバイス表現ターゲットに変換することによって実行されえる。この情報があれば、フィールド内のデバイス表現ターゲットのオーバレイ誤差が決定されえる(第1および第2レイヤ間のミスアライメント)。
この関係はフィールド内の任意の2つの点におけるオーバレイ誤差間で見いだされる差異を一般に示す。例えばこの関係は、フィールド内の任意の2点間のオフセットを含みえる。この情報があれば、オーバレイのよりよい予測を製造中に見つけられえる。一般に、このプロセスは、フィールドにわたる異なる場所におけるプロセスロバストターゲットおよび実際のデバイス間の差異またはオフセットで較正し直すことを含む。すなわち製造中のプロセスロバストターゲットのオーバレイ誤差は、差異、例えば、スクライブライン内に位置する較正されたプロセスロバストターゲット、およびデバイス構造の位置の近傍領域内に位置する較正されたデバイス表現ターゲット間の差異に基づいて調整されえる。例えば、計測に固有である追加の誤差を除去するために、較正中にプロセスロバストターゲットおよびデバイス表現ターゲット間で見いだされるオーバレイの差異は、製造中に計測されたプロセスロバストターゲットのオーバレイ誤差から減算されえる。理解されるように、追加の誤差は、一般に、計測がスクライブライン内のプロセスロバストターゲットに基づき、所望のオーバレイデータは、スクライブライン以外のどこか別の場所に位置するデバイス構造のためのものであるという事実(異なる構造および異なる位置)による。本質的に、2つの補正がなされなければならず、これらは1)これがプロセスロバストターゲットであり、必ずしもデバイス表現構造ではないという事実のために補正しなければならず、2)プロセスロバストターゲットはスクライブライン内であり、フィールド内の他の点ではないという事実のために補正しなければならないことである。
ある実施形態において、較正データ内の全てのデータ点は、予め計測され、将来使うために(製造ランの前に)データベースに直接ロードされるか、またはパラメータ化されえ、または数学的にパラメータのセットに変換されえる。ある実現例においては、較正データは、データ点間の差異も含み、よって分析ブロックは、差異を計測された製造データと比較するだけでよい。他の実現例では、ローデータだけが記憶され、よって分析ブロック28はローデータをルックアップすることおよびその差異を計算することを含む。
他の実施形態においては、デザインルールに対する収差効果が、デバイス表現構造(device representing structure)(DSR)マークと共に計測され、較正データが知られた後で、製造ウェーハは、標準のオーバレイサンプルプランに従い、プロセスロバスト構造(process robust structures)(PRS)(レイヤ1)および(PRS)(レイヤ2)マークをスクライブライン内に持つ。これらマークは計測され、それらのオーバレイ値は、データベース、およびそれらの対応するデザインルールを持つデバイスについてフィールド内で最小のオーバレイを可能にするための方法を用いて、補正値(correctables)を計算するために用いられる。
ブロック28の後、プロセスフローはブロック34に進み、ここでは最適な補正値が計算される。補正値(correctables)という語は、オーバレイパフォーマンスについて後続のリソグラフィックパターニングの制御を改良するためにツールのアライメントを補正するのに用いられるデータを一般に指す。本質的に、補正値は、ウェーハプロセスが所望の範囲内で進められるようにする、すなわちフィードバックおよびフィードフォワードを提供することによってツールがよりよくアラインされるようにする。計算は、ブロック28からのオーバレイ情報、およびブロック32からのプロセスデータを用いて一般に実行される。プロセスデータ32は、製造プロセスに関連付けられたデータを一般に含む。例として、プロセスデータは、プリントされたデバイス構造、デバイス構造がプリントされているレイヤ、プロセス条件、デバイス構造を形成するのに用いられるステッパおよびマスク、および/または同様のものについての情報を含みえる。理解されるように、プロセスデータはよりよい補正値を形成するのに役立つ。補正値は、この技術でよく知られる従来の技術を用いて一般に計算される。しかし技術は従来のものであるが、ここで計算される補正値は、従来のものとは違うことに注意されたい。過去にはこれら補正値は単純で限定されており、ステッパおよびデバイス構造の詳細を表現することができなかった。もし製造がバイアまたはポリレベルでなされたなら、補正値を計算する方法は同じであっただろう。対称的に上述の方法を用いると、補正値は実質的により多くの情報を利用して改良されえる。
ブロック34は、補正値を計算するとして記載されるが、ブロック34はロット処置測定(lot disposition metrics)のためにも用いられえる。ロット処理測定という語は、リソグラフィックパターンの質が特定された要件を満たすか(例えばウェーハがリワークされなければならないかどうか)を決定するのに用いられえるデータを一般に指す。
図4は、本発明のある実施形態による較正方法40のフロー図である。この較正方法は、較正データを作るよう構成される。較正データは、オーバレイ誤差のよりよい予測を行うために製造中に用いられえる。たいていの場合、較正方法は製造ラン(production run)の前に実行される。較正方法は、1度または周期的に実行されえる。例として、較正方法40は、図3のブロック30に大きく対応しえる。較正方法40は、ブロック42において大きくは始まり、ここで特性決定レチクル(characterization reticle)が提供される。これは必要な条件ではないが、特性決定レチクルは、オーバレイツールのメーカーによって一般に提供される。特性決定レチクルは、特性決定レチクル表面全体にわたって位置するオーバレイターゲットパターンの大きなアレイを一般に含む。このパターンは、プロセスロバストおよび/またはデバイス表現デザインに基づきえる。たいていの場合、特性決定レチクルは、プロセスロバストおよび/またはデバイス表現デザインの組み合わせを含む。
オーバレイターゲットパターンは大きく変えられえる。例えば、それらは従来のボックスインボックスまたは関連付けられたターゲット(例えばボックスインバー、バーインバーなど)に対応しえ、またはそれらは、2001年6月27日に出願され「OVERLAY MARKS, METHODS OF OVERLAY MARK DESIGN AND METHODS OF OVERLAY MEASUREMENTS」と題され、ここで参照によって援用されるGhinovkerらの米国特許出願第09/894,987号に見られるもの(またはその等価物)のような周期的構造に対応しえる。
レチクルを設計する方法は、大きく変えられえる。ある具体的な実施形態において、この方法は、1)デバイス構造と最もよく似て振る舞うデバイス表現ターゲットを確立すること、2)プロセスに耐えうるプロセスロバストターゲットを確立すること、および3)レチクル表面にわたってターゲットを分配することを含む。
ターゲットを選択するステップは、1)空間的特徴(例えば線幅、ピッチ、デューティサイクル)および形状(例えばボックスインボックス、ライン/スペースアレイ、ホールアレイなど)のある幅を持つデバイス表現ターゲットおよびプロセスロバストターゲットを設計すること、2)デバイス表現ターゲットおよびプロセスロバストターゲットをさまざまなプロセス(例えば露光、フォーカスなど)の組み合わせを持つプロセスに通すこと、3)ターゲットを計測すること、および4)どのデバイス表現ターゲットがフィールド内のその位置におけるデバイス構造と最もよく似た振る舞いをするかを決定すること、およびどのプロセスロバストターゲットが条件の最も広い範囲にわたって最も少ない量だけ変化したかを決定することを一般に含む。ターゲットの設計は、デバイス構造(例えば密なライン、孤立したライン、バイア、コンタクトなど)それら自身に基づきえる。すなわち、それらは同様のフィーチャを持つように設計されえる。デバイス表現ターゲットおよびプロセスロバストターゲットは、同じテストウェーハ上で試験されえ、またはそれらは異なるテストウェーハ上で試験されえる。たいていの場合、それらは異なるウェーハ上で試験される。さらにこれらターゲットは典型的には従来のオーバレイ計測ツールを用いて計測される。さらにたいていのデバイス表現ターゲットは典型的にはデバイス表現ターゲットを実際のデバイス構造と比較することによって決定される。デバイス構造に忠実であり続けるデバイス表現ターゲットは、レチクルのために選択される。すなわち、それはさまざまなプロセスの組み合わせについてデバイス構造と同様に変化(シフト)するターゲットである。デバイス構造が変化する様子は、例えばSEM、CD−SEM、断面SEM、AFM、HRPなどのさまざまな検証技術を用いて決定されえる。
ターゲットを分配するステップは、大きく変えられえる。一般的な概念は、可能な限り広い範囲を覆うことである。例えば、レチクル表面全体にわたって位置する数百から数千のプロセスロバストターゲットおよびデバイス表現ターゲットが存在しえる。
ある実施形態において、テストレチクルは非常に一般的であり、広い範囲のデザインルール、露光条件、プロセスレイヤなどに適用可能である。他の実施形態においては、テストレチクルは、特定のデザインルールをカバーするよう構成される。すなわちそれは、もしテストレチクルが汎用目的ではなく特定のデザインルールについて設計されるならよりよいデータを作るだろう。
他の実施形態において、較正マークレチクルは、異なるリソグラフィプロセスのために特別に設計された領域からなる。特定のレイヤの露光中、無関係なレチクル領域は、覆われる(すなわち露光されない)。このようにして露光は、異なる露光条件でフィールドにわたってステップ状に処理され、これにより現像のコストを節約し、較正データベースの計測を減らす。
ブロック42の後、プロセスフローはブロック44に進み、ここでオーバレイターゲットパターンが較正ウェーハ上に転写される。たいていの場合、較正ウェーハはレジスト/レジストウェーハであり、よって転写とは、特性決定レチクルが照射に露光され、よってパターンがレジスト/レジストウェーハ上にプリントされることを一般に意味する。これは、ウェーハ表面全体にわたって多数回、一般に達成され、よってウェーハは多くのオーバレイターゲットで満たされる。このプロセスは、異なるレジストレイヤ、異なるステッパ設定(例えば照射、フォーカスなど)、異なるステッパおよび/または同様のものを用いて一連の較正ウェーハ群上で反復されえる。例えば第1ウェーハは第1ステッパ設定で作られ、第2ウェーハは第2ステッパ設定で作られるなどのようでありえ、または第1ウェーハは第1ステッパで作られ、第2ウェーハは第2ステッパで作られるなどのようでありえる(または両方の組み合わせ)。較正ウェーハの数は大きく変えられえる。数は一般に製造中に実行されるべき処理のタイプに依存する。レジスト/レジストウェーハは限定ではなく、パターンは他のレイヤに転写されえることに注意されたい。しかしレジスト/レジストウェーハは典型的に使われるが、これはこれらが計測しやすい(例えばそれらは他のプロセスレイヤによって悪影響を受けない)からである。
ある実施形態において、一連のウェーハがさまざまなステッパ設定で作られる。例として、一連のウェーハは、単一のステッパのさまざまな照射設定について作られえる。ステッパは、どのようにフィールドを照射するかについて一般に複雑な制御を持つ、すなわちステッパは一般に異なる照射設定を異なるレイヤについて持つ。これら設定のそれぞれについて、ステッパはレイヤの異なる部分を照射し、それによってフィールドへの収差の影響を変える(例えばもし光がレンズの異なる部分に、または異なる角度で届くなら、フィールドは異なる収差の影響を受けるはずである)。照射設定は限定ではなく、異なる一連のウェーハ群を作るために他のステッパパラメータが用いられえることに注意されたい。例えば開口数、フォーカスなどである。他の実施形態において、一連のウェーハのそれぞれのウェーハは異なるステッパを表す。理解されるようにそれぞれのステッパは、特定の処理条件のセットについて固有であっても固有でなくてもよい、それ自身のシグナチャ(つまり較正)を有する。
ブロック44の後、プロセスフローはブロック46に進み、ここでウェーハ上のターゲットのオーバレイ誤差が計測される。これはこの技術でよく知られる従来の手法を用いて一般には達成される。例として、画像化、スキャニング、散乱計測などを用いて実行されえる。ターゲットはフィールド内の多くの位置で計測される。例えばターゲットは従来なされていたように4つの角において計測されるだけでなく、フィールドにわたって計測される。ある実施形態において、レチクルは、全てのターゲットがゼロに設定されたオフセットを有するように構築される。これは、計測されたオフセットが、処理中に作られた実際のオフセット(例えば収差および/または歪みの結果)であるように一般になされる。
上述の手法の実施形態は、以下のように記載されえる。すなわち、収差フィールドはゆっくりと変化する関数であるので、スキャナフィールドは一連の反復単位(repeat units)でサンプリングされる。それぞれの反復ユニットは、密なマーククラスタ(DMC)から構成される。デバイス表現フィーチャ対プロセスロバストフィーチャを表すデザインルールをサンプリングするDMCユニットは、1レイヤ計測マークのセットである。DMCユニットの他のセットは、デバイス表現フィーチャ対プロセスロバストフィーチャを表すデザインルールをサンプリングする。これはレイヤワンレジスト上のレイヤワンフィーチャ対レイヤツーフィーチャの振る舞いをエミュレートする。これらマークのほとんどは、較正計測のあいだの健全性チェック(sanity checks)のために用いられる。
ブロック46の後、プロセスフローはブロック48に進み、ここで計測されたターゲットのオーバレイ誤差は、互いに対して較正される。較正とは、任意の2つのターゲット間のオフセットが比較されることによってそれらの間の既知の誤差を決定することを一般に意味する。すなわち任意の2つのターゲットのオフセット間の相対的差異が見いだされ、その後、互いに対して特徴付けられる。これは典型的には露光フィールド全体にわたってなされ、それによってそれぞれのターゲットが全ての他のターゲットに対して較正されるクロスレファレンスマトリクスを作る。たいていの場合、プロセスロバストターゲットは、デバイス表現ターゲットに対して較正される。例えば、スクライブラインにおける1つ以上のプロセスロバストターゲットのオーバレイは、フィールド内、例えばスクライブライン内、またはデバイス構造の領域内の異なる位置に位置する複数のデバイス表現ターゲットに対して較正されえる。本質的にこれら差異は、フィールド全体にわたるデバイス表現マークと比較され、ステッパ特性のプロセスロバストマークに対する相対的影響をマッピングする。すなわち、これら2つのタイプのターゲットは、ステッパ特性の関数(例えばステッパ、フィールド内の位置、照射など)として互いに相関付けられる。差異が決定された後、較正データは記憶され、例えば較正データベース内に記憶され、またはモデリング方程式にフィッティングされ、その後、この方程式および/または方程式の係数が記憶され、または数学的に他の表現に変換され、それが記憶される。
プロセスロバストターゲットをデバイス表現ターゲットに対して較正することは限定ではなく、デバイス表現ターゲットは他のデバイス表現ターゲットに対して較正されえ、プロセスロバストターゲットは他のプロセスロバストターゲットに対して較正されえることに注意されたい。これは自己較正を実行するためになされることもある。
加えて、可能なターゲットの全ての組み合わせを較正することは想定できないかもしれず、よって内挿ステップが上述の較正方法には含まれえる。理解されるように、内挿は、有限のオーバレイ値のセットを用いて、事実上、無限の個数のオーバレイ値を予測しえる。すなわち有限個の計測された点を用いて、オーバレイは、計測された点においてだけではなくフィールド内の任意の点において予測されえる。内挿は、シミュレーション技術、標準的な代数的内挿手法、または数学的変換を用いて実行されえる。
図5は、本発明のある実施形態によるオーバレイ補正分析50のフロー図である。例として、オーバレイ補正分析50は、図3の分析ブロック28において実現されえる。オーバレイ補正分析50はブロック52で大きくは始まり、ここでスクライブライン内のプロセスロバストターゲットのオーバレイ誤差が提供される。これは、従来のオーバレイ計測技術を用いてプロセスロバストターゲットを計測することによって一般に達成される。ブロック52の後、プロセスフローはブロック54に進み、ここでスクライブライン内に位置する仮想デバイス表現ターゲットのオーバレイ誤差が、較正データおよび計測されたプロセスロバストターゲットを用いて決定される。仮想とはデバイス表現ターゲットが実際に存在するのではなく実効的に存在することを意味する。この決定は、計測されたプロセスロバストターゲットを、スクライブライン内のデバイス表現ターゲットに対して以前に較正された同様のプロセスロバストターゲットと対等検出を行う(match)ことによって一般には達成される。その後、較正されたターゲットのオーバレイ間の較正された差異は、スクライブライン内にプリントされたであろう仮想デバイス表現ターゲットのオーバレイ誤差を決定するために、計測されたプロセスロバストターゲットのオーバレイ誤差に減算または加算される。ブロック54の後、プロセスフローはブロック56に進み、ここでフィールド内のある点に位置する第2仮想デバイス表現ターゲットのオーバレイ誤差が較正データを用いて決定される。これは、ブロック54で見いだされた仮想デバイス表現ターゲットを、フィールド内のある点におけるデバイス表現ターゲットに対して以前較正されたスクライブライン内の同様のデバイス表現ターゲットと対等検出を行うことによって上述と同様に一般には達成される。その後、較正されたターゲットのオーバレイ間の較正された差異は、フィールド内にプリントされたであろう第2仮想デバイス表現ターゲットのオーバレイ誤差を決定するために、第1仮想デバイス表現ターゲットのオーバレイ誤差に減算または加算される。第1および第2仮想デバイス表現ターゲットは実際のデバイス構造ではないが、それらは同様に働くよう構成され、よってそれらは、デバイス構造の位置においてデバイス構造に何が起こっているかをよりよく予測するために用いられえる。理解されるように、上述の方法は、1つより多いターゲットに用いられえ、すなわちフィールドにおけるデバイス構造のオーバレイ誤差はフィールドの4つの角におけるプロセスロバストターゲットから決定されえる。4つの補正された誤差の結果は、それから平均されてより正確なオーバレイ値を形成する。
図6は、図5で記載された方法のある実現例を示す図である。この図は、その上に形成されているダイ62を有する製造ウェーハ60の拡大図である。この方法は大きくは、ダイ62の周囲にあるスクライブライン66に位置するプロセスロバストターゲット64を計測することによって始まる。プロセスロバストターゲット64は、第1レイヤ上に位置する第1プロセスロバスト構造64A、および製造ウェーハ60の第2レイヤ上に位置する第2プロセスロバスト構造64Bから一般になる(第1レイヤ内に位置する構造は斜線が付けられ、第2レイヤ内に位置する構造は塗りつぶされている)。その後、スクライブライン66内に位置する計測されたプロセスロバストターゲット64は、スクライブライン66内の仮想デバイス表現ターゲット68に変換される。これは、較正データを用いて、第1レイヤにおける第1プロセスロバスト構造64Aを、第1レイヤにおける第1仮想デバイス表現構造68Aに変換することによって、かつ第2レイヤにおける第2プロセスロバスト構造64Bを、第2レイヤにおける第2仮想デバイス表現構造68Bに変換することによって典型的には達成される。その後、スクライブライン66内に位置する仮想デバイス表現ターゲット68は、ダイ62内に位置する仮想デバイス表現ターゲット70に変換される。これは、較正データを用いて、第1レイヤにおける第1仮想デバイス表現構造68Aを、第1レイヤにおける第3仮想デバイス表現構造70Aに変換することによって、かつ第2レイヤにおける第2仮想デバイス表現構造68Bを、第2レイヤにおける第4仮想デバイス表現構造70Bに変換することによって典型的には達成される。たいていの場合、較正データは、変換が簡単なマッチングプロシージャを用いて達成されえるよう変換テーブル内に記憶される。その後、ダイ62内に位置する仮想デバイス表現ターゲット70のオーバレイ誤差、すなわち構造70Aおよび70B間のオフセットが計算される。同じプロセスが、ダイ62の他の角におけるスクライブライン内に位置するプロセスロバストターゲット72、74および76についても実行されえる。それぞれの角から計算されたオーバレイ誤差は、オーバレイ誤差のよりよい予測を作るために平均化されえる。理解されるように、デバイス構造のオーバレイ誤差は、容易には決定されえず、よって決定されえるオーバレイ誤差で推定または予測される。
図7は、「デュアルパターン」オーバレイターゲット130の上平面図である。例として、このターゲットは、2001年6月27日に出願され「OVERLAY MARKS, METHODS OF OVERLAY MARK DESIGN AND METHODS OF OVERLAY MEASUREMENTS」と題されたGhinovkerらの米国特許出願第09/894,987号に見られ、これはここで参照によって援用される。オーバレイターゲット130は、ウェーハのテストされるレイヤが完全なアライメントにあるときに生まれる構成において示される。オーバレイターゲット130は、ウェーハの2つ以上の連続するレイヤ間の、またはウェーハの単一のレイヤ上の2つ以上の別々に生成されたパターン間の相対的シフトを決定するために一般に提供される。議論を簡単にするために、オーバレイターゲット130は、基板の異なるレイヤ間のオーバレイを計測するコンテキストで記載される。しかしこの図のオーバレイターゲットは、基板の単一のレイヤ上の2つ以上の別々に生成されたパターンを計測するのにも用いられえることに注意されたい。
オーバレイターゲット130は、2つの異なる方向における2つのウェーハレイヤ間のレジストレーション誤差を決定するための複数のワーキングゾーン132を含む。図示された実施形態において、オーバレイターゲット130は、8個の長方形の形状をしたワーキングゾーン132を含み、これらは実質的にその周囲71を埋めるよう構成される。ワーキングゾーン132は、ウェーハの異なるレイヤ間のアライメントを計算するのに用いられるターゲットの実際の領域を表す。前述のようにワーキングゾーン132は、空間的に互いに分離されることによって、それらが近接するワーキングゾーンの部分と重ならないようにされる。この具体的な構成において、ワーキングゾーンのいくつかは除外ゾーンを介して分離され、一方、他のワーキングゾーンは近接するワーキングゾーンの隣に配置される。例えばワーキングゾーン132Bは、ワーキングゾーン132EおよびFから除外ゾーン133を介して分離され、一方、ワーキングゾーン132EおよびFは、その端部において互いに隣り合うように配置される。
議論を進めるために、ワーキングゾーン132は、第1ワーキンググループ134および第2ワーキンググループ136にグループ化される。第1ワーキンググループ134は、第1方向のオーバレイ情報を提供するよう構成される4つのワーキングゾーン132A〜Dを含む。例として第1方向は、Y方向でありえる。4つのワーキングゾーン132A〜Dのうちで、それらのうちの2つ132AおよびDは第1レイヤに配置され、それらのうちの2つ132BおよびCは第2レイヤに配置される(第1レイヤは斜線によって表現され、第2レイヤは斜線なしによって表現される)。理解されるように、このターゲット構成についてゼロオーバレイ誤差の場合(示されるように)、ワーキングゾーン132AおよびD、およびワーキングゾーン132BおよびCの対称の中心135は、正確に一致する。第2ワーキンググループ136は、第1方向に垂直な第2方向のオーバレイ情報を提供するよう構成される4つのワーキングゾーン132E〜Hを含む。例として第2方向は、X方向でありえる。4つのワーキングゾーン132E〜Hのうちで、それらのうちの2つ132EおよびHは第1レイヤに配置され、それらのうちの2つ132FおよびGは第2レイヤに配置される(第1レイヤは斜線によって表現され、第2レイヤは斜線なしによって表現される)。上と同様に、このターゲット構成についてゼロオーバレイ誤差の場合(示されるように)、ワーキングゾーン132EおよびH、およびワーキングゾーン132FおよびGの対称の中心137は、正確に一致する。
理解されるように、グループ134および136のそれぞれは、「X」構成ターゲット(オフセットされてはいるが)を表す。例えばワーキンググループ134は、ワーキングゾーン132AおよびDを含み、これらは同じ第1レイヤ上にあり、互いに対角線の反対位置にあり、ワーキングゾーン132BおよびCを含み、これらは同じ第2レイヤ上にあり、互いに対角線の反対位置にある。さらにワーキングゾーン132AおよびDは、ワーキングゾーン132BおよびCに対して角度が付けられている。さらにワーキングゾーン132Aは、ワーキングゾーン132Dから空間的にオフセットされており、ワーキングゾーン132Bは、ワーキングゾーン132Cから空間的にオフセットされている。
加えてワーキンググループ136は、ワーキングゾーン132EおよびHを含み、これらは同じ第1レイヤ上にあり、互いに対角線の反対位置にあり、ワーキングゾーン132FおよびGを含み、これらは同じ第2レイヤ上にあり、互いに対角線の反対位置にある。さらにワーキングゾーン132EおよびHは、ワーキングゾーン132FおよびGに対して角度が付けられている。さらにワーキングゾーン132Eは、ワーキングゾーン132Hから空間的にオフセットされており、ワーキングゾーン132Fは、ワーキングゾーン132Gから空間的にオフセットされている。本質的にこの特定のターゲットは、互いに対角に位置する2つの「X」構成ターゲット、すなわちワーキンググループ194およびワーキンググループ196を作る。
さらに説明すれば、あるレイヤ上のワーキングゾーンは、一般に他のレイヤ上のワーキングゾーンに対して並置される。例えば、第1ワーキンググループにおいて、ワーキングゾーン132Aはワーキングゾーン132Bに対して並置され、ワーキングゾーン132Cはワーキングゾーン132Dに対して並置される。同様に、第2ワーキンググループにおいて、ワーキングゾーン132Eはワーキングゾーン132Hに対して並置され、ワーキングゾーン132Fはワーキングゾーン132Gに対して並置される。2つの並置されたペアのうち、第2レイヤ上のワーキングゾーンは典型的には、第1レイヤ上のワーキングゾーンよりもFOVの中心により近く配置される。例えばワーキングゾーン132BおよびCおよびワーキングゾーン132FおよびGは、それぞれ、並置されたワーキングゾーン132AおよびDおよびワーキングゾーン132EおよびHよりもFOV144の中心142により近く配置される。さらにワーキンググループのそれぞれの中で、並置されたペアは、グループ内の他の並置されたペアに対して反対の関係(例えば対角に)で配置される。例えば、並置されたペア132AおよびBは、並置されたペア132CおよびDの反対に位置し、並置されたペア132EおよびFは、並置されたペア132GおよびHの反対に位置する。
理解されるように、この特定のターゲットにおいて、ワーキングゾーンの構成は、回転対称である(ターゲットの中心の周りに±90、180、270、360度)。これは、計測ツールの視野にわたっての半径方向および軸方向のバラツキ、例えば、例えばツールによって誘導されたシフト(TIS)を発生しえる、非均一な光学収差および照射によって引き起こされた半径方向および軸方向のバラツキの影響を減らすために典型的にはなされる。半径方向のバラツキは、ターゲットの中心からターゲットの外側領域へと放射するバラツキを一般に指す。軸方向のバラツキは、ターゲットの軸に沿う方向に起こるバラツキを一般に指し、例えばX方向においてはターゲットの左から右の部分へと向かい、Y方向においてはターゲットの下から上の部分へと向かう。
ワーキングゾーン132A〜Hは、複数の粗くセグメント化されたライン140によって構成される周期的構造138を含む。粗くセグメント化されたラインの線幅Dおよび間隔sは、大きく変えられうる。示されるように周期的構造138のそれぞれは、その対応するワーキングゾーン132の周囲を実質的に埋める。理解されるように、周期的構造138はその対応するワーキングゾーン132のレイヤ上にも配置される。
議論を簡単にするために、周期的構造138は、第1ワーキンググループ134に関連付けられた第1周期的構造138A、および第2ワーキンググループ136に関連付けられた第2周期的構造138Bに分けられえる。示されるように、第1周期的構造138Aは、全て同じ方向に向けられ、すなわち粗くセグメント化されたライン140は、互いに平行で水平に位置付けられる。第2周期的構造138Bも、全て同じ方向に向けられ(第1周期的構造とは違うが)、すなわち粗くセグメント化されたライン140は、互いに平行で垂直に位置付けられる。よって第1ワーキンググループ134内の周期的構造138Aは、第2ワーキンググループ136内の周期的構造138Bと直交する。
ある実施形態において、並置された周期的構造の粗くセグメント化されたラインは互いにアラインされる。すなわちもし異なるレイヤであることを無視するなら、それらは連続的な格子であるように見える。例えば、ワーキングゾーン132Aの粗くセグメント化されたラインは、ワーキングゾーン132Bの粗くセグメント化されたラインとアラインしえ、ワーキングゾーン132Cの粗くセグメント化されたラインは、ワーキングゾーン132Dの粗くセグメント化されたラインとアラインしえる。加えて、ワーキングゾーン132Eの粗くセグメント化されたラインは、ワーキングゾーン132Fの粗くセグメント化されたラインとアラインしえ、ワーキングゾーン132Gの粗くセグメント化されたラインは、ワーキングゾーン132Hの粗くセグメント化されたラインとアラインしえる。
これら新しいオーバレイ構造の一つの利点は、それらは2つの近接する構造がそれらの間で並進対称性(translational symmetry)を持たないように選ばれえ、これは一方が右回り(right handed)で、他方が左回り(left handed)でありえるからである。したがってそれらは、間違ったターゲットを計測することによる不正確な計測結果を生むオーバレイ計測ツールによる不正確な捕捉のリスクなしに、それらの間でセパレーションを置かずに配置されえる。この特徴は、計測目的で利用可能なスクライブラインスペースをできる限り最大に利用することを可能にする。
前述の方法によるオーバレイターゲット(またはその等価物)を使用する方法がこれから記載される。パターン配置誤差(PPE)特徴付けレチクル(Pattern Placement Error characterization reticle)は、その中において露光フィールドが複数の「デュアルパターン」オーバレイターゲットで埋められるよう製造される。これらデュアルパターンオーバレイターゲットは、「内側および外側」ワーキングゾーンの両方を同じレチクル内に有し、しかし内側および外側ワーキングゾーンについて周期的構造の異なる組み合わせを持つ。これら構造の組み合わせは、例えば、密なアレイになるべく近いものから、特定のプロセスで生き残るよう設計された大きい高コントラスト構造まで、露光ツールアライメントターゲットの周期性に非常に近い構造まで異なるピッチを持ちえる。これら構造は、内側および外側ワーキングゾーン間のゼロ公称オーバレイ誤差でレチクルにプリントされる。任意の計測されたオーバレイは今度は、異なる周期的構造(または非周期的、孤立したなど)に対する露光ツールレンズ収差または歪みの異なる影響の結果である。
オーバレイの結果を複数の構造組み合わせから、レチクルフィールドにわたって複数の位置から計測することによって、PPEクロスレファレンスマトリクスが構築されえ、これは分析データベースにオーバレイデータとして直接に記憶され、またはパラメータ化され、または変換される。このクロスレファレンスマトリクスは、計測を行うのに用いられたオーバレイターゲットがそのパラメータがプロセスロバスト性のために最適化されたために密なアレイのそれとは異なる周期性を持つとき、密なアレイ構造のオーバレイについて、ターゲットからの計測されたオーバレイをマッピングして、可能な最もよい露光ツール補正値を決定するために用いられえる。あるいはクロスレファレンスマトリクスは、予期された誤差の大きさを確かめながら、最小限のPPEオフセットを持つオーバレイターゲットをデバイス構造から選択するのに用いられえる。これは全体の計測誤差予算において用いられえる。
異なるデバイス構造についてPPEレチクルに実際に含まれたものの間で予期されたPPEを内挿するために、他の方法がKLA−TencorからのPROLITHのようなリソグラフィモデリングツールを利用して可能である。内挿は、その内部寸法が実際に計測されたものに対して中間であるターゲットをシミュレーションすること、またはその位置が実際に計測されたもの対して中間であるターゲットをシミュレーションすることを含むいくつかの形態を取りえる。このようにして有限個のオーバレイ構造が計測されえ、その結果、事実上、無限個の構造、位置などについてPPE補正は生成される。これら出力は、分析ソフトウェアを介して、ステッパ補正値またはフィールド内の異なる構造についてのロット処置出力を「チューニング」するのに用いられえる。このようにして基礎となるレイヤについてのオーバレイターゲットの周期的(または非周期的)構造は、たいていの場合、そのレイヤ上でプロセスロバスト性を最適化するように選ばれえる。このマトリクスは、スクライブライン計測値も含むので、後のスクライブラインの結果からインチップの結果までの間の相関が作られる。
本発明のある実施形態によるこの方法がこれから記載される。PPE特徴付けレチクルが異なるタイプの構造を内側および外側ワーキングゾーンに持ち、露光フィールドの大部分を覆うオーバレイターゲットを含み、それらのそれぞれは内側および外側構造間で公称ゼロオーバレイで配置されると仮定する。フィールド内のターゲットの位置を(x、y)で表記するとする。またターゲットの内側部分についてDの異なる構造タイプがあり、外側部分についてPの異なる構造タイプがあると仮定する。簡単のために、内側構造はデバイス表現構造(DRS)であり、外側構造はプロセスロバスト構造(PRS)であるように選ばれると仮定する。一般に言って、これら2つのセットの構造は異なる。デバイス表現構造のセットを添え字d(d=1,2,…,D)とし、添え字pをプロセスロバスト構造について用いる(p=1,2,…,P)とする。
ここで2つのレイヤL1およびL2間のオーバレイを計測したいと仮定する。PPE補正とは独立に(すなわちターゲットの絶対的な正確さにかかわらず)、ユーザは好ましい最もプロセスロバストな構造(外側セットから)であり、最もデバイスを表現する構造(内側セットから)を両方のレイヤL1およびL2について決定する。これらの選択を行う方法は、以下に記載される(プロセスロバスト構造をプロセス生存性およびプロセスが引き起こす歪みへの耐性の基準に基づいて選び、デバイス表現構造を、実際のデバイスの幾何学的形状の収差依存性を最も忠実に模擬するものとして選ぶ)。レイヤ1について最もよいデバイス表現構造を選び、それに名称d1を与え、最もプロセスロバストな構造は名称p1が与えられる。同様にレイヤ2について、最もデバイスを表現する構造d2および最もプロセスロバストなターゲットp2を選択する。
次にPPEレチクルが用いられ、フィールドを埋める複数のレジスト/レジストオーバレイターゲットを持つ2つのウェーハを製造し、1つはL1リソグラフィ露光条件を用い、1つはL2条件を用いる。原則として、同じウェーハ上の異なるダイを2つの異なるリソグラフィパラメータのセットで露光することも可能である。ここでは以下のオーバレイ計測のセットを実行する。選ばれたタイプのウェーハL1上の全てのターゲット([d1,p1]、すなわちL1 DRSおよびL1 PRSを備える)は、フィールドにわたって計測され、デバイスおよびスクライブライン位置においてベクターフィールドOVLd1, p1(x,y)が決定される。同様に、L2ウェーハ上のタイプ[d2,p2]の全てのターゲットが計測され、デバイスおよびスクライブライン位置においてOVLd2,p2 (x,y)が決定される。これらの計測は、L1およびL2レイヤで最適化されたターゲットにわたって収差で引き起こされたPPEバラツキが計測されることを可能にする。これは計測のプリプロダクションまたは周期的較正部分を完了する。ここでもし第1レイヤターゲットp1および第2レイヤターゲットp2からなるプロセス耐性があるターゲットを計測するなら、その位置におけるそのデバイス表現ターゲットは以下のオーバレイ誤差を持つであろうことがわかっている。
Figure 2005518107
製品計測ステージにおいて、ユーザはウェーハ上の実際のオーバレイターゲットを計測する。このオーバレイターゲットは、2つのレイヤL1およびL2間のオーバレイを計測するためにプリントされ、PRS構造(すなわちタイプ[p1,p2])だけからなる。このオーバレイターゲットは、ウェーハ上の典型的にはスクライブライン内の位置(x’,y’)に位置し、一方、ユーザは、点(x,y)におけるデバイスフィーチャについてのオーバレイを計測することに興味がある。
ここでこの新しい技術によって、スクライブライン内のプロセス耐性計測、フィールドにわたってのPRSからDRSへの較正データ、および通常のステッパマッチングデータが与えられれば、ユーザは素速くデバイスオーバレイをフィールド内の任意の点で決定できることを説明する。
この実際のターゲットのオーバレイ計測が以下の結果を生んだと仮定する。
Figure 2005518107
ターゲット位置(x’,y’)における実際のターゲット計測に基づいてデバイス位置(x,y)における実際のデバイスオーバレイを計算するためには、以下の変換を行う必要がある。
Figure 2005518107
スクライブライン内で計測された、計測されたオーバレイデータに3つの補正が適用されなければならない。
スクライブライン内の座標(x’,y’)において計測されたデバイス表現ターゲットおよびプロセス耐性ターゲット間の差異、Cdt(device-target, x’,y’)は、単に前述のシフトであり以下の通りである。
Figure 2005518107
この項は、スクライブライン内のプロセスロバストターゲットの第1および第2レイヤの両方のデバイス依存シフトを表す。
C1(x,y,x’,y’)は、計測された座標(x’,y’)から実際のデバイスの座標(x,y)へずれる、レイヤ1でのデバイス表現ターゲットのプリントにおけるオーバレイ誤差の差異について補正する。もしレンズ収差がなければ、この数値は全てのターゲットについてゼロになるはずで、レンズは理想的な格子をプリントするはずである。実際のレンズ収差が存在するとき、この数値は非ゼロである。この位置依存シフトへの主要な貢献は、全てのフィーチャの簡単な変換であり、これはxおよびy変換についてそれぞれゼルニケ係数Z1およびZ2によって特徴付けられる。パターンに固有のオフセットも高次のゼルニケ項によって誘導される。
同様に、C2(x,y,x’,y’)は、計測された座標(x’,y’)から実際のデバイスの座標(x,y)へずれる、レイヤ2でのデバイス表現ターゲットのプリントにおけるオーバレイ誤差の差異について補正する。
補正係数C1およびC2はいくつかの方法のうちの1つで決定されえる。
1)デバイス表現構造(DRS)を用いたステッパマッチング。もしステッパが互いにマッチングされるなら(または等価的にもしそれぞれのステッパがレファレンスステッパまたはレファレンスウェーハのセットにマッチングされるなら)、項C1およびC2はマッチングデータから明示的に知られる。これは数学的に簡単なアプローチであるが、製造中には非現実的であり、それは、異なるデバイス表現幾何学形状を表現するためにステッパマッチングプロシージャを広い範囲のDRSターゲットについて実行することを必要とするからである。幸い我々の較正スキームは、複数のマッチングデータベースについてのこの要件を除去するよう簡単に拡張されえる。
2)従来の広範囲マッチングターゲットを用いたステッパマッチング。この場合、ターゲットは、ほとんどの高次収差項について非敏感であるように充分に大きい。これら大きなマッチングターゲットの例は、明示的較正のためのPPE較正レチクル上に含まれえ、または大きいステッパマッチングターゲットと同じようにレンズ収差にも敏感である、非常に大きいサイズのPRSからのデータを用いえる。あるいは、計測されたステッパレンズ収差(ゼルニケ)を用いて、PRSおよびDRSターゲットに対して大きいステッパマッチングターゲットの相対収差感度をモデリングできる。
3)PRSを用いるステッパマッチング。このアプローチは、等価収差感度の仮定によるゼルニケ補正の必要をなくし、最も正確で容易に較正される結果を提供する。
補正項の全てを知ると、今度は、スクライブライン位置(x’,y’)におけるPRSターゲットの較正データおよび計測に基づいて、集積回路内の任意の点(x,y)におけるデバイス表現オーバレイについての方程式を以下のように書くことができる。
Figure 2005518107
ここでOVLd1,m1(x,y)は、レイヤ1について位置(x,y)におけるデバイス表現構造およびマッチング構造間の計測されたオーバレイ誤差の差異であり、OVLd1,m1(x’,y’)は、レイヤ1について位置(x’,y’)におけるデバイス表現構造およびマッチング構造間の計測されたオーバレイ誤差の差異であり、レイヤ2についても同様である。ここでSM1(x,y,x’,y’)は、ステッパ1および完全なレファレンス(golden reference)間のステッパマッチング項であり、SM2(x,y,x’,y’)は、ステッパ2および完全なレファレンス(golden reference)間のステッパマッチング項である。
今度は最もプロセスロバストな構造を確立するためのいくつかの方法が本発明のいくつかの実施形態によって説明される。第1のアプローチは、今日通常行われるように、最適化された特定のプロセスを用いて一連のPRSターゲットをテスト機ウェーハ(test vehicle wafer)上にプリントすることである。最適なプロセスロバスト性が決定されえるいくつかの可能な基準がある。例えば、ウェーハにわたる複数のフィールドにわたって最小のツールによって引き起こされたシフトバラツキを示す構造が選択されえる。代替の基準は、レジスト現像後に実行された計測およびエッチング後に実行された計測の間の差異でありえる。
最もデバイスを表現するターゲット(DRS)もさまざまな方法によって達成されえる。ある実施形態において、最もよいDRSは、オーバレイの結果を、デバイス表現構造のCD−SEMまたはAFM計測と比較することによって選択される。そのようなCD−SEMまたはAFM計測も、2001年6月27日に出願され「OVERLAY MARKS, METHODS OF OVERLAY MARK DESIGN AND METHODS OF OVERLAY MEASUREMENTS」と題されたGhinovkerらの米国特許出願第09/894,987号にに記載されるような、オーバレイ計測フィーチャ上で直接、実行されえる。あるいは最もよいDRSは、DRSおよびデバイス構造それ自身の間でフーリエ領域において最小の変位を持つ構造を選択することによって決定されえる。デバイス構造は、*.gds(または他の標準)ファイルのようなデバイスの標準CAD図面から得られる。
SEMおよびAFMに関連付けられた従来の計測技術を用いて遭遇しえる1つの問題は、デバイスの設計において、ミスレジストレーションが計測される2つのレイヤからの2つの重複する構造が見られることである。そのような重複は存在してはいけないだけではなく、もし存在するならそのフィールド位置はデバイス毎に変化しえ、単純な比較を妨げる。一般にオーバレイはフィールド位置によって変化することに注意されたい。他の問題は、関心のある2つレイヤが、SEMに対して透明ではないレイヤによって分離されえること、またはAFMが下にある構造を探ることができないことである。そのような場合、回避する方法はSEM断面である。このプロシージャは、破壊的で時間がかかり、計測位置を選ぶことを可能にしない。この問題によって限定される典型的なクリチカルアライメントスキームは、コンタクト・ツー・ポリシリコンおよびバイア・ツー・メタルである。さらなる詳細は、「Comparison of Optical, SEM, and AFM Overlay Measurement」、V.C. Jaipraksh and C.J. Gould, SPIE vol.3677 (1999) p. 229を参照されたく、これはここで参照によって援用される。
ある実施形態において、スクライブライン内で光学的に計測されたオーバレイおよび走査電子顕微鏡(SEM)および原子間力顕微鏡(AFM)を用いたデバイスレベルにおけるオーバレイの推定の間の補正を確立する方法が提供される。上述の問題を克服するため、この方法は、SEMおよびAFMオーバレイ計測に適したデバイス表現構造を持つ標準化されたテストセルを設計することを大きくは含む。このセルは、単一の構造、またはそのデバイス自身に存在する寸法および密度の範囲をカバーするさまざまな構造を含みえる。このセルは、オーバレイ計測マークの隣に配置されえ、または設計が許す限りフィールド内のどこにでも配置されえる。これらのタイプのオーバレイ計測は、これは限定ではないが、典型的にはエッチングの後に実行される。
ある実施形態において、SEMおよびAFMオーバレイ計測に適した標準化されたテストセルは、下側レイヤのフィーチャを隠さないエッチングされた上側レイヤと共に構成される。例としてもしエッチングされたレイヤが明視野レチクルを通して露光されるなら、オーバレイ構造の設計は、下にあるレイヤのバーまたはホールの公称距離で配置されたエッチングされたレイヤのバーを構成しえる。その公称距離からの変位がオーバレイである。これは、xおよびy方向の両方のオーバレイを反映させるために2つの構造上でなされえる。図8A〜Hは、エッチングされた上側レイヤが下側レイヤのフィーチャを隠さない、いくつかの場合を示す。全ての図において、テクスチャ規則に従っている。斜線は下側レイヤ構造(UNLS)で、実線は上側レイヤ構造(UPLS)である。これら例は、デバイス表現(DR)ライン、DRより大きいライン、孤立し密なラインの組み合わせを示す。
他の実施形態において、SEMおよびAFMオーバレイ計測に適する標準化されたテストセルは、下側ラインのフィーチャを隠すエッチングされた上側ラインと共に構成される。例として、もしエッチングされたラインが暗視野マスクを通して露光されたなら、そのレイヤのほとんどはエッチングされないまま残り、SEMまたはAFMは下にあるレイヤと関係付けることができない。そのようなレイヤについては、オーバレイを計測する唯一の方法は、エッチングされたホールまたはトレンチを通すことである。オーバレイの方向は予めわからないので、下にある構造の両方のエッジがホールを通して見えなければならない。もしデザインルールが許すなら、オーバレイ構造は、下にある構造の幅より大きい水平寸法のホールまたはトレンチを持たなければならない。図9A〜Hは、エッチングされた上側レイヤが下側レイヤのフィーチャを隠すいくつかの例を示す。以下の図面において、下側レイヤ構造(UNLS)は、斜線の背景上の白いトレンチとして、または斜線の背景上の白いレイヤとして見られる。例えば第1オプションは、デュアルダマシンプロセスのメタルレイヤに適合する。例えば第2オプションは、アルミニウムベースのプロセスのメタルレイヤに適合する。UPLSは、白い背景に塗りつぶされているホールまたはトレンチ(例えばデュアルダマシンプロセスのメタルレイヤのように)である。
もし上述の構造がデザインルールを破るなら、オーバレイ構造は、下にあるラインの両方のエッジに公称値で中心付けられたホールまたはトレンチを有する。直交方向における位置のシフトは、レイアウト目的のため左エッジおよび右エッジ構造の間で必要とされえる。図10A〜10F。ここでは再び、xおよびyオーバレイが別々に取り扱われる。
理解されるように、オーバレイは大きく、SEMまたはAFMで実行される2つの長さ計測によって定義される。エッチングされた上側レイヤが下側レイヤのフィーチャを隠さないとき、オーバレイの定義は、上側および下側レイヤ上のフィーチャの対称の中心間のオフセットである。
さらに標準化された構造は、計測のタスクを自動化することを可能にし、それを与えられたプロセスフローについてのさまざまなデバイスに適用することを可能にする。それはまたフィールドをマッピングし、異なる位置、ウェーハまたはデバイスからの結果を比較する可能性を与える。集積回路の設計は、異なる線幅および線密度を含む。このセルは、デザインルールおよび密度のさまざまな組み合わせを配置する柔軟性を与える。トランスペアレンシの問題を解決するために作られた構造は、デザインレイアウト内には見あたらない。それらは、オーバレイの計測目的で特別に導入される。計測セルは、フィールド内の任意の場所に配置されえ、特定のデバイス設計の関数ではない。
フィールドが光学的オーバレイマークおよびSEMまたはAFMのための特別なオーバレイマークでマッピングされるテストレチクルは、開発のプロセスと同様にさまざまなデザインルールおよびフィーチャ密度で設計されなければならない。このレチクルをプロセスウィンドウを定義するさまざまなプロセス条件で走らせることは、高ボリューム製造ステージで計測されえる光学オーバレイ、およびデバイス表現フィーチャの関連のあるタイプについてのオーバレイのフィールド内バラツキ間でのベースライン比較を確立する。このベースラインは、光学オーバレイの結果についてロット処置基準に組み込まれえる。
高ボリューム製造ステージにおいては、SEMまたはAFMのための特殊なオーバレイマークの小さいセットは、光学オーバレイマークの隣に、スクライブライン内に配置されなければならない。2つのタイプのマーク間の相関は、定期的に計測されなければならない。プロセス開発ステージで定義されたベースラインへの相関は、このスクライブラインモニタが定義されたプロセスウィンドウについて安定で有り続ける限り、維持する傾向にある。
最もよくデバイスを表現する構造を達成しようとするとき直面するさらなるチャレンジは、オーバレイツール上で計測されるときのターゲットについての最小コントラスト要件、およびデバイスPPEの正確なレプリケーションの間のトレードオフである。デバイスのそれから周期的構造への小さい変化が含まれることは、計測ツールを用いてエッジを解像することができるようにするためには、デバイスそれ自身からのある程度の残留PPE変位を引き起こす。これを最小化するために多くの戦略が用いられえる。この問題に対応するための上述の技術への1つの改善は、以下のように達成されえる。デバイス表現構造は、デュアルレチクルシーケンシャル露光においてテストウェーハ上のレジスト内でパターン付けされる。第1レチクルにおいて、レイヤ内で選択されたデバイス構造は、オーバレイターゲットのワーキングゾーン内で正確にレプリケートされる。第2レチクルにおいて、粗い周期的構造がこのデバイスレプリケート構造の上面でオーバレイされる。2つのレチクルは連続的に露光および現像され、よって一般に粗い周期的構造に関連付けられた、オーバレイターゲット内で解像されたエッジをデバイスを反映しないかたちでシフトさせる近接効果を防ぐ。
デュアルレチクル露光を必要としないこの問題の代替の解法は、デバイス表現構造の粗い変調構造の幅を減らすことである。充分に小さいレイヤまたはトレンチ幅においては、PPE摂動が消される。この技術にはトレードオフがあり、それは、必要とされた計測精密性を達成するために最低限の信号対雑音比を確保する充分なコントラストがオーバレイ計測ツールによって得られた画像中に残っていなければならないからである。このトレードオフは、図16において示され、これは、150/150nmライン/スペース周期的構造における単一スペースを変化させたときのパターン配置誤差の効果を示す。シミュレーション結果は、KLA−TencorからのPROLITH Tool Kitリソグラフィシミュレーションソフトウェアを用いて達成された。このシミュレーションのために用いられたスキャナモデルは、ASMLのAT:1100 ArF TWINSCAN System(波長193nm、NA=0.75、シグマ=0.25、従来の照射源)である。PPEは、2つの収差条件:50ミリ波長に等しい3次コマおよび50ミリ波長に等しい3次+5次コマについて計算された。
計測ツール画像におけるコントラストを達成するために付加された粗い構造による摂動をなくすのに用いられえる追加の方法は、光学近接補正の応用である。この考えは、フーリエ領域での摂動を最小化するようにターゲットを構築することである。例として、サブプリンタブルスキャッタバー(sub printable scatter bars)を粗い周期的構造内で空いているスペース内に、デバイス表現周期的構造と同じピッチで、異なるデューティサイクルで追加することも、妥当な計測画像コントラストを維持しながらパターン変位誤差摂動をなくしえる。図16および17は、このアプローチの実現例を示す。図16に示されたシミュレーション結果は、上述と同じASMLスキャナモデルを用いて計算された。図17に示された結果は、既知のリソグラフィプロセスに調節された実際のプロセスパラメータを用いてシミュレーションされた。スキャナパラメータは、波長193nm、NA=0.63、シグマ=0.87/0.57、環状照射源である。PPEは、2つの収差条件:50ミリ波長に等しい3次コマおよび50ミリ波長に等しい3次+5次コマについて計算された。
図11〜14を参照して、上の記載と関連していくつかのオーバレイターゲット170A〜Dが示される。これらオーバレイターゲット170のそれぞれは、図7に示されたオーバレイターゲットと同様である。示されるように、オーバレイターゲット170は、8つのワーキングゾーン172を含む。ワーキングゾーン172は、第1レイヤまたは第2レイヤのいずれかに位置しえる。第1レイヤは一般に第1セットのリソグラフィパラメータ(L1)を用いて処理され、第2レイヤは一般に第2セットのリソグラフィパラメータ(L2)を用いて処理される。ワーキングゾーン172のそれぞれは、周期的構造174を含む。ワーキングゾーン172内の周期的構造174は、プロセスロバスト構造(PRS)またはデバイス表現構造(DRS)でありえる。
図11は、較正オーバレイターゲット170A、すなわち本発明のある実施形態による較正データを生成するために較正中に用いられるターゲットである。較正オーバレイターゲット170Aは、外側ワーキングゾーン内のL2 DRS周期的構造176、および内側ワーキングゾーン内のL2 PRS周期的構造178を備える。較正中、ターゲット170Aは、典型的にはデバイスおよびスクライブライン位置において計測される。必要条件ではないが、このターゲットは典型的にはレジストだけのウェーハ、すなわち完全に処理されていないウェーハ上に配置される。
図11は、本発明のある実施形態による較正オーバレイターゲット170Bの他の例である。較正オーバレイターゲット170Bは、外側ワーキングゾーン内のL1 PRS周期的構造180、および内側ワーキングゾーン内のL1 DRS周期的構造182を備える。較正中、ターゲット170Bは、典型的にはデバイスおよびスクライブライン位置において計測される。必要条件ではないが、このターゲットはこの特定のレイヤについてだけは、典型的にはレジストだけのウェーハ、または完全に処理されたウェーハのいずれかの上に配置される。
図13は、本発明のある実施形態による較正オーバレイターゲット170Cの例である。較正オーバレイターゲット170Cは、外側ワーキングゾーン内のL1 DRS周期的構造184、および内側ワーキングゾーン内のL2 DRS周期的構造186を備える。較正中、ターゲット170Cは、典型的にはデバイスおよびスクライブライン位置において計測される。このターゲットは、検証ターゲットでもありえる。検証ターゲットは、典型的には較正のためには用いられないが、L1およびL2構造から得られたOVL値の検証のために用いられ、それは全ての位置において補正されえる。
図14は、製造オーバレイターゲット170D、すなわち本発明のある実施形態によるオーバレイを計測するために製造中に用いられるターゲットである。製造オーバレイターゲット170Dは、外側ワーキングゾーン内のL1 PRS周期的構造188、および内側ワーキングゾーン内のL2 PRS周期的構造190を備える。例として、L1 PRS周期的構造は、第1セットのリソグラフィパラメータを用いて形成されえ、L2 PRS周期的構造は、第2セットのリソグラフィパラメータを用いて形成されえる。製造中、ターゲット170Dは、典型的にはスクライブライン位置においてだけ計測される。このターゲットはアンカーターゲットでありえる。アンカーターゲットは、より高次の収差にはあまり敏感ではないターゲットである。
ある実施形態において、図11〜14を参照して、L1 DRS周期的構造は、一般にデバイスを最もよく表現するレイヤ1についての構造を表し、すなわちレイヤ1上に位置するデバイスで何が起こっているかを最もよく模擬する構造であり、L1 PRS周期的構造は、一般にデバイスを最もプロセスロバストな構造を表し、すなわちレイヤ1上で用いられるプロセスに最もよく耐える構造である。加えて、L2 DRS周期的構造は、一般にデバイスを最もよく表現するレイヤ2についての構造を表し、すなわちレイヤ2上に位置するデバイスで何が起こっているかを最もよく模擬する構造であり、L2 PRS周期的構造は、一般にデバイスを最もプロセスロバストな構造を表し、すなわちレイヤ2上で用いられるプロセスに最もよく耐える構造である。DRS周期的構造は、細かくセグメント化されたラインを示されるように含みえる。
図15は、本発明のある実施形態によるオーバレイをモニタリングする例示的方法300の概略図である。例として方法300は、大きくは上述の方法に対応する。方法300は大きくは、較正モード302および製造モード304からなる。較正モード302は、製造モード304中に形成されるデバイス構造のオーバレイ誤差を最もよく予測するために製造モード304で用いられえるオーバレイ較正データを作るよう構成され、すなわち較正データは製造モードで較正されたオーバレイ誤差を補正するために用いられる。較正モード302は典型的には製造モード304の前に行われる。
較正モード302は、1つ以上のテストダイ306を1つ以上のテストウェーハ308上に形成することによって始まる。これは、そのパターンがテストウェーハ308(例えばレジスト/レジストウェーハ)上に繰り返しプリントされるテストレチクルで一般に達成される。理解されるように、テストダイ306のそれぞれは、テストレチクル上に位置するターゲットパターンに対応する複数のターゲット320を含む。レチクルパターンは典型的には複数のテストウェーハ308上に異なるリソグラフィパラメータを用いてプリントされる。示された実施形態において、レチクルパターンは、第1テストウェーハ308A上に第1セットのリソグラフィパラメータを用いてプリントされ、レチクルパターンは、第2テストウェーハ308B上に第2セットのリソグラフィパラメータを用いてプリントされる。リソグラフィパラメータは大きくはデバイスのさまざまなレイヤについての製造中に用いられるパラメータに対応する。例えば、第1セットのリソグラフィパラメータは、レチクルパターンを第1レイヤ(L1)に形成するために用いられえ、第2セットのリソグラフィパラメータは、レチクルパターンを第2レイヤ(L2)に形成するために用いられえる。これらウェーハのそれぞれの上にプリントされたレチクルパターンは、同じテストレチクルからであってもよく、そうでなくてもよい。2つのウェーハだけが示されるが、これは限定ではなく、任意の個数のテストウェーハ308が用いられえる。
テストダイ306のそれぞれの中にプリントされたターゲット320は、大きく変えられえる。例えば、それらは前述のターゲットのうちの任意のものでありえる。示された実施形態においては、ターゲットは図11〜14に示されたターゲットのスタイルに対応する。これらターゲットのうちの任意のものが用いられえる(例えばPRS対PRS、PRS対DRS、DRS対DRSなど)。しかしたいていの場合PRS対DRSターゲットが用いられる。示されるように第1テストウェーハ308Aは、少なくとも第1セットのターゲット320Aを含み、第2テストウェーハ308Bは、少なくとも第2セットのターゲット320Bを含む。例として、第1セットのターゲット320Aは、図11に示されたターゲットに対応し、第2セットのターゲット320Bは、図12に示されたターゲットに対応する。
ターゲットをプリントした後、ターゲットは計測される。計測は、典型的にはよく知られる従来の技術を用いて実行される。示されるようにターゲットはそれぞれデバイスおよびスクライブライン位置322および324において計測される。
製造モード304は一方、大きくは1つ以上の製造ダイ326を製造ウェーハ328上に形成することによって始まる。これはそのパターンが製造ウェーハ328にわたって繰り返しプリントされる製造レチクルで一般に達成される。製造ダイ326のそれぞれは、1つ以上のデバイス構造330および製造レチクル上に位置するパターンに対応する1つ以上のターゲット332を含む。デバイス構造は典型的にはデバイス、すなわち集積回路を作るために用いられ、一方、ターゲットは典型的には近接するレイヤ上のデバイス構造の相対位置が所望の範囲内であることを確実にするために用いられる。示されるように、ターゲット332は、製造ダイ326の周辺、例えばスクライブライン内に配置される。製造ダイ326は典型的には、複数の製造レチクルおよび複数のリソグラフィパラメータを用いて、製造ウェーハ328上のさまざまなレイヤ内に形成される。示された実施形態において、第1ダイパターンは、第1セットのリソグラフィパラメータを用いて第1レイヤ(L1)に形成され、第2ダイパターンは、第2セットのリソグラフィパラメータを用いて第2レイヤ(L2)に形成される。たいていの場合、較正モードで用いられるリソグラフィパラメータは、製造モードで用いられるリソグラフィパラメータと同様である。
製造ダイ326のそれぞれの中でプリントされたターゲット332は、大きく変えられえる。例えば、それらは前述のターゲットのうちの任意のものでありえる。示された実施形態においては、ターゲットは図13〜16に示されたターゲットのスタイルに対応する。これらターゲットのうちの任意のものが用いられえる(例えばPRS対PRS、PRS対DRS、DRS対DRSなど)。しかしたいていの場合PRS対PRSターゲットが用いられるが、これはそれらがプロセスに対してロバストであるからであり、すなわち第1PRSは第1レイヤ(L1)を形成するのに用いられるプロセスに対してロバストであり、第2PRSは第2レイヤ(L2)を形成するのに用いられるプロセスに対してロバストである。ある実施形態においてはPRS対PRSターゲットは、第1テストウェーハ上で用いられたPRSに対応する第1PRS、および第2テストウェーハ上で用いられたPRSに対応する第2PRSを備える。例として、ターゲットは、図14に示されたターゲットに対応しえる。
製造ダイ326を形成した後で、スクライブライン334内のターゲット332が計測される。計測は典型的には、よく知られた従来の技術を用いて実行される。ターゲット332を計測した後、デバイス位置336におけるデバイス構造330のオーバレイ誤差を決定するために製造計測は較正計測と比較される。理解されるように、較正ターゲットの位置は一般に、製造ターゲット332およびデバイス構造330の位置に対応し、したがって較正された計測は製造計測を補正するために用いられえる。これは一般に図5で記載された変換を実行することによって達成される。
本発明のある実施形態において、稠密マーククラスタ計測シーケンス(dense mark cluster measurement sequence)が、多くの異なるまたは類似のオーバレイマークを計測するときの計測時間を短縮するために、計測ツールによって実行されえる。この稠密マーククラスタ計測シーケンスは一般に、クラスタ内のオーバレイマーク間の距離を知ることによってオーバレイマークの捕捉およびオーバレイマークへのフォーカシングをスキップすることによって計測ツールのユーザが計測の時間を短縮することを可能にする。稠密マーククラスタ計測シーケンスは典型的には、レシピトレインのあいだに決定される。すなわち、計測ツールは一連の計測ステップをあるオーバレイマークから次へと順番に進まされる。オーバレイマーク間の最大距離と合わせて、稠密マーククラスタのサイズは、大きく変えられえる。これらのファクタの両方は一般に、オーバレイマークを計測する計測ツールに依存する。すなわちこれらのファクタはツール固有である。必要条件ではないが、マーク間の距離が大きくなればなるほど、計測の正確性が損なわれると一般には考えられている。よって最大距離は典型的には小さい。例として、限定ではなく、クラスタサイズは約2および約100マークの間でありえ、最大距離は約2mmでありえる。再び、これらの値は限定ではなく、計測ツールの設計に応じて一般に変わりえる。
稠密マーククラスタ計測シーケンスを特に参照すれば、クラスタ内の第1マークが捕捉されフォーカシングされ、他のマークはあるマークの中心から次のマークへとブラインドステッピングによって計測される。ブラインドステッピングは、一般に計測ツールがウェーハ上のある点から、ウェーハの他の点に捕捉および/またはフォーカスステップを実行することなく移動することである。よって計測ツールが捕捉しリフォーカスするのに典型的に必要とする時間が節約される。例として、多くの計測マークについて、この方法は計測位置当たり90%にも及んで節約できる。
図18は、本発明のある実施形態による稠密マーククラスタ計測シーケンスを示すフロー図である。計測シーケンス338は典型的には、例えばカリフォルニア州ミルピタスのKLA−Tencorによって製造されるArcherのような計測ツール上で実行される。計測ツールによって実行された計測は、画像化、スキャニング、散乱計測などのような技術に基づく。フロー338は大きくはブロック340において始まり、ここでグローバルウェーハアライメントが実行される。グローバルウェーハアライメントは、大きくはチャックの中心上でウェーハの物理的位置を決定するプロシージャを指す。この特定のステップはこの分野でよく知られているので、より詳細には説明されない。ブロック340に続いて、プロセスフローはブロック342に進み、ここでツールはフォーカシングステップを実行する。フォーカシングステップは典型的にはオーバレイマークにフォーカスが合うように実現される。例えばツールは、ウェーハの表面にフォーカスが合うか、またはフォーカスの特定の値の範囲内に入るまでz軸に沿って移動する。ブロック342に続いて、プロセスフローはブロック344に進み、ここで捕捉ステップがオーバレイマーク上で実行される。捕捉ステップは一般に、オーバレイマークが計測ツールの視野内で中心付けられるプロシージャを指す。これは一般に、マークの位置を分析するマークの画像を取り込み、必要なら計測ツールの視野内でオーバレイマークを中心付けるために計測ツールのステージを再位置付けすることによって達成される。フォーカスおよび捕捉ステップはよく知られているのでここではこれ以上詳細には説明されない。
ブロック344に続いて、プロセスフローはブロック346に進み、ここでオーバレイマークは計測ツールによってグラブされる。グラブすること(grabbing)はこの技術でよく知られている。それは一般に、オーバレイマークのオーバレイ誤差を決定するためにオーバレイマークが計測され、分析される方法を指す。グラブすることは一般に画像化(例えばオーバレイマークの写真を撮ること)に関する計測技術を指すが、それはスキャニング、散乱計測などのような他の技術もカバーすることに注意されたい。ブロック346に続いて、プロセスフローはブロック348に進み、ここで計測ツールは次の位置、すなわち次の計測位置に移動する。
しかしブロック348に示される次の位置に移動する前に、計測ツールが標準モードまたは稠密マーククラスタモードで走らされるかどうかについての決定がなされる。もし計測ツールが標準モードで走っているなら、プロセスフローはブロック340に進む。もし計測ツールが稠密マーククラスタモードで走っているなら、ブロック340〜344はスキップされ、プロセスフローはブロック346に進む。すなわち第1マークについての全てのステップ(ステップ340〜348)が完了した後、計測ツールの視野の中心からクラスタ内の次のマークがウェーハ上で位置する所へのベクタが計算される(ステップ348)。これはランニングブラインド(running blind)と呼ばれ、すなわち捕捉またはフォーカスステップは実行されない。ブロック346において、次のマークが同じフォーカス位置で(第1マークと同じ)グラブされる。ブラインドでステップ346および348を通して走ることは、クラスタ内の全てのマークがグラブされるまで続く。その後、プロセスフローはオワリ、プロセスはブロック340に戻り、ここで新しいクラスタが計測ツールによって処理されえる。
フォーカスおよび捕捉の両方をスキップすることは限定ではなく、オーバレイ計測の時間を節約するために、これらステップのうちの1つだけがスキップされてもよい(他方を実施しつつも)。この方法は、オーバレイ計測に限定されず、これは例えばクリチカルディメンジョン(CD)、フィーチャ形状、トポグラフィ(例えば3D)などの他の計測に拡張されえることに注意されたい。
他の実施形態において、計測ツールは、予め定義された期間デルタTの後、捕捉およびフォーカシングステップ342をリフレッシュし、ステップ348のマーク位置付けの正確さを改善してもよい。他の実施形態においては、捕捉およびフォーカシングステップ342は、マーク位置付けの正確さを改善するために、以前に捕捉されたマークおよび現在のマークの間で予め定義されたデルタLに達した後に実行されえる。さらに他の実施形態においては、時間を節約するためにフィードフォワード技術が用いられえる。フィードフォワードは一般に、最後のステップからの情報を用いて次のステップを修正する技術を指す。この実施形態においては、捕捉および/またはフォーカスは前のステップからのグラブされた画像を用いて実行されえる。
ますます小さくなるリソグラフィオーバレイ制御のバジェットを満たすために、オーバレイ計測の不確実性は定量化され最小化されなければならない。オーバレイ計測の不確実性は一般に、オーバレイマークのオーバレイ誤差およびデバイスの実際のオーバレイ誤差の間に見いだされるバラツキを指す。この不確実性のある重要な原因は、パターンニングプロセスがオーバレイマークの忠実性またはロバスト性に与える影響、すなわちパターニングプロセスが正確にオーバレイマークを再現できる程度である。例として、パターニングプロセスに関連付けられたレチクル誤差およびリソグラフィ誤差は、オーバレイマーク忠実性(OMF)に少なからず影響を与えることが観測されている。
したがってある実施形態によれば、オーバレイマーク忠実性は、オーバレイ計測の不確実性を定量化し低減させるのに役立つよう評価される。評価は一般に、互いに密に配置される設計上は同一のオーバレイマークのアレイのオーバレイ誤差を計測することによって達成される。計測ツールによって引き起こされた不確実性のソースを補償した後では、アレイ内の全てのオーバレイマークは、原則として同じオーバレイ値を与えなければならない。しかしもしそれらが同じ値を与えないなら、オーバレイマーク忠実性はオーバレイマーク計測不確実性の無視できないソースでありえる。理解されるように、オーバレイマーク忠実性は、マーク設計およびウェーハ上での位置によって変化する傾向がある。ある実施形態において、所望のオーバレイマーク忠実性は、オーバレイマークのアレイ内で見いだされるオーバレイ誤差、すなわち密に詰められたオーバレイマークのグループのオーバレイ誤差の分布の標準偏差の3倍(3×)と定義される。しかしこれは限定ではなく、オーバレイマーク忠実性を決定するためには他の計測法も使用可能であることに注意されたい。例えばオーバレイ結果の分布のタイトさの他の推定値も用いられえる。
図19は、本発明のある実施形態による忠実性決定方法350のフロー図である。忠実性決定方法350は、与えられたオーバレイマークのロバスト性または忠実性を決定するよう一般に構成される。オーバレイマークは大きく変えられえる。例えば、それらは従来のボックスインボックス(または関連付けられたボックスマーク)に対応しえ、またはそれらは、2001年6月27日に出願され「OVERLAY MARKS, METHODS OF OVERLAY MARK DESIGN AND METHODS OF OVERLAY MEASUREMENTS」と題され、ここで参照によって援用されるGhinovkerらの米国特許出願第09/894,987号に見られるもの(またはその等価物)のような周期的構造に対応しえる。
この方法は大きくはブロック352において始まり、ここで密に詰められたオーバレイマークのアレイが形成される。密に詰められるとは、一般に、広い領域にわたってなら変化するプロセス条件の影響が無視できる、すなわちそれらの間にあまりバラツキが存在しないくらいプロセスオーバレイマークが互いに接近して配置されることを意味する。例として、オーバレイマークは約1から約20ミクロン互いに離れて配置されえる。たいていの場合、オーバレイマークは、従来のウェーハプロセス技術を用いてテストウェーハ上に形成される。ある実施形態においてオーバレイマークは2つのレイヤ上にプリントされる。他の実施形態においては、オーバレイマークは単一のレイヤ上にプリントされる。後者の実現例は、オーバレイマークの忠実性に影響を与える変数を減らす利点があり、初めの実現例のように2つのレチクルおよび2つの処理ステップではなく、単一のレチクルおよび単一の処理ステップである。
ブロック352に続いて、プロセスフローはブロック354に進み、ここでオーバレイマークのそれぞれのオーバレイ誤差が計測される。これは例えば画像化、スキャニング、散乱計測などの適切なオーバレイ計測技術を用いて達成されえる。
ブロック354に続いて、プロセスフローはブロック356に進み、ここでオーバレイマークのオーバレイ誤差間の差異(variance)が計算される。この差異は一般に、アレイ内に位置するオーバレイマークのオーバレイ誤差の間に見いだされる差異を指す。差異は、任意の適切な技術を用いて見いだされ得る。理解されるように、もし差異が所望の範囲内であるなら、そのオーバレイマークは与えられたプロセス条件でうまく働くと考えられえる。加えて、もし差異が所望の範囲内でないなら、そのオーバレイマークは与えられたプロセス条件でうまく働かないと考えられえる。
図20は、本発明のある実施形態によるオーバレイマーク選択方法360のフロー図である。オーバレイマーク選択方法360は大きくは、与えられたセットのプロセス条件についての最もプロセスロバストなオーバレイマークを選択するよう、すなわち与えられたプロセス条件についての最もよく働く1つ以上のオーバレイマークを見つけるよう構成される。オーバレイマークは大きく変えられえる。例えば、オーバレイマークは従来のボックスインボックス(または関連付けられたボックスマーク)に対応しえ、またはそれらは、2001年6月27日に出願され「OVERLAY MARKS, METHODS OF OVERLAY MARK DESIGN AND METHODS OF OVERLAY MEASUREMENTS」と題され、ここで参照によって援用されるGhinovkerらの米国特許出願第09/894,987号に見られるもの(またはその等価物)のような周期的構造に対応しえる。
この方法は大きくはブロック362において始まり、ここで複数のオーバレイマークアレイが形成される。オーバレイマークアレイのそれぞれは2つ以上の密に詰められた同一のオーバレイマークを含む。例として、オーバレイマークアレイは、1×1アレイ、2×2アレイ、4×4アレイ、8×8アレイなどを含む。非対称なアレイも用いられえる。例えば、1×2、2×4、4×8など。オーバレイマークアレイは、一般に異なるオーバレイマークで形成される。例えば第1オーバレイマークアレイは第1タイプのオーバレイマークを含み、第2オーバレイマークアレイは第2タイプのオーバレイマークを含む。オーバレイマークは1つ以上のテストウェーハ上に予め決められたセットのプロセス条件を用いて形成されえる。
ブロック362に続いて、プロセスフローはブロック364に進み、ここでオーバレイマークアレイ内のオーバレイマークのオーバレイ誤差が計測される。これは例えば画像化、スキャニング、散乱計測などの適切なオーバレイ計測技術を用いて達成されえる。
ブロック364に続いて、プロセスフローはブロック366に進み、ここでオーバレイマークアレイのそれぞれについてのオーバレイ誤差の差異が計算される。これは図19のブロック356に類似するが、計算はアレイ群のうちのそれぞれについて実行される。
ブロック366に続いて、プロセスフローはブロック368に進み、ここでオーバレイマークアレイのオーバレイ誤差の差異が比較される。最も少ない量のオーバレイ差異を持つオーバレイマークアレイからのオーバレイマークは、一般に、与えられたオーバレイマークアレイについて最もプロセスロバストなマークを生むと考えられる。例えばもし第1オーバレイマークアレイが第2オーバレイマークアレイに比べて大きな差異を有するなら、第2オーバレイマークアレイ内に含まれるオーバレイマークは一般に、与えられたプロセス条件について第1オーバレイマークアレイ内に含まれたオーバレイマークよりもよく働くと考えられる。すなわち第2オーバレイマークは、第1オーバレイマークよりプロセスロバストである。
オーバレイマーク忠実性アレイおよびボックスインボックスおよび新しいターゲットで得られた結果は図21および22に示される。
さらに、OMF(オーバレイマーク忠実性)は、近傍にプリントされたN個の同一マークのアレイからのN個のオーバレイ計測結果の標準偏差の3倍として定義されえる。設計上、これらN個の計測値は、同一のオーバレイ結果を作るはずである。しかし実際にはプロセス効果のために、オーバレイ読み出しの分布が生まれる。このコンテキストでは、「プロセス効果」は、レチクル製造で始まり、ウェーハ上のトポグラフィ的に複雑な構造に終わるステップのシーケンスを指し、ここでオーバレイマークは2つの異なるプロセスレイヤからの情報を含む。OMFによって定量化される分布は、オーバレイ計測誤差の重要な要素であり、従来の計測不確実性の原因、すなわち精密さ、TIS(ツールによって引き起こされるシフト)およびTISのバラツキとは独立である。上述の計測ツールで引き起こされる不確実性のソースについて補償した後、このOMFは、アレイからのオーバレイ結果から計算される。
具体的にはiは、N個の近接ターゲットのアレイ内ターゲット数の添え字とする。jは、W個のウェーハのロットの中の添え字kのウェーハ上のF個のフィールドからのフィールド数の添え字とする。OVL_XijkおよびOVL_Yijkは、ターゲットiについて、フィールドjおよびウェーハk上のそれぞれXおよびY方向のオーバレイである。ウェーハk上のフィールドjのOMFはしたがって以下のように定義される。
Figure 2005518107
ロット中のW個のウェーハのうち、全ては同じレチクルセットで処理されるが、恣意的なプロセスパラメータで処理されると一般には仮定される。与えられたウェーハkについての、プールされたOMFは以下によって推定される。
Figure 2005518107
個別の計測の動的精度Sは典型的にはOMFより5倍小さく、この補正は小さいが、厳密さのために含まれる。分析の次のステップは、全てのアレイについて全てのフィールドおよび全てのウェーハ上で一定であるこの統計的推定値の要素を、アレイ毎に変化する要素から抽出することである。このアレイに独立な要素は、マスク誤差に帰因し、以下のように定義される。
Figure 2005518107
ここで平均ターゲットオーバレイは以下のように計算される。
Figure 2005518107
レチクルオーバレイマーク忠実性はそれから統計的に以下のように推定される。
Figure 2005518107
最後に、プロセスへの寄与は、マスク誤差について補正した後、アレイ毎の「ランダムな」要素を計算することによって推定される。補正されたオーバレイはそれから以下のように定義される。
Figure 2005518107
したがってランダムなつまりプロセスOMF寄与は、フィールドOMFと同様に推定され、ここでOVL_XはOVL_X_Correctedで置き換えられる。このようにしてオーバレイマーク忠実性のプロセス寄与をレチクル寄与から分離できる。最後の健全性チェックとして、レチクル寄与はウェーハ毎に計算されえる。すなわちMEiをMEikで置き換え、フィールドにわたってのみ和をとる。合理的な統計的範囲内でこのパラメータは全てのウェーハ上で同一の結果を生むはずである。
多くの異なるプロセスレイヤの組み合わせで製造され、DUVスキャナを用いてパターン付けされた、さまざまなマークについてのこのような計測の結果を示す。ウェーハをパターン付けするのに同じレチクルセットが異なるプロセスレイヤおよびプロセス条件で用いられた。上述のように適切な統計的分析によって、総OMFをレチクルによって引き起こされたOMF成分およびランダムなOMF成分に分けることが促進された。従来のボックスインボックスオーバレイマークのOMFおよび新しい格子ベースオーバレイマークのOMFを比較する。レチクルによって引き起こされたOMFは、新しい格子ベースのオーバレイマークを用いたとき30%の改善を示した。さらに異なるCMP時間でSTIプロセスを通して走らされた一連のウェーハにおいて、新しい格子ベースのオーバレイマークのOMFのランダムな成分は、ボックスインボックスマークと比較して、プロセス変化に50%少なく敏感であることが観測された。これは、新しい格子ベースのオーバレイマークが、従来のボックスインボックスオーバレイマークよりもCMPプロセス変化に対してロバストであることを示す。
図23A〜Cは、OMFのレチクルおよびランダム(プロセス)成分間の分類結果を示す図である。
本発明は、いくつかの好ましい実施形態について記載されてきたが、本発明の範囲に入る改変、組み合わせ、および等価物が存在する。例えば上述の記載は一般にオーバレイマーク忠実性の特徴付けに関するが、微小電子回路製造で用いられる任意の計測マーク、例えばCD計測マークまたは他のパラメトリック計測および検査マークのレチクルおよびプロセス忠実性の寄与がこの方法によって特徴付けられることが理解されよう。また本発明の方法および装置を実現する多くの代替手法が存在することに注意されたい。よって以下の添付の特許請求の範囲はこのような全ての組み合わせおよび等価物が本発明の精神および範囲に入るものとして解釈されるべきであると意図される。
この技術でよく知られるオーバレイマークの上部平面図である。 本発明のある実施形態によるオーバレイ方法の図である。 本発明のある実施形態によるオーバレイ処理のフロー図である。 本発明のある実施形態による較正方法のフロー図である。 本発明のある実施形態によるオーバレイ補正分析のフロー図である。 本発明のある実施形態による、図5に記載された方法のある実現例を示す図である。 本発明のある実施形態による、「デュアルパターン」オーバレイターゲットの上部平面図である。 本発明のある実施形態による、SEMまたはAFM上での計測のためのデバイス表現計測マーク(ラインフィーチャからラインフィーチャ)の例を示す図である。 本発明のある実施形態による、SEMまたはAFM上での計測のためのデバイス表現計測マーク(ラインフィーチャからラインフィーチャ)の例を示す図である。 本発明のある実施形態による、SEMまたはAFM上での計測のためのデバイス表現計測マーク(ラインフィーチャからラインフィーチャ)の例を示す図である。 本発明のある実施形態による、SEMまたはAFM上での計測のためのデバイス表現計測マーク(ラインフィーチャからラインフィーチャ)の例を示す図である。 本発明のある実施形態による、SEMまたはAFM上での計測のためのデバイス表現計測マーク(ラインフィーチャからラインフィーチャ)の例を示す図である。 本発明のある実施形態による、SEMまたはAFM上での計測のためのデバイス表現計測マーク(ラインフィーチャからラインフィーチャ)の例を示す図である。 本発明のある実施形態による、SEMまたはAFM上での計測のためのデバイス表現計測マーク(ラインフィーチャからラインフィーチャ)の例を示す図である。 本発明のある実施形態による、SEMまたはAFM上での計測のためのデバイス表現計測マーク(ラインフィーチャからラインフィーチャ)の例を示す図である。 本発明のある実施形態による、SEMまたはAFM上での計測のためのデバイス表現計測マーク(ラインフィーチャからホールフィーチャ)の例を示す図である。 本発明のある実施形態による、SEMまたはAFM上での計測のためのデバイス表現計測マーク(ラインフィーチャからホールフィーチャ)の例を示す図である。 本発明のある実施形態による、SEMまたはAFM上での計測のためのデバイス表現計測マーク(ラインフィーチャからホールフィーチャ)の例を示す図である。 本発明のある実施形態による、SEMまたはAFM上での計測のためのデバイス表現計測マーク(ラインフィーチャからホールフィーチャ)の例を示す図である。 本発明のある実施形態による、SEMまたはAFM上での計測のためのデバイス表現計測マーク(ラインフィーチャからホールフィーチャ)の例を示す図である。 本発明のある実施形態による、SEMまたはAFM上での計測のためのデバイス表現計測マーク(ラインフィーチャからホールフィーチャ)の例を示す図である。 本発明のある実施形態による、SEMまたはAFM上での計測のためのデバイス表現計測マーク(ラインフィーチャからホールフィーチャ)の例を示す図である。 本発明のある実施形態による、SEMまたはAFM上での計測のためのデバイス表現計測マーク(ラインフィーチャからホールフィーチャ)の例を示す図である。 本発明のさらなる実施形態による、SEMまたはAFM上での計測のためのデバイス表現計測マーク(ラインフィーチャからホールフィーチャ)の例を示す図である。 本発明のさらなる実施形態による、SEMまたはAFM上での計測のためのデバイス表現計測マーク(ラインフィーチャからホールフィーチャ)の例を示す図である。 本発明のさらなる実施形態による、SEMまたはAFM上での計測のためのデバイス表現計測マーク(ラインフィーチャからホールフィーチャ)の例を示す図である。 本発明のさらなる実施形態による、SEMまたはAFM上での計測のためのデバイス表現計測マーク(ラインフィーチャからホールフィーチャ)の例を示す図である。 本発明のさらなる実施形態による、SEMまたはAFM上での計測のためのデバイス表現計測マーク(ラインフィーチャからホールフィーチャ)の例を示す図である。 本発明のさらなる実施形態による、SEMまたはAFM上での計測のためのデバイス表現計測マーク(ラインフィーチャからホールフィーチャ)の例を示す図である。 本発明のある実施形態による、オーバレイターゲットの上部平面図である。 本発明のある実施形態による、オーバレイターゲットの上部平面図である。 本発明のある実施形態による、オーバレイターゲットの上部平面図である。 本発明のある実施形態による、オーバレイターゲットの上部平面図である。 本発明のある実施形態による、オーバレイをモニタする例示的方法の概略図である。 本発明のある実施形態による、パターン配置誤差のグラフである。 本発明のある実施形態による、周期的デバイス表現構造に対するパターン配置誤差のグラフである。 本発明のある実施形態による、計測シーケンスを示すフロー図である。 本発明のある実施形態による、忠実性決定方法を示すフロー図である。 本発明のある実施形態による、オーバレイマーク選択方法を示すフロー図である。 本発明のある実施形態による、オーバレイマーク忠実性アレイの図である。 本発明のある実施形態によるボックスインボックスおよび新しいターゲットの両方で得られた結果を示すグラフである。 本発明のある実施形態によるOMFのレチクルおよびランダム(プロセス)要素間の分類の結果を示す図である。 本発明のある実施形態によるOMFのレチクルおよびランダム(プロセス)要素間の分類の結果を示す図である。 本発明のある実施形態によるOMFのレチクルおよびランダム(プロセス)要素間の分類の結果を示す図である。

Claims (58)

  1. 半導体プロセス中に形成されたデバイス構造のオーバレイ誤差を決定するオーバレイ方法であって、
    与えられたプロセス条件のセットについて、第1位置における第1ターゲットの前記オーバレイ誤差を第2位置における第2ターゲットの前記オーバレイ誤差に関連付けるオーバレイ情報を含む較正データを作ること、
    前記デバイス構造で製造された製造ターゲットに関連付けられたオーバレイ情報を含む製造データを作ること、および
    前記較正データに基づいて前記製造ターゲットの前記オーバレイ誤差を補正することによって、前記フィールド内のその位置における前記デバイス構造の真のオーバレイ誤差をよりよく反映させること
    を含む方法。
  2. 請求項1に記載の方法であって、前記較正されたオーバレイ情報は、前記第1ターゲットの前記オーバレイ誤差および前記第2ターゲットの前記オーバレイ誤差間の相対差異を示す方法。
  3. 請求項1に記載の方法であって、前記第1位置は典型的なターゲット位置に対応し、前記第2位置は典型的なデバイス構造の位置に対応する方法。
  4. 請求項1に記載の方法であって、前記製造ターゲットの前記位置および構成は、前記第1ターゲットに類似である方法。
  5. 請求項1に記載の方法であって、前記製造ターゲットおよびデバイス構造は、前記第1および第2ターゲットと同様のセットの処理条件で形成される方法。
  6. 請求項1に記載の方法であって、前記補正は、前記製造データを前記較正データと比較することによって実現され、前記比較は、第2製造ターゲットの前記オーバレイ誤差がもしデバイス構造の近傍に形成されたなら製造中にそうであったであろうものを生む方法。
  7. 請求項1に記載の方法であって、前記補正ステップは、較正中に形成された前記第1および第2ターゲットの前記オーバレイ誤差間で見られる差異を、製造中に形成された前記製造ターゲットのオーバレイ誤差に加算またはそれから減算することによって、前記製造ターゲットの前記オーバレイ誤差を、製造中に形成された前記デバイス構造の位置における前記オーバレイ誤差に変換することを含む方法。
  8. 請求項1に記載の方法であって、前記ターゲットはプロセスロバストターゲットまたはデバイス表現ターゲットに対応する方法。
  9. 請求項8に記載の方法であって、前記第1および第2ターゲットはプロセスロバストターゲットである方法。
  10. 請求項8に記載の方法であって、前記第1および第2ターゲットはデバイス表現ターゲットである方法。
  11. 請求項8に記載の方法であって、前記第1および第2ターゲットのうちのターゲットの一方はプロセスロバストターゲットに対応し、前記第1および第2ターゲットのうちの他方はデバイス表現ターゲットに対応する方法。
  12. 請求項8に記載の方法であって、前記製造ターゲットは、プロセスロバストターゲットである方法。
  13. 請求項8に記載の方法であって、前記製造ターゲットは、デバイス表現ターゲットである方法。
  14. 請求項1に記載の方法であって、前記較正されたデータは、与えられたセットのプロセス条件について、2つ以上のターゲットをテストウェーハ上のさまざまな位置に形成すること、前記ターゲットの前記オーバレイ誤差を計測すること、および前記与えられたセットのプロセス条件について異なる位置における異なるターゲット間の前記オーバレイ誤差を相関付けることによって実験的に得られ、前記製造データは、前記与えられたセットのプロセス条件について前記製造ターゲットおよび前記デバイス構造を製造ウェーハ上に形成すること、および前記製造ターゲットの前記オーバレイ誤差を計測することによって得られる方法。
  15. 請求項1に記載の方法であって、前記オーバレイ計測は、画像化、スキャニングまたは散乱計測技術を用いて実行される方法。
  16. オーバレイ処理方法であって、
    プロセスロバストターゲットを提供すること、
    前記プロセスロバストターゲットの1つ以上と共に基板上にデバイス構造を形成すること、
    前記1つ以上のプロセスロバストターゲットの前記オーバレイ誤差を計測すること、
    前記1つ以上のプロセスロバストターゲットに関連付けられた較正データを受け取ること、および
    前記計測されたオーバレイ誤差および前記較正データに基づいて前記フィールド内のその位置における前記デバイス構造の前記オーバレイ誤差を予測すること
    を含む方法。
  17. 請求項16に記載の方法であって、前記プロセスロバストターゲットは、与えられたセットのデバイス固有のデータについて、最もプロセスロバストなターゲットである方法。
  18. 請求項16に記載の方法であって、前記プロセスロバストターゲットはボックスインボックスまたは関連するターゲットである方法。
  19. 請求項16に記載の方法であって、前記プロセスロバストターゲットは周期的構造である方法。
  20. 請求項16に記載の方法であって、前記1つ以上のプロセスロバストターゲットは前記基板の前記スクライブライン内に形成される方法。
  21. 請求項16に記載の方法であって、前記計測は、画像化、スキャニングまたは散乱計測技術を用いて実行される方法。
  22. 請求項16に記載の方法であって、前記予測は、前記プロセスロバストターゲットの前記オーバレイ計測を前記較正データ中に含まれるオーバレイデータに比較することによって実行され、前記オーバレイデータは前記フィールド内の異なる点におけるターゲットに関係し、前記関係はプロセスロバストターゲットおよびデバイス表現ターゲットの両方を含むさまざまなターゲットで形成された方法。
  23. 請求項22に記載の方法であって、前記関係は、前記スクライブライン内に位置するプロセスロバストターゲットおよび前記フィールドにわたって位置するデバイス表現ターゲットの間のものである方法。
  24. 請求項16に記載の方法であって、前記デバイス構造の前記フィールド内のその位置における前記オーバレイ誤差は、前記スクライブライン内に位置する1つ以上の計測されたプロセスロバストターゲットを、前記スクライブライン内に位置する1つ以上の仮想デバイス表現ターゲットに前記較正データを用いて変換すること、前記スクライブライン内に位置する前記1つ以上の仮想デバイス表現ターゲットを、前記デバイス構造の前記フィールド位置における1つ以上の仮想デバイス表現ターゲットに前記較正データを用いて変換すること、前記デバイス構造の前記フィールド位置内の前記仮想デバイス表現ターゲットの前記オーバレイ誤差を計算すること、および前記デバイス構造の前記フィールド位置内の前記1つ以上のデバイス表現ターゲットの平均をとることによって予測される方法。
  25. 請求項16に記載の方法であって、
    最適な補正値を計算することであって、前記最適な補正値は前記デバイス構造を形成することに関連付けられた正しいアライメントを決定するのに役立つ、計算すること
    をさらに含む方法。
  26. 請求項25に記載の方法であって、前記計算は、前記デバイス構造の前記予測されたオーバレイ誤差および前記デバイス構造を形成することに関連付けられたデータを含むプロセスデータに基づく方法。
  27. 較正方法であって、
    複数のオーバレイターゲットパターンを有する1つ以上の特徴付けレチクルを提供すること、
    前記オーバレイターゲットパターンを較正ウェーハ上に転写すること、
    前記較正ウェーハ上の前記オーバレイターゲットの前記オーバレイ誤差を計測すること、および
    前記計測されたオーバレイターゲットの前記オーバレイ誤差を互いに対して較正すること
    を含む方法。
  28. 請求項27に記載の方法であって、前記特徴付けレチクルは、前記特徴付けレチクル表面の全体にわたって位置するオーバレイターゲットパターンの大きいアレイを含む方法。
  29. 請求項28に記載の方法であって、前記パターンは、プロセスロバストおよびデバイス表現構造に基づく方法。
  30. 請求項27に記載の方法であって、前記レチクルは、前記デバイス構造と最も類似して振る舞うデバイス表現ターゲットを確立すること、特定のプロセスに耐えるプロセスロバストターゲットを確立すること、および前記デバイス表現ターゲットおよびプロセスロバストターゲットを前記レチクル表面にわたって分配することによって形成される方法。
  31. 請求項30に記載の方法であって、前記デバイス表現ターゲットを確立することは、空間的特徴および形状の幅を持つデバイス表現ターゲットを設計すること、前記デバイス表現ターゲットを前記プロセスのさまざまな組み合わせを持つプロセスに通すこと、前記ターゲットを計測すること、および前記フィールド内のその位置においてどのデバイス表現ターゲットが前記デバイス構造に最も類似するかを決定することを含む方法。
  32. 請求項31に記載の方法であって、前記最もデバイスを表現するターゲットは、前記デバイス表現ターゲットを実際のデバイス構造と比較することによって実行され、前記実際のデバイス構造に忠実であり続ける前記デバイス表現ターゲットは前記最もよくデバイスを表現するターゲットである方法。
  33. 請求項30に記載の方法であって、前記プロセスロバストターゲットを確立するステップは、空間的特徴および形状の幅を持つプロセスロバストターゲットを設計すること、前記プロセスロバストターゲットを前記プロセスのさまざまな組み合わせを持つプロセスに通すこと、前記ターゲットを計測すること、および最も広い条件範囲にわたってどのプロセスロバストターゲットが最も少ししか変化しないかを決定することを含む方法。
  34. 請求項27に記載の方法であって、前記較正ウェーハはレジスト/レジストウェーハである方法。
  35. 請求項27に記載の方法であって、前記パターンは、前記ウェーハ表面の全体にわたって転写され、それにより前記ウェーハを多数のオーバレイターゲットで埋めるようにする方法。
  36. 請求項27に記載の方法であって、前記オーバレイターゲットパターンは、さまざまなステッパ設定について一連の較正に転写される方法。
  37. 請求項27に記載の方法であって、前記レチクルは、前記ターゲットの全てのオフセットがゼロに設定されるように構築される方法。
  38. 請求項27に記載の方法であって、前記較正するステップは、任意の2つのターゲット間の誤差を決定するために任意の2つのターゲット間の前記オフセットを比較することを含む方法。
  39. 請求項27に記載の方法であって、複数のターゲットは、それぞれのターゲットが互いに対して全て較正されるクロスレファレンスマトリクスを生成するように露光フィールド全体にわたって比較される方法。
  40. 請求項27に記載の方法であって、プロセスロバストターゲットは、前記較正ウェーハ中にそれらを形成するのに用いられた前記特性の関数として、デバイス表現ターゲットに対して較正される方法。
  41. 請求項40に記載の方法であって、前記スクライブラインにおける1つ以上のプロセスロバストターゲットの前記オーバレイは、前記フィールド内の異なる位置に位置する複数のデバイス表現ターゲットに対して較正される方法。
  42. 請求項27に記載の方法であって、前記較正するステップは、複数の構造の組み合わせを、前記フィールドにわたる複数の位置から関連付けるパターン配置誤差クロスレファレンスマトリクスを構築することを含む方法。
  43. 請求項27に記載の方法であって、前記較正するステップは、前記特徴付けレチクル内に実際に含まれたものの間の異なるデバイス構造についての前記予測されたパターン配置誤差を内挿することを含む方法。
  44. オーバレイ補正分析を実行する方法であって、
    較正データを提供すること、
    前記スクライブライン内に位置するプロセスロバストターゲットのオーバレイ誤差を決定すること、
    前記スクライブライン内に位置する仮想デバイス表現ターゲットの前記オーバレイ誤差を前記プロセスロバストターゲットの前記オーバレイ誤差および前記較正データに基づいて決定すること、および
    前記フィールド内のある点に位置する第2仮想デバイス表現ターゲットの前記オーバレイ誤差を前記第1仮想デバイス表現ターゲットの前記オーバレイ誤差および前記較正データに基づいて決定すること
    を含む方法。
  45. ダイ内に位置するデバイス構造のオーバレイ誤差を決定する方法であって、
    前記ダイの周辺のスクライブライン内に位置するプロセスロバストターゲットを計測すること、
    前記計測されたプロセスロバストターゲットを前記スクライブライン内に位置する仮想デバイス表現ターゲットに変換すること、
    前記仮想デバイス表現ターゲットを前記ダイ内に位置する第2仮想デバイス表現ターゲットに変換すること、および
    前記第2仮想デバイス表現ターゲットの前記オーバレイ誤差を計算すること
    を含む方法。
  46. オーバレイをモニタする方法であって、
    オーバレイ較正データを作るよう構成された較正モードであって、前記較正モードは、1つ以上のテストダイを1つ以上のテストウェーハ上に形成すること、前記テストダイは複数の較正ターゲットを含み、および前記較正ターゲットを計測することを含む、較正モード、
    1つ以上の製造ダイを製造ウェーハ上に形成すること、前記製造ダイは1つ以上のデバイス構造および1つ以上の製造ターゲットを含み、前記製造ターゲットを計測すること、および前記製造計測を前記較正計測と比較することによって特定のデバイス位置における特定のデバイス構造の前記オーバレイ誤差を決定することを含む製造モード
    を含む方法。
  47. 請求項46に記載の方法であって、前記較正ターゲットは、ワーキングゾーンのそれぞれが周期的構造を含む内側および外側ワーキングゾーンの両方を有するデュアルパターンオーバレイターゲットである方法。
  48. 請求項47に記載の方法であって、前記周期的構造はプロセスロバスト構造およびデバイス表現構造から選択される方法。
  49. 請求項47に記載の方法であって、前記外側ワーキングゾーンは第1セットのリソグラフィパラメータを用いて形成され、前記内側ワーキングゾーンは第1セットのリソグラフィパラメータを用いて形成され、前記外側ワーキングゾーンはデバイス表現構造を含み、前記内側ワーキングゾーンはプロセスロバスト構造を含む方法。
  50. 請求項47に記載の方法であって、前記外側ワーキングゾーンは第1セットのリソグラフィパラメータを用いて形成され、前記内側ワーキングゾーンは第1セットのリソグラフィパラメータを用いて形成され、前記外側ワーキングゾーンはプロセスロバスト構造を含み、前記内側ワーキングゾーンはデバイス表現構造を含む方法。
  51. 請求項47に記載の方法であって、前記外側ワーキングゾーンは第1セットのリソグラフィパラメータを用いて形成され、前記内側ワーキングゾーンは第2セットのリソグラフィパラメータを用いて形成され、前記外側ワーキングゾーンは第1プロセスロバスト構造を含み、前記内側ワーキングゾーンは第2プロセスロバスト構造を含む方法。
  52. 請求項46に記載の方法であって、前記製造ターゲットは、ワーキングゾーンのそれぞれが周期的構造を含む内側および外側ワーキングゾーンの両方を有するデュアルパターンオーバレイターゲットである方法。
  53. 請求項52に記載の方法であって、前記周期的構造はプロセスロバスト構造およびデバイス表現構造から選択される方法。
  54. 請求項52に記載の方法であって、前記外側ワーキングゾーンは第1セットのリソグラフィパラメータを用いて形成され、前記内側ワーキングゾーンは第2セットのリソグラフィパラメータを用いて形成され、前記外側ワーキングゾーンは第1プロセスロバスト構造を含み、前記内側ワーキングゾーンは第2プロセスロバスト構造を含む方法。
  55. 請求項52に記載の方法であって、前記1つ以上のテストダイは、前記露光フィールドにわたってさまざまな位置に位置する複数のデュアルパターンオーバレイターゲットを有する特徴付けレチクルから形成され、前記デュアルパターンオーバレイターゲットのそれぞれは、内側および外側ワーキングゾーンについて周期的構造の異なる組み合わせを持つ内側および外側ワーキングゾーンの両方を有し、前記周期的構造はデバイス表現構造およびプロセスロバスト構造から選択される方法。
  56. オーバレイマークの忠実性を決定する方法であって、
    密に詰められたオーバレイマークのアレイを形成すること、
    前記オーバレイマークのそれぞれのオーバレイ誤差を計測すること、および
    前記オーバレイマークの前記オーバレイ誤差間の差異を計算すること
    を含む方法。
  57. オーバレイマークを選択する方法であって、
    複数のオーバレイマークアレイを形成すること、
    前記オーバレイマークアレイ中の前記オーバレイマークの前記オーバレイ誤差を計測すること、
    前記オーバレイマークアレイのそれぞれについての前記オーバレイ誤差の差異を計算すること、および
    前記オーバレイマークアレイの前記オーバレイ誤差の差異を比較すること
    を含む方法。
  58. ウェーハ上の複数のオーバレイマークを計測する方法であって、
    第1オーバレイマークにフォーカスを合わせること、
    前記第1オーバレイマーク上で捕捉ステップを実行すること、
    前記第1オーバレイマークをグラブすること、
    第2オーバレイマークを移動させること、および
    前記第2オーバレイマークをグラブすること、および前記フォーカスおよび捕捉ステップを前記第2オーバレイマーク上でスキップすること
    を含む方法。
JP2003570292A 2002-02-15 2003-02-14 オーバレイ計測および制御方法 Withdrawn JP2005518107A (ja)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US35739002P 2002-02-15 2002-02-15
US41978602P 2002-10-17 2002-10-17
US43587802P 2002-12-19 2002-12-19
PCT/US2003/004471 WO2003071471A1 (en) 2002-02-15 2003-02-14 Overlay metrology and control method

Publications (1)

Publication Number Publication Date
JP2005518107A true JP2005518107A (ja) 2005-06-16

Family

ID=27761428

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2003570292A Withdrawn JP2005518107A (ja) 2002-02-15 2003-02-14 オーバレイ計測および制御方法

Country Status (4)

Country Link
US (1) US7804994B2 (ja)
JP (1) JP2005518107A (ja)
AU (1) AU2003213059A1 (ja)
WO (1) WO2003071471A1 (ja)

Cited By (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007035768A (ja) * 2005-07-25 2007-02-08 Toshiba Corp 合わせずれ検査用マークの形成方法及び半導体装置の製造方法
JP2007266601A (ja) * 2006-03-28 2007-10-11 Asml Netherlands Bv オーバーレイ測定を使用するリソグラフィ装置およびデバイス製造方法
JP2007266604A (ja) * 2006-03-29 2007-10-11 Asml Netherlands Bv オーバーレイ測定品質表示を使用するリソグラフィ装置およびデバイス製造方法
JP2008021984A (ja) * 2006-06-20 2008-01-31 Asml Netherlands Bv 角度分解したスペクトロスコピーリソグラフィの特性解析方法および装置
JP2009510770A (ja) * 2005-09-30 2009-03-12 アドバンスト・マイクロ・ディバイシズ・インコーポレイテッド オーバーレイ精度とパターン配置誤差とを同時に測定する方法
JP2012533884A (ja) * 2009-07-17 2012-12-27 ケーエルエー−テンカー・コーポレーション 設計データおよび欠陥データを使用したスキャナ性能の比較およびマッチング
JP2014534631A (ja) * 2011-10-11 2014-12-18 ケーエルエー−テンカー コーポレイション ウェーハ幾何形状メトリックを用いるオーバーレイ及び半導体プロセス制御
KR101487590B1 (ko) 2012-12-17 2015-01-29 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 스캐너 오버레이 정정 시스템 및 방법
US9442392B2 (en) 2012-12-17 2016-09-13 Taiwan Semiconductor Manufacturing Co., Ltd. Scanner overlay correction system and method
KR20160130243A (ko) * 2014-03-06 2016-11-10 케이엘에이-텐코 코포레이션 오버레이 오차, 근본 원인 분석 및 공정 제어의 피드 포워드 및 피드백 정정을 위한 통계적 오버레이 오차 예측
KR20160138778A (ko) * 2015-05-26 2016-12-06 삼성전자주식회사 오버레이 교정 데이터를 수정하는 방법
JP2017508145A (ja) * 2014-02-12 2017-03-23 ケーエルエー−テンカー コーポレイション 不正確さを低減し且つコントラストを維持する充填要素を有する計測ターゲット
JP2017122920A (ja) * 2011-04-06 2017-07-13 ケーエルエー−テンカー コーポレイション 向上したプロセス制御のための品質測定値を提供するための方法
JP2017227934A (ja) * 2003-02-22 2017-12-28 ケーエルエー−テンカー コーポレイション 散乱計測を用いてオーバレイ誤差を検出する装置および方法
KR101824780B1 (ko) 2015-09-18 2018-02-01 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 반도체 웨이퍼를 진단하는 방법 및 시스템
KR20200033967A (ko) * 2017-09-08 2020-03-30 에이에스엠엘 네델란즈 비.브이. 오버레이 추정 방법
JP2020511003A (ja) * 2017-02-28 2020-04-09 ケーエルエー コーポレイション オーバレイ計量データの確率論的挙動の影響の判別
JP2020529621A (ja) * 2017-06-06 2020-10-08 ケーエルエー コーポレイション レティクル最適化アルゴリズム及び最適ターゲットデザイン
KR20210093343A (ko) * 2018-12-31 2021-07-27 에이에스엠엘 네델란즈 비.브이. 프로세스 제어를 위한 인-다이 계측 방법 및 시스템
JP2021521485A (ja) * 2018-04-26 2021-08-26 エーエスエムエル ネザーランズ ビー.ブイ. アライメント方法及び装置
JP2021185499A (ja) * 2015-07-13 2021-12-09 アプライド マテリアルズ イスラエル リミテッド 多層構造体の層間のオーバレイを測定する技法
JP2022521490A (ja) * 2019-02-15 2022-04-08 ケーエルエー コーポレイション 結合された光および電子ビーム技術を使用する位置ずれ測定
WO2022149341A1 (ja) * 2021-01-07 2022-07-14 国立大学法人東北大学 位置合わせ方法、積層体の製造方法、位置合わせ装置、積層体製造装置、及び積層体
JP7446447B2 (ja) 2020-01-30 2024-03-08 ケーエルエー コーポレイション 複合的オーバレイ計測ターゲット
US12040187B2 (en) 2022-11-10 2024-07-16 Asml Netherlands B.V. In-die metrology methods and systems for process control

Families Citing this family (126)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7541201B2 (en) 2000-08-30 2009-06-02 Kla-Tencor Technologies Corporation Apparatus and methods for determining overlay of structures having rotational or mirror symmetry
US7061615B1 (en) 2001-09-20 2006-06-13 Nanometrics Incorporated Spectroscopically measured overlay target
US6958819B1 (en) 2002-04-04 2005-10-25 Nanometrics Incorporated Encoder with an alignment target
US6982793B1 (en) 2002-04-04 2006-01-03 Nanometrics Incorporated Method and apparatus for using an alignment target with designed in offset
US6949462B1 (en) 2002-04-04 2005-09-27 Nanometrics Incorporated Measuring an alignment target with multiple polarization states
US7046361B1 (en) 2002-04-04 2006-05-16 Nanometrics Incorporated Positioning two elements using an alignment target with a designed offset
WO2003104929A2 (en) * 2002-06-05 2003-12-18 Kla-Tencor Technologies Corporation Use of overlay diagnostics for enhanced automatic process control
US7111256B2 (en) 2002-06-05 2006-09-19 Kla-Tencor Technologies Corporation Use of overlay diagnostics for enhanced automatic process control
US6928628B2 (en) * 2002-06-05 2005-08-09 Kla-Tencor Technologies Corporation Use of overlay diagnostics for enhanced automatic process control
US6992764B1 (en) 2002-09-30 2006-01-31 Nanometrics Incorporated Measuring an alignment target with a single polarization state
US7606403B2 (en) * 2002-10-17 2009-10-20 Intel Corporation Model-based fusion of scanning probe microscopic images for detection and identification of molecular structures
US7457736B2 (en) * 2002-11-21 2008-11-25 Synopsys, Inc. Automated creation of metrology recipes
EP1570232B1 (en) * 2002-12-05 2016-11-02 KLA-Tencor Technologies Corporation Apparatus and methods for detecting overlay errors using scatterometry
JP2004317718A (ja) * 2003-04-15 2004-11-11 Toshiba Corp パターン作成方法、パターン作成システム、および半導体装置の製造方法
US6892365B2 (en) * 2003-04-16 2005-05-10 International Business Machines Corporation Method for performing monte-carlo simulations to predict overlay failures in integrated circuit designs
US6970255B1 (en) 2003-04-23 2005-11-29 Nanometrics Incorporated Encoder measurement based on layer thickness
US7075639B2 (en) * 2003-04-25 2006-07-11 Kla-Tencor Technologies Corporation Method and mark for metrology of phase errors on phase shift masks
US7230704B2 (en) * 2003-06-06 2007-06-12 Tokyo Electron Limited Diffracting, aperiodic targets for overlay metrology and method to detect gross overlay
US7230703B2 (en) * 2003-07-17 2007-06-12 Tokyo Electron Limited Apparatus and method for measuring overlay by diffraction gratings
WO2005022600A2 (en) * 2003-08-29 2005-03-10 Inficon Lt, Inc. Method and systems for processing overlay data
DE10345524B4 (de) * 2003-09-30 2005-10-13 Infineon Technologies Ag Verfahren zur Bestimmung eines relativen Versatzes zweier strukturierter Schaltungsmuster auf einem Halbleiterwafer mittels eines Rasterelektronenmikroskops
US7508976B1 (en) 2003-12-29 2009-03-24 Nanometric Incorporated Local process variation correction for overlay measurement
US8027813B2 (en) * 2004-02-20 2011-09-27 Nikon Precision, Inc. Method and system for reconstructing aberrated image profiles through simulation
US7131103B2 (en) * 2004-03-04 2006-10-31 Lsi Logic Corporation Conductor stack shifting
CN100445869C (zh) * 2004-04-23 2008-12-24 上海华虹Nec电子有限公司 用于光刻套刻的划片槽结构
WO2005106932A1 (ja) * 2004-04-28 2005-11-10 Nikon Corporation 解析方法、露光装置及び露光装置システム
US7678516B2 (en) * 2004-07-22 2010-03-16 Kla-Tencor Technologies Corp. Test structures and methods for monitoring or controlling a semiconductor fabrication process
DE102004063522A1 (de) * 2004-07-30 2006-03-23 Infineon Technologies Ag Verfahren zur Korrektur von strukturgrößenabhängigen Platzierungsfehlern bei der photolithographischen Projektion mittels eines Belichtungsapparats und dessen Verwendung
US20060194129A1 (en) * 2005-02-25 2006-08-31 Horn Douglas M Substrate edge focus compensation
US7582538B2 (en) * 2005-04-06 2009-09-01 Taiwan Semiconductor Manufacturing Company, Ltd. Method of overlay measurement for alignment of patterns in semiconductor manufacturing
US20060258023A1 (en) * 2005-05-10 2006-11-16 Lsi Logic Corporation Method and system for improving integrated circuit manufacturing yield
US7426011B2 (en) 2005-09-12 2008-09-16 Asml Netherlands B.V. Method of calibrating a lithographic apparatus and device manufacturing method
TWI345685B (en) * 2005-09-06 2011-07-21 Asml Netherlands Bv Lithographic method
WO2007040855A1 (en) * 2005-09-30 2007-04-12 Advanced Micro Devices, Inc. Structure and method for simultaneously determining an overlay accuracy and pattern placement error
US20070115452A1 (en) * 2005-11-23 2007-05-24 Asml Netherlands B.V. Method of measuring the magnification of a projection system, device manufacturing method and computer program product
KR100706813B1 (ko) * 2006-02-13 2007-04-12 삼성전자주식회사 반도체 장치의 패턴 배치 방법
US7528941B2 (en) * 2006-06-01 2009-05-05 Kla-Tencor Technolgies Corporation Order selected overlay metrology
US8045786B2 (en) * 2006-10-24 2011-10-25 Kla-Tencor Technologies Corp. Waferless recipe optimization
WO2009013741A2 (en) * 2007-07-22 2009-01-29 Camtek Ltd Method and system for controlling a manufacturing process
JP4897006B2 (ja) * 2008-03-04 2012-03-14 エーエスエムエル ネザーランズ ビー.ブイ. アラインメントマークを設ける方法、デバイス製造方法及びリソグラフィ装置
TW200941010A (en) * 2008-03-24 2009-10-01 Promos Technologies Inc Method and system for processing test wafer in photolithography process
NL1036734A1 (nl) * 2008-04-09 2009-10-12 Asml Netherlands Bv A method of assessing a model, an inspection apparatus and a lithographic apparatus.
US9710903B2 (en) * 2008-06-11 2017-07-18 Kla-Tencor Corp. System and method for detecting design and process defects on a wafer using process monitoring features
US8084872B2 (en) * 2008-07-01 2011-12-27 Macronix International Co., Ltd. Overlay mark, method of checking local aligmnent using the same and method of controlling overlay based on the same
NL2003294A (en) * 2008-08-19 2010-03-09 Asml Netherlands Bv A method of measuring overlay error and a device manufacturing method.
NL2004094A (en) 2009-02-11 2010-08-12 Asml Netherlands Bv Inspection apparatus, lithographic apparatus, lithographic processing cell and inspection method.
DE102009019140B4 (de) * 2009-04-29 2017-03-02 Carl Zeiss Smt Gmbh Verfahren zum Kalibrieren einer Positionsmessvorrichtung und Verfahren zum Vermessen einer Maske
US8003482B2 (en) 2009-11-19 2011-08-23 Micron Technology, Inc. Methods of processing semiconductor substrates in forming scribe line alignment marks
US8559001B2 (en) 2010-01-11 2013-10-15 Kla-Tencor Corporation Inspection guided overlay metrology
KR101675380B1 (ko) * 2010-02-19 2016-11-14 삼성전자주식회사 오버레이 보정방법 및 그를 이용한 반도체 제조방법
US9177219B2 (en) * 2010-07-09 2015-11-03 Asml Netherlands B.V. Method of calibrating a lithographic apparatus, device manufacturing method and associated data processing apparatus and computer program product
CN102540733A (zh) * 2010-12-08 2012-07-04 无锡华润上华科技有限公司 光刻控制方法
NL2008317A (en) 2011-03-24 2012-09-25 Asml Netherlands Bv Substrate and patterning device for use in metrology, metrology method and device manufacturing method.
US10890436B2 (en) 2011-07-19 2021-01-12 Kla Corporation Overlay targets with orthogonal underlayer dummyfill
KR20130014190A (ko) * 2011-07-29 2013-02-07 삼성전자주식회사 공정을 모니터링하여 공정 조건 및 구성을 보정하는 것을 포함하는 반도체 소자 제조 방법
US9360858B2 (en) 2011-08-08 2016-06-07 Globalfoundries Inc. Alignment data based process control system
CN103019052B (zh) * 2011-09-23 2015-10-21 中芯国际集成电路制造(北京)有限公司 光刻对准标记以及包含其的掩模板和半导体晶片
US9163935B2 (en) * 2011-12-12 2015-10-20 Asml Netherlands B.V. Device manufacturing method and associated lithographic apparatus, inspection apparatus, and lithographic processing cell
US8745546B2 (en) * 2011-12-29 2014-06-03 Nanya Technology Corporation Mask overlay method, mask, and semiconductor device using the same
US9029172B2 (en) 2012-01-20 2015-05-12 International Business Machines Corporation On-chip poly-to-contact process monitoring and reliability evaluation system and method of use
US9093458B2 (en) * 2012-09-06 2015-07-28 Kla-Tencor Corporation Device correlated metrology (DCM) for OVL with embedded SEM structure overlay targets
US9330223B2 (en) 2012-09-28 2016-05-03 International Business Machines Corporation Optical rule checking for detecting at risk structures for overlay issues
US10242290B2 (en) * 2012-11-09 2019-03-26 Kla-Tencor Corporation Method, system, and user interface for metrology target characterization
US9081287B2 (en) 2012-12-20 2015-07-14 Kla-Tencor Corporation Methods of measuring overlay errors in area-imaging e-beam lithography
US9182219B1 (en) 2013-01-21 2015-11-10 Kla-Tencor Corporation Overlay measurement based on moire effect between structured illumination and overlay target
CN105051611B (zh) 2013-03-14 2017-04-12 Asml荷兰有限公司 图案形成装置、在衬底上生成标记的方法以及器件制造方法
US9390492B2 (en) 2013-03-14 2016-07-12 Kla-Tencor Corporation Method and system for reference-based overlay measurement
CN103247550B (zh) * 2013-05-07 2016-04-13 上海华力微电子有限公司 监控制程稳定性的测试模块和方法
WO2014193983A1 (en) 2013-05-29 2014-12-04 Kla-Tencor Corporation Multi-layered target design
WO2014194095A1 (en) 2013-05-30 2014-12-04 Kla-Tencor Corporation Combined imaging and scatterometry metrology
TWI621190B (zh) * 2013-06-19 2018-04-11 克萊譚克公司 併合成像及散射測量靶
WO2015006233A1 (en) * 2013-07-09 2015-01-15 Kla-Tencor Corporation Aperture alignment in scatterometry metrology systems
US9007571B2 (en) 2013-08-20 2015-04-14 United Microelectronics Corp. Measurement method of overlay mark
JP2015052573A (ja) 2013-09-09 2015-03-19 株式会社東芝 パターン計測装置及びパターン計測方法
US9202788B2 (en) 2013-10-02 2015-12-01 Taiwan Semiconductor Manufacturing Company Limited Multi-layer semiconductor device structure
JP6291581B2 (ja) 2013-12-30 2018-03-14 エーエスエムエル ネザーランズ ビー.ブイ. メトロロジーターゲットの設計のための方法及び装置
NL2013677A (en) * 2014-01-24 2015-07-29 Asml Netherlands Bv Method of determining a measurement subset of metrology points on a substrate, associated apparatus and computer program.
US10002806B2 (en) * 2014-02-12 2018-06-19 Kla-Tencor Corporation Metrology targets with filling elements that reduce inaccuracies and maintain contrast
KR102237698B1 (ko) * 2014-04-15 2021-04-08 삼성전자주식회사 오버레이 마크의 비대칭부 검출 방법 및 이를 포함하는 오버레이 계측 방법
JP6412163B2 (ja) * 2014-05-13 2018-10-24 エーエスエムエル ネザーランズ ビー.ブイ. メトロロジーに用いられる基板及びパターニングデバイス、メトロロジー方法、及びデバイス製造方法
KR101986258B1 (ko) 2014-08-29 2019-06-07 에이에스엠엘 네델란즈 비.브이. 메트롤로지 방법, 타겟 및 기판
CN112331576A (zh) * 2014-10-03 2021-02-05 科磊股份有限公司 验证计量目标及其设计
CN104765254B (zh) * 2015-04-29 2017-08-08 上海华虹宏力半导体制造有限公司 一种套刻对准标记
US9995689B2 (en) 2015-05-22 2018-06-12 Nanometrics Incorporated Optical metrology using differential fitting
US10061210B2 (en) * 2015-07-31 2018-08-28 Nanometrics Incorporated 3D target for monitoring multiple patterning process
US9733577B2 (en) * 2015-09-03 2017-08-15 Taiwan Semiconductor Manufacturing Co., Ltd. Intra-field process control for lithography
EP3371657B9 (de) 2015-11-05 2021-12-15 Carl Zeiss SMT GmbH Verfahren und vorrichtung zur charakterisierung eines durch wenigstens einen lithographieschritt strukturierten wafers
WO2017108453A1 (en) * 2015-12-24 2017-06-29 Asml Netherlands B.V. Methods of controlling a patterning process, device manufacturing method, control system for a lithographic apparatus and lithographic apparatus
KR102424805B1 (ko) 2016-01-11 2022-07-22 케이엘에이 코포레이션 핫 스폿 및 프로세스 창 모니터링
US10691028B2 (en) 2016-02-02 2020-06-23 Kla-Tencor Corporation Overlay variance stabilization methods and systems
US9881122B2 (en) * 2016-03-30 2018-01-30 Globalfoundries Inc. Overlay sampling reduction
US10451412B2 (en) 2016-04-22 2019-10-22 Kla-Tencor Corporation Apparatus and methods for detecting overlay errors using scatterometry
CN106022055A (zh) * 2016-05-27 2016-10-12 广东欧珀移动通信有限公司 一种指纹解锁控制方法、及终端设备
NL2018931A (en) 2016-06-03 2017-12-05 Asml Holding Nv Alignment system wafer stack beam analyzer
EP3321737A1 (en) 2016-11-10 2018-05-16 ASML Netherlands B.V. Method for determining an optimized set of measurement locations for measurement of a parameter of a lithographic process, metrology system
EP3321740A1 (en) 2016-11-11 2018-05-16 ASML Netherlands B.V. Determining an optimal operational parameter setting of a metrology system
EP3339959A1 (en) 2016-12-23 2018-06-27 ASML Netherlands B.V. Method of determining a position of a feature
JP7179742B2 (ja) * 2017-02-10 2022-11-29 ケーエルエー コーポレイション 散乱計測オーバーレイターゲット及び方法
CN108574539B (zh) * 2017-03-08 2021-01-15 深圳市通用测试系统有限公司 基于mimo无线终端测试的信号生成方法和装置
JP2018185452A (ja) * 2017-04-27 2018-11-22 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
US10598617B2 (en) * 2017-05-05 2020-03-24 Kla-Tencor Corporation Metrology guided inspection sample shaping of optical inspection results
US10445889B2 (en) * 2017-06-08 2019-10-15 Inspectrology LLC Method for measuring overlay offset in an integrated circuit and related technology
US11112369B2 (en) * 2017-06-19 2021-09-07 Kla-Tencor Corporation Hybrid overlay target design for imaging-based overlay and scatterometry-based overlay
US10079185B1 (en) 2017-06-23 2018-09-18 United Microelectronics Corp. Semiconductor pattern for monitoring overlay and critical dimension at post-etching stage and metrology method of the same
EP3454129A1 (en) * 2017-09-07 2019-03-13 ASML Netherlands B.V. Beat patterns for alignment on small metrology targets
US11023648B2 (en) * 2017-12-12 2021-06-01 Siemens Industry Software Inc. Puzzle-based pattern analysis and classification
US10483214B2 (en) 2018-01-03 2019-11-19 Globalfoundries Inc. Overlay structures
US10677588B2 (en) * 2018-04-09 2020-06-09 Kla-Tencor Corporation Localized telecentricity and focus optimization for overlay metrology
EP3575874A1 (en) * 2018-05-29 2019-12-04 ASML Netherlands B.V. Metrology method, apparatus and computer program
US10818001B2 (en) 2018-09-07 2020-10-27 Kla-Tencor Corporation Using stochastic failure metrics in semiconductor manufacturing
US10642161B1 (en) * 2018-10-10 2020-05-05 International Business Machines Corporation Baseline overlay control with residual noise reduction
US10990022B2 (en) 2018-12-20 2021-04-27 Kla Corporation Field-to-field corrections using overlay targets
US11302544B2 (en) * 2019-03-28 2022-04-12 Kla-Tencor Corporation Method for measuring and correcting misregistration between layers in a semiconductor device, and misregistration targets useful therein
KR20220024752A (ko) * 2019-06-20 2022-03-03 케이엘에이 코포레이션 다중 도구 파라미터 캘리브레이션 및 오정렬 측정 시스템 및 방법
JP2022539321A (ja) 2019-06-25 2022-09-08 ケーエルエー コーポレイション 位置ずれの測定およびその改善のための関心領域の選択
US11933717B2 (en) * 2019-09-27 2024-03-19 Kla Corporation Sensitive optical metrology in scanning and static modes
US11874102B2 (en) * 2019-12-30 2024-01-16 Kla Corporation Thick photo resist layer metrology target
JP7369306B2 (ja) 2020-04-15 2023-10-25 ケーエルエー コーポレイション 半導体デバイスの位置ずれを計測する際役立つデバイス規模フィーチャを有する位置ずれターゲット
US20220326626A1 (en) * 2021-03-30 2022-10-13 Onto Innovation Inc. Multi-layer calibration for empirical overlay measurement
US11604420B2 (en) 2021-05-03 2023-03-14 Kla Corporation Self-calibrating overlay metrology
CN115881563A (zh) * 2021-09-28 2023-03-31 上海华力集成电路制造有限公司 一种提高自对准多重成像技术套刻量测准确性的方法
CN113917802A (zh) * 2021-10-13 2022-01-11 杭州广立微电子股份有限公司 一种套刻误差的测量计算方法
CN114171500B (zh) * 2021-12-07 2024-04-09 成都海威华芯科技有限公司 一种版图定位标记绘制方法、基于其制备的芯片及晶圆
WO2023136845A1 (en) * 2022-01-13 2023-07-20 Kla Corporation Calibrated measurement of overlay error using small targets
CN114623787B (zh) * 2022-03-10 2024-05-03 长鑫存储技术有限公司 用于校准套刻量测准确性的校准标记及测量方法、校准方法
CN116203808B (zh) * 2023-04-20 2023-10-03 长鑫存储技术有限公司 套刻误差的量测方法及套刻标记

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0251214A (ja) * 1988-08-12 1990-02-21 Sanyo Electric Co Ltd 半導体装置の製造方法
JPH0745495A (ja) * 1993-08-03 1995-02-14 Nec Corp 半導体装置の製造方法
JPH09244222A (ja) * 1996-03-08 1997-09-19 Mitsubishi Electric Corp 重ね合わせ誤差測定用レチクル、そのレチクルを用いた重ね合わせ誤差測定方法および重ね合わせ誤差測定マーク
JP2000306793A (ja) * 1999-04-19 2000-11-02 Nec Corp 重ね合わせ精度測定方法。

Family Cites Families (88)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
NL7606548A (nl) * 1976-06-17 1977-12-20 Philips Nv Werkwijze en inrichting voor het uitrichten van een i.c.-patroon ten opzichte van een halfgelei- dend substraat.
US4538105A (en) * 1981-12-07 1985-08-27 The Perkin-Elmer Corporation Overlay test wafer
US4475811A (en) * 1983-04-28 1984-10-09 The Perkin-Elmer Corporation Overlay test measurement systems
US4703434A (en) * 1984-04-24 1987-10-27 The Perkin-Elmer Corporation Apparatus for measuring overlay error
DE3530439A1 (de) * 1985-08-26 1987-02-26 Siemens Ag Vorrichtung zum justieren einer mit mindestens einer justiermarke versehenen maske bezueglich eines mit mindestens einer gitterstruktur versehenen halbleiterwafers
US4714874A (en) * 1985-11-12 1987-12-22 Miles Inc. Test strip identification and instrument calibration
IT1186523B (it) * 1985-12-31 1987-11-26 Sgs Microelettronica Spa Procedimento per la valutazione dei parametri di processo nella fabbricazione di dispositivi a semiconduttore
NL8600639A (nl) * 1986-03-12 1987-10-01 Asm Lithography Bv Werkwijze voor het ten opzichte van elkaar uitrichten van een masker en een substraat en inrichting voor het uitvoeren van de werkwijze.
GB2188417B (en) * 1986-03-19 1990-02-21 British Steel Corp Molten metal gas analysis
US5148214A (en) * 1986-05-09 1992-09-15 Canon Kabushiki Kaisha Alignment and exposure apparatus
US4929083A (en) * 1986-06-19 1990-05-29 Xerox Corporation Focus and overlay characterization and optimization for photolithographic exposure
US4757207A (en) * 1987-03-03 1988-07-12 International Business Machines Corporation Measurement of registration of overlaid test patterns by the use of reflected light
US4855253A (en) * 1988-01-29 1989-08-08 Hewlett-Packard Test method for random defects in electronic microstructures
JP2666859B2 (ja) * 1988-11-25 1997-10-22 日本電気株式会社 目合せ用バーニヤパターンを備えた半導体装置
NL8900991A (nl) * 1989-04-20 1990-11-16 Asm Lithography Bv Apparaat voor het afbeelden van een maskerpatroon op een substraat.
DE4000785A1 (de) * 1990-01-12 1991-07-18 Suess Kg Karl Justiermarken fuer zwei aufeinander einzujustierende objekte
DE69123610T2 (de) * 1990-02-02 1997-04-24 Canon K.K., Tokio/Tokyo Belichtungsverfahren
US5112129A (en) * 1990-03-02 1992-05-12 Kla Instruments Corporation Method of image enhancement for the coherence probe microscope with applications to integrated circuit metrology
JPH0444307A (ja) * 1990-06-12 1992-02-14 Nec Corp 半導体装置の製造方法
US5216257A (en) * 1990-07-09 1993-06-01 Brueck Steven R J Method and apparatus for alignment and overlay of submicron lithographic features
NL9001611A (nl) * 1990-07-16 1992-02-17 Asm Lithography Bv Apparaat voor het afbeelden van een maskerpatroon op een substraat.
JPH04234930A (ja) * 1991-01-10 1992-08-24 Shimano Inc 釣り用リール
EP0502679B1 (en) * 1991-03-04 2001-03-07 AT&T Corp. Semiconductor integrated circuit fabrication utilizing latent imagery
US5296917A (en) * 1992-01-21 1994-03-22 Mitsubishi Denki Kabushiki Kaisha Method of monitoring accuracy with which patterns are written
US5617340A (en) * 1994-04-28 1997-04-01 The United States Of America As Represented By The Secretary Of Commerce Method and reference standards for measuring overlay in multilayer structures, and for calibrating imaging equipment as used in semiconductor manufacturing
US5857258A (en) * 1992-03-13 1999-01-12 The United States Of America As Represented By The Secretary Of Commerce Electrical test structure and method for measuring the relative locations of conductive features on an insulating substrate
US5383136A (en) * 1992-03-13 1995-01-17 The United States Of America As Represented By The Secretary Of Commerce Electrical test structure and method for measuring the relative locations of conducting features on an insulating substrate
JP2530080B2 (ja) * 1992-03-14 1996-09-04 株式会社東芝 半導体製造装置の評価装置およびその評価方法
US5479270A (en) * 1992-05-19 1995-12-26 Eastman Kodak Company Method and apparatus for aligning depth images
US5403754A (en) * 1992-09-30 1995-04-04 Texas Instruments Incorporated Lithography method for direct alignment of integrated circuits multiple layers
US5438413A (en) * 1993-03-03 1995-08-01 Kla Instruments Corporation Process for measuring overlay misregistration during semiconductor wafer fabrication
JPH06260390A (ja) * 1993-03-05 1994-09-16 Toshiba Corp アライメント方法
US5604819A (en) * 1993-03-15 1997-02-18 Schlumberger Technologies Inc. Determining offset between images of an IC
US5414514A (en) * 1993-06-01 1995-05-09 Massachusetts Institute Of Technology On-axis interferometric alignment of plates using the spatial phase of interference patterns
KR0168772B1 (ko) * 1994-03-10 1999-02-01 김주용 포토마스크 및 그를 이용한 반도체 장치 제조 방법
US5699282A (en) * 1994-04-28 1997-12-16 The United States Of America As Represented By The Secretary Of Commerce Methods and test structures for measuring overlay in multilayer devices
EP0721608B1 (en) * 1994-08-02 2003-10-01 Koninklijke Philips Electronics N.V. Method of repetitively imaging a mask pattern on a substrate
US5477057A (en) * 1994-08-17 1995-12-19 Svg Lithography Systems, Inc. Off axis alignment system for scanning photolithography
JPH08233555A (ja) * 1994-12-28 1996-09-13 Matsushita Electric Ind Co Ltd レジストパターンの測定方法及びレジストパターンの測定装置
US5923041A (en) * 1995-02-03 1999-07-13 Us Commerce Overlay target and measurement procedure to enable self-correction for wafer-induced tool-induced shift by imaging sensor means
US5702567A (en) * 1995-06-01 1997-12-30 Kabushiki Kaisha Toshiba Plurality of photolithographic alignment marks with shape, size and spacing based on circuit pattern features
EP0830427B1 (en) * 1995-06-09 2007-07-25 PBI Performance Products, Inc. Highly-filled, moldable polyaryletherketones
US5596413A (en) * 1995-08-17 1997-01-21 Lucent Technologies Inc. Sub-micron through-the-lens positioning utilizing out of phase segmented gratings
KR0170909B1 (ko) * 1995-09-27 1999-03-30 김주용 반도체 소자의 오버레이 검사방법
JPH09115817A (ja) * 1995-10-13 1997-05-02 Nikon Corp 露光方法及び装置
US5757507A (en) * 1995-11-20 1998-05-26 International Business Machines Corporation Method of measuring bias and edge overlay error for sub-0.5 micron ground rules
US5712707A (en) * 1995-11-20 1998-01-27 International Business Machines Corporation Edge overlay measurement target for sub-0.5 micron ground rules
JP2842360B2 (ja) * 1996-02-28 1999-01-06 日本電気株式会社 半導体装置およびその製造方法
JP2842362B2 (ja) 1996-02-29 1999-01-06 日本電気株式会社 重ね合わせ測定方法
US5805290A (en) * 1996-05-02 1998-09-08 International Business Machines Corporation Method of optical metrology of unresolved pattern arrays
US5701013A (en) * 1996-06-07 1997-12-23 Mosel Viltelic, Inc. Wafer metrology pattern integrating both overlay and critical dimension features for SEM or AFM measurements
US6023338A (en) * 1996-07-12 2000-02-08 Bareket; Noah Overlay alignment measurement of wafers
US5872042A (en) * 1996-08-22 1999-02-16 Taiwan Semiconductor Manufacturing Company, Ltd. Method for alignment mark regeneration
KR19980030438A (ko) * 1996-10-29 1998-07-25 김영환 반도체 버어니어 구조 및 그것을 이용한 오버레이 정확도 측정방법
US5960125A (en) * 1996-11-21 1999-09-28 Cognex Corporation Nonfeedback-based machine vision method for determining a calibration relationship between a camera and a moveable object
US5912983A (en) * 1997-01-24 1999-06-15 Oki Electric Industry Co., Ltd Overlay accuracy measuring method
US5902703A (en) * 1997-03-27 1999-05-11 Vlsi Technology, Inc. Method for measuring dimensional anomalies in photolithographed integrated circuits using overlay metrology, and masks therefor
US5877861A (en) * 1997-11-14 1999-03-02 International Business Machines Corporation Method for overlay control system
US6160622A (en) * 1997-12-29 2000-12-12 Asm Lithography, B.V. Alignment device and lithographic apparatus comprising such a device
JPH11325877A (ja) 1998-03-31 1999-11-26 Siemens Ag 測定誤差を減少させるための方法及び装置
US6077756A (en) * 1998-04-24 2000-06-20 Vanguard International Semiconductor Overlay target pattern and algorithm for layer-to-layer overlay metrology for semiconductor processing
US5919714A (en) * 1998-05-06 1999-07-06 Taiwan Semiconductor Manufacturing Company, Ltd. Segmented box-in-box for improving back end overlay measurement
US6140217A (en) * 1998-07-16 2000-10-31 International Business Machines Corporation Technique for extending the limits of photolithography
US6128089A (en) * 1998-07-28 2000-10-03 International Business Machines Corporation Combined segmented and nonsegmented bar-in-bar targets
US6137578A (en) * 1998-07-28 2000-10-24 International Business Machines Corporation Segmented bar-in-bar target
US6061606A (en) * 1998-08-25 2000-05-09 International Business Machines Corporation Geometric phase analysis for mask alignment
US6020966A (en) * 1998-09-23 2000-02-01 International Business Machines Corporation Enhanced optical detection of minimum features using depolarization
US6037671A (en) * 1998-11-03 2000-03-14 Advanced Micro Devices, Inc. Stepper alignment mark structure for maintaining alignment integrity
US6146910A (en) * 1999-02-02 2000-11-14 The United States Of America, As Represented By The Secretary Of Commerce Target configuration and method for extraction of overlay vectors from targets having concealed features
TW569083B (en) * 1999-02-04 2004-01-01 Asml Netherlands Bv Lithographic projection apparatus
US6084679A (en) * 1999-04-02 2000-07-04 Advanced Micro Devices, Inc. Universal alignment marks for semiconductor defect capture and analysis
US6405096B1 (en) * 1999-08-10 2002-06-11 Advanced Micro Devices, Inc. Method and apparatus for run-to-run controlling of overlay registration
US6612159B1 (en) * 1999-08-26 2003-09-02 Schlumberger Technologies, Inc. Overlay registration error measurement made simultaneously for more than two semiconductor wafer layers
DE60041993D1 (de) * 2000-01-14 2009-05-20 Panasonic Corp Optischer Datenträger und Adresseseleseeinrichtung und -Verfahren für otischen Datenträger
US6484060B1 (en) * 2000-03-24 2002-11-19 Micron Technology, Inc. Layout for measurement of overlay error
TW588414B (en) * 2000-06-08 2004-05-21 Toshiba Corp Alignment method, overlap inspecting method and mask
US6462818B1 (en) * 2000-06-22 2002-10-08 Kla-Tencor Corporation Overlay alignment mark design
US7068833B1 (en) * 2000-08-30 2006-06-27 Kla-Tencor Corporation Overlay marks, methods of overlay mark design and methods of overlay measurements
US6734971B2 (en) * 2000-12-08 2004-05-11 Lael Instruments Method and apparatus for self-referenced wafer stage positional error mapping
JP4198877B2 (ja) * 2000-12-25 2008-12-17 株式会社ルネサステクノロジ 半導体デバイスの製造方法
TW526573B (en) * 2000-12-27 2003-04-01 Koninkl Philips Electronics Nv Method of measuring overlay
JP2003014819A (ja) * 2001-07-03 2003-01-15 Matsushita Electric Ind Co Ltd 半導体配線基板,半導体デバイス,半導体デバイスのテスト方法及びその実装方法
KR100809955B1 (ko) * 2001-11-27 2008-03-06 삼성전자주식회사 포토리소그래피 공정의 얼라인 계측방법
KR100435260B1 (ko) * 2001-12-03 2004-06-11 삼성전자주식회사 포토리소그래피 공정의 얼라인 계측방법
US20030160163A1 (en) * 2002-02-25 2003-08-28 Alan Wong Optical metrology target design for simultaneous measurement of multiple periodic structures
US6664121B2 (en) * 2002-05-20 2003-12-16 Nikon Precision, Inc. Method and apparatus for position measurement of a pattern formed by a lithographic exposure tool
US7608468B1 (en) * 2003-07-02 2009-10-27 Kla-Tencor Technologies, Corp. Apparatus and methods for determining overlay and uses of same
US7346878B1 (en) * 2003-07-02 2008-03-18 Kla-Tencor Technologies Corporation Apparatus and methods for providing in-chip microtargets for metrology or inspection

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0251214A (ja) * 1988-08-12 1990-02-21 Sanyo Electric Co Ltd 半導体装置の製造方法
JPH0745495A (ja) * 1993-08-03 1995-02-14 Nec Corp 半導体装置の製造方法
JPH09244222A (ja) * 1996-03-08 1997-09-19 Mitsubishi Electric Corp 重ね合わせ誤差測定用レチクル、そのレチクルを用いた重ね合わせ誤差測定方法および重ね合わせ誤差測定マーク
JP2000306793A (ja) * 1999-04-19 2000-11-02 Nec Corp 重ね合わせ精度測定方法。

Cited By (42)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2017227934A (ja) * 2003-02-22 2017-12-28 ケーエルエー−テンカー コーポレイション 散乱計測を用いてオーバレイ誤差を検出する装置および方法
JP2007035768A (ja) * 2005-07-25 2007-02-08 Toshiba Corp 合わせずれ検査用マークの形成方法及び半導体装置の製造方法
JP2009510770A (ja) * 2005-09-30 2009-03-12 アドバンスト・マイクロ・ディバイシズ・インコーポレイテッド オーバーレイ精度とパターン配置誤差とを同時に測定する方法
JP2007266601A (ja) * 2006-03-28 2007-10-11 Asml Netherlands Bv オーバーレイ測定を使用するリソグラフィ装置およびデバイス製造方法
JP4578494B2 (ja) * 2006-03-28 2010-11-10 エーエスエムエル ネザーランズ ビー.ブイ. オーバーレイ測定を使用するリソグラフィ装置およびデバイス製造方法
JP2007266604A (ja) * 2006-03-29 2007-10-11 Asml Netherlands Bv オーバーレイ測定品質表示を使用するリソグラフィ装置およびデバイス製造方法
JP4578495B2 (ja) * 2006-03-29 2010-11-10 エーエスエムエル ネザーランズ ビー.ブイ. オーバーレイ測定品質表示を使用するリソグラフィ装置およびデバイス製造方法
JP2008021984A (ja) * 2006-06-20 2008-01-31 Asml Netherlands Bv 角度分解したスペクトロスコピーリソグラフィの特性解析方法および装置
JP4701209B2 (ja) * 2006-06-20 2011-06-15 エーエスエムエル ネザーランズ ビー.ブイ. 角度分解したスペクトロスコピーリソグラフィの特性解析方法および装置
JP2012533884A (ja) * 2009-07-17 2012-12-27 ケーエルエー−テンカー・コーポレーション 設計データおよび欠陥データを使用したスキャナ性能の比較およびマッチング
JP2017122920A (ja) * 2011-04-06 2017-07-13 ケーエルエー−テンカー コーポレイション 向上したプロセス制御のための品質測定値を提供するための方法
JP2014534631A (ja) * 2011-10-11 2014-12-18 ケーエルエー−テンカー コーポレイション ウェーハ幾何形状メトリックを用いるオーバーレイ及び半導体プロセス制御
US9442392B2 (en) 2012-12-17 2016-09-13 Taiwan Semiconductor Manufacturing Co., Ltd. Scanner overlay correction system and method
KR101487590B1 (ko) 2012-12-17 2015-01-29 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 스캐너 오버레이 정정 시스템 및 방법
JP2017508145A (ja) * 2014-02-12 2017-03-23 ケーエルエー−テンカー コーポレイション 不正確さを低減し且つコントラストを維持する充填要素を有する計測ターゲット
KR20160130243A (ko) * 2014-03-06 2016-11-10 케이엘에이-텐코 코포레이션 오버레이 오차, 근본 원인 분석 및 공정 제어의 피드 포워드 및 피드백 정정을 위한 통계적 오버레이 오차 예측
KR102179988B1 (ko) 2014-03-06 2020-11-17 케이엘에이 코포레이션 오버레이 오차, 근본 원인 분석 및 공정 제어의 피드 포워드 및 피드백 정정을 위한 통계적 오버레이 오차 예측
KR20160138778A (ko) * 2015-05-26 2016-12-06 삼성전자주식회사 오버레이 교정 데이터를 수정하는 방법
KR102287757B1 (ko) 2015-05-26 2021-08-09 삼성전자주식회사 오버레이 교정 데이터를 수정하는 방법
JP7265592B2 (ja) 2015-07-13 2023-04-26 アプライド マテリアルズ イスラエル リミテッド 多層構造体の層間のオーバレイを測定する技法
JP2021185499A (ja) * 2015-07-13 2021-12-09 アプライド マテリアルズ イスラエル リミテッド 多層構造体の層間のオーバレイを測定する技法
KR101824780B1 (ko) 2015-09-18 2018-02-01 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 반도체 웨이퍼를 진단하는 방법 및 시스템
JP2020511003A (ja) * 2017-02-28 2020-04-09 ケーエルエー コーポレイション オーバレイ計量データの確率論的挙動の影響の判別
JP2020529621A (ja) * 2017-06-06 2020-10-08 ケーエルエー コーポレイション レティクル最適化アルゴリズム及び最適ターゲットデザイン
JP2022087346A (ja) * 2017-06-06 2022-06-09 ケーエルエー コーポレイション 計量ターゲットデザイン及び方法
JP7378530B2 (ja) 2017-06-06 2023-11-13 ケーエルエー コーポレイション 計量ターゲットデザイン及び方法
JP2020533621A (ja) * 2017-09-08 2020-11-19 エーエスエムエル ネザーランズ ビー.ブイ. オーバーレイを推定するための方法
KR20200033967A (ko) * 2017-09-08 2020-03-30 에이에스엠엘 네델란즈 비.브이. 오버레이 추정 방법
KR102383949B1 (ko) 2017-09-08 2022-04-08 에이에스엠엘 네델란즈 비.브이. 오버레이 추정 방법
JP2021521485A (ja) * 2018-04-26 2021-08-26 エーエスエムエル ネザーランズ ビー.ブイ. アライメント方法及び装置
US11409206B2 (en) 2018-04-26 2022-08-09 Asml Netherlands B.V. Alignment method and apparatus
JP7281547B2 (ja) 2018-12-31 2023-05-25 エーエスエムエル ネザーランズ ビー.ブイ. プロセス制御のためのインダイメトロロジ方法及びシステム
US11527405B2 (en) 2018-12-31 2022-12-13 Asml Netherlands B.V. In-die metrology methods and systems for process control
JP2022516405A (ja) * 2018-12-31 2022-02-28 エーエスエムエル ネザーランズ ビー.ブイ. プロセス制御のためのインダイメトロロジ方法及びシステム
KR20210093343A (ko) * 2018-12-31 2021-07-27 에이에스엠엘 네델란즈 비.브이. 프로세스 제어를 위한 인-다이 계측 방법 및 시스템
KR102596144B1 (ko) * 2018-12-31 2023-11-01 에이에스엠엘 네델란즈 비.브이. 프로세스 제어를 위한 인-다이 계측 방법 및 시스템
CN113272736A (zh) * 2018-12-31 2021-08-17 Asml荷兰有限公司 用于过程控制的管芯内量测方法和系统
JP2022521490A (ja) * 2019-02-15 2022-04-08 ケーエルエー コーポレイション 結合された光および電子ビーム技術を使用する位置ずれ測定
JP7317131B2 (ja) 2019-02-15 2023-07-28 ケーエルエー コーポレイション 結合された光および電子ビーム技術を使用する位置ずれ測定
JP7446447B2 (ja) 2020-01-30 2024-03-08 ケーエルエー コーポレイション 複合的オーバレイ計測ターゲット
WO2022149341A1 (ja) * 2021-01-07 2022-07-14 国立大学法人東北大学 位置合わせ方法、積層体の製造方法、位置合わせ装置、積層体製造装置、及び積層体
US12040187B2 (en) 2022-11-10 2024-07-16 Asml Netherlands B.V. In-die metrology methods and systems for process control

Also Published As

Publication number Publication date
WO2003071471A1 (en) 2003-08-28
US20030223630A1 (en) 2003-12-04
US7804994B2 (en) 2010-09-28
AU2003213059A1 (en) 2003-09-09

Similar Documents

Publication Publication Date Title
US7804994B2 (en) Overlay metrology and control method
JP6872593B2 (ja) 計測方法、コンピュータ製品およびシステム
KR102182415B1 (ko) 패터닝 프로세스 파라미터를 결정하는 방법 및 장치
JP7191954B2 (ja) パターニングプロセスパラメータを決定する方法および装置
KR101991762B1 (ko) 타겟 구조체의 속성을 측정하는 방법, 검사 장치, 리소그래피 시스템 및 디바이스 제조 방법
US9704810B2 (en) Method and apparatus for determining an overlay error
US8908147B2 (en) Method and apparatus for determining an overlay error
US10571812B2 (en) Method of calibrating focus measurements, measurement method and metrology apparatus, lithographic system and device manufacturing method
KR20190051071A (ko) 계측 레시피 선택
KR20180102225A (ko) 메트롤로지 방법 및 장치, 기판, 리소그래피 시스템 및 디바이스 제조 방법
WO2019149423A1 (en) A measurement apparatus and a method for determining a substrate grid
US7261985B2 (en) Process for determination of optimized exposure conditions for transverse distortion mapping
CN116209958A (zh) 目标结构以及相关联的方法和设备
TW202125110A (zh) 決定微影匹配性能
CN112867970A (zh) 用于结构和相关联设备的检查方法和设备
EP4160314A1 (en) Method for measuring at least one target on a substrate
Leroux et al. Focus characterization using end of line metrology
Zavecz Full sub-65 nm data-modeling for Photomask Manufacturing
CN118355329A (zh) 量测校准方法
Guerrero et al. Validation of an intrafield overlay prediction process
Luci et al. Optimization of overlay markers to limit the measurement error induced during exposure by lens aberration effects
Higuchi The fusion of metrology and inspection: challenges and solutions
Robinson et al. A comparison of methods for in-chip overlay control at the 65-nm node

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20060210

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20090225

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090303

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20090602

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20090609

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090825

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20091117

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100316

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20100325

A912 Re-examination (zenchi) completed and case transferred to appeal board

Free format text: JAPANESE INTERMEDIATE CODE: A912

Effective date: 20100423

A761 Written withdrawal of application

Free format text: JAPANESE INTERMEDIATE CODE: A761

Effective date: 20110617