KR20160130243A - 오버레이 오차, 근본 원인 분석 및 공정 제어의 피드 포워드 및 피드백 정정을 위한 통계적 오버레이 오차 예측 - Google Patents

오버레이 오차, 근본 원인 분석 및 공정 제어의 피드 포워드 및 피드백 정정을 위한 통계적 오버레이 오차 예측 Download PDF

Info

Publication number
KR20160130243A
KR20160130243A KR1020167025800A KR20167025800A KR20160130243A KR 20160130243 A KR20160130243 A KR 20160130243A KR 1020167025800 A KR1020167025800 A KR 1020167025800A KR 20167025800 A KR20167025800 A KR 20167025800A KR 20160130243 A KR20160130243 A KR 20160130243A
Authority
KR
South Korea
Prior art keywords
profiles
wafer
overlay error
overlay
training
Prior art date
Application number
KR1020167025800A
Other languages
English (en)
Other versions
KR102179988B1 (ko
Inventor
웨이 창
크리쉬나 라오
죠세프 구티에레즈
라몬 올라바리아
크레이그 맥노튼
아미르 아조르데간
프라산나 디헤
Original Assignee
케이엘에이-텐코 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 케이엘에이-텐코 코포레이션 filed Critical 케이엘에이-텐코 코포레이션
Publication of KR20160130243A publication Critical patent/KR20160130243A/ko
Application granted granted Critical
Publication of KR102179988B1 publication Critical patent/KR102179988B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • G03F1/144
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/36Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70591Testing optical components
    • G03F7/706Aberration measurement
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
    • G06F17/5068
    • G06F17/5081
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/398Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N20/00Machine learning
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N5/00Computing arrangements using knowledge-based models
    • G06N5/04Inference or reasoning models
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2119/00Details relating to the type or aim of the analysis or the optimisation
    • G06F2119/18Manufacturability analysis or optimisation for manufacturability
    • G06F2217/12
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P90/00Enabling technologies with a potential contribution to greenhouse gas [GHG] emissions mitigation
    • Y02P90/02Total factory control, e.g. smart factories, flexible manufacturing systems [FMS] or integrated manufacturing systems [IMS]

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Evolutionary Computation (AREA)
  • General Engineering & Computer Science (AREA)
  • Software Systems (AREA)
  • Computer Hardware Design (AREA)
  • Artificial Intelligence (AREA)
  • Data Mining & Analysis (AREA)
  • Computing Systems (AREA)
  • Mathematical Physics (AREA)
  • Geometry (AREA)
  • Computer Vision & Pattern Recognition (AREA)
  • Medical Informatics (AREA)
  • Computational Linguistics (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)

Abstract

패턴화 웨이퍼 지오메트리 데이터 및 다른 관련 정보를 이용하여 오버레이 오차를 예측하기 위해 데이터를 수집하고 통계 모델을 트레이닝, 유효화 및 전개하는 방법은 트레이닝 웨이퍼 집합을 선택하는 단계, 복수의 리소그래피 단계에서 측정하고 지오메트리 차를 계산하는 단계, 복수의 예측 모델을 트레이닝 웨이퍼 지오메트리 차에 적용하는 단계, 및 예측 오버레이를 트레이닝 웨이퍼 집합의 측정 오버레이와 비교하는 단계를 포함한다. 가장 정확한 예측 모델이 식별되고 그 결과는 웨이퍼 스캔 및 노광 처리 중에 상기 효과들을 정정하고 오버레이 오차를 줄일 수 있는 리소그래피 스캐너 툴에 피드 포워드된다.

Description

오버레이 오차, 근본 원인 분석 및 공정 제어의 피드 포워드 및 피드백 정정을 위한 통계적 오버레이 오차 예측{STATISTICAL OVERLAY ERROR PREDICTION FOR FEED FORWARD AND FEEDBACK CORRECTION OF OVERLAY ERRORS, ROOT CAUSE ANALYSIS AND PROCESS CONTROL}
본 출원은 2014년 3월 6일자 출원된 미국 가특허 출원 제61/949,022호의 35 U.S.C.§119(e)하의 이익을 주장하며, 상기 가특허 출원의 내용은 인용에 의해 본원에 통합된다.
본 발명은 일반적으로 반도체 웨이퍼 제조에 관한 것으로, 특히 제조시의 오차 식별 및 정정 방법에 관한 것이다.
웨이퍼는 일반적으로 노광을 위해 웨이퍼를 리소그래피 스캐너로 보내기 전에 증착, 에칭, 화학-기계 연마(CMP) 등을 포함한 소정의 처리를 받는다. 오버레이 오차는 트윈스캔(TWINSCAN), 아커(Archer) 500 또는 임의의 다른 적당한 장치를 이용하여 노광 후에 측정된다.
리소그래피 오버레이와 임계 치수 균일성(critical dimension uniformity, CDU)은 집적회로 성능 및 웨이퍼 수율에 악영향을 줄 수 있는 반도체 제조시의 중요한 파라미터이다. 오버레이 오차는 리소그래피 스캐너 툴, 마스크 또는 레티클, 및 스캔 및 노광 동작 중의 처리에 의해 유도되는 웨이퍼 지오메트리(geometry) 변화 또는 다른 유사한 소스에 의해 야기될 수 있다. 수축 로직 및 메모리 장치 치수에 의해, 오버레이 오차는 임계 층에 대한 총 오버레이 예산 중의 상당한 부분을 증가적으로 소비한다. 오버레이 오차의 시스템적 원인을 식별하고 최소화하기 위해 상당한 노력이 기울어져 왔다.
시스템적 오버레이 오차를 최소화하는 한가지 방법은 고해상도 웨이퍼 지오메트리 측정치를 이용하여 웨이퍼 제조 공정을 식별 및 모니터링하고, 스캔 및 노광 동작 중에 (다른 정정 가능한 계수와 함께) 웨이퍼 지오메트리 변화의 영향을 중화시키기 위해 스캐너에 피드 포워드될 수 있는 웨이퍼 지오메트리 변화를 식별하는 것이다.
오버레이 예측을 행하기 위해 분석 역학 모델, 수치 유한 요소 모델 및 기타의 이러한 방법론이 사용되었다. 그러나 이러한 방법들은 물리적 공정이 매우 복잡하다는 단점이 있다. 또한, 이러한 방법들은 모델을 만들고자 하는 웨이퍼 지오메트리에 충격을 주고, 도래하는 웨이퍼 지오메트리 변화를 중화하기 위해 신뢰성 있는 스캐너 정정을 일관성 있게 예측하는데 사용할 수 없다.
결국, 일관된 웨이퍼 제조 공정의 오버레이 오차를 일관성 있게 예측하는데 적합하고 적당한 정정을 후속 웨이퍼 제조에 적용하는 방법 및 장치가 있다면 좋을 것이다.
따라서, 본 발명은 일관된 웨이퍼 제조 공정의 오버레이 오차를 일관성 있게 예측하고 적당한 정정을 후속 웨이퍼 제조에 적용하는 신규의 방법 및 장치에 관한 것이다.
적어도 일 실시형태에 있어서, 오버레이 예측 시스템은 리소그래피 공정의 전 및 후에 웨이퍼의 측정치에 기초하여 웨이퍼 지오메트리 변화를 결정하고, 복수의 예측 모델을 적용하고, 어떤 예측 모델이 가장 정확한 결과를 생성하는지 결정하기 위해 상기 예측 모델을 실제 오버레이 오차와 비교함으로써 정정값(correction)을 정확히 예측한다. 식별된 예측 모델은 그 다음에 동일 배치(batch)의 웨이퍼에 대한 후속 웨이퍼 제조에서 오버레이 오차를 예측하기 위해 사용된다. 다른 실시형태에 있어서, 유효 웨이퍼는 상기 식별된 예측 모델을 검증하기 위해 사용된다.
전술한 일반적인 설명과 이하의 상세한 설명은 모두 예를 든 것이고 청구되는 발명을 구속하는 것이 아니라는 점을 이해하여야 한다. 본 명세서에 통합되어 본 명세서의 일부를 구성하는 첨부 도면은 발명의 실시형태를 예시하고 일반적인 설명과 함께 발명의 원리를 설명하는데 소용된다.
본 발명의 많은 장점들은 첨부 도면을 참조함으로써 당업자에게 더 잘 이해될 수 있다.
도 1은 본 발명의 적어도 일 실시형태를 구현하는데 유용한 리소그래픽 컴퓨터 시스템의 블록도이다.
도 2는 반도체 웨이퍼 제조 공정에서 오차 예측 방법의 흐름도이다.
도 3은 본 발명의 적어도 일 실시형태에 따른 오차 예측 처리의 예시적인 출력을 보인 도이다.
도 4는 본 발명의 적어도 일 실시형태에 따른 신경망의 블록도이다.
이제, 첨부 도면에 예시된 발명의 실시형태를 자세히 설명한다. 발명의 범위는 특허 청구범위에 의해서만 제한되고, 많은 대안예, 수정예 및 균등물이 포함된다. 명확성을 위해, 실시형태와 관련된 기술 분야에 공지된 기술적 자료들은 설명을 불필요하게 불명료화하는 것을 피하기 위해 자세히 설명하지 않았다.
도 1을 참조하면, 본 발명의 적어도 일 실시형태를 구현하는데 유용한 리소그래픽 컴퓨터 시스템의 블록도가 도시되어 있다. 본 발명의 적어도 일 실시형태에 있어서, 웨이퍼 제조 공정 중에 웨이퍼를 스캔하고 오버레이 오차의 예측 모델을 결정하는 컴퓨터 시스템은 프로세서(100)와, 이 프로세서(100)에 접속되고 컴퓨터 실행가능 프로그램 코드를 저장 및 실행하는 메모리(102)와, 오버레이 노광 처리 후에 웨이퍼(108) 지오메트리를 스캔하고 웨이퍼(108)에서의 오버레이 오차를 분석하는 카메라(106) 또는 다른 웨이퍼 스캔 장치를 포함한다. 컴퓨터 시스템은 상기 프로세서(100)에 접속되어 예측 모델 및 이 예측 모델을 스캔되는 웨이퍼(108) 지오메트리에 적용한 결과를 저장하는 데이터 기억 장치(104)를 또한 포함할 수 있다.
도 2를 참조하면, 반도체 웨이퍼 제조 공정에서의 오차 예측 방법의 흐름도가 도시되어 있다. 본 발명의 적어도 일 실시형태에 있어서, 하나 이상의 트레이닝 웨이퍼가 동일한 또는 실질적으로 유사한 제조 공정에 의해 또는 그 제조 공정 중에 생산되는 생산 웨이퍼(214)의 배치로부터 선택된다(200). 적어도 일 실시형태에 있어서, 동일한 제조 공정에 의해 또는 그 제조 공정 중에 생산된 웨이퍼의 배치는 동일한 스캔 및 노광 오버레이 절차용으로 또한 의도된다.
트레이닝 웨이퍼를 선택하기 위해 동적으로 스마트한 샘플링 전략을 사용할 수 있다. 웨이퍼 형상 및 지오메트리와 같은 패턴화 웨이퍼 지오메트리 파라미터가 패턴화 웨이퍼 지오메트리 계측 툴을 이용하여 트레이닝 웨이퍼에 대해 획득된다(202). 트레이닝 웨이퍼는 동질 테스트를 또한 받을 수 있다. 만일 트레이닝 웨이퍼가 이질적으로 행동하면(예를 들면, 단일 로트 내 웨이퍼에 상이한 처리 징후를 부여하는 다중 챔버 처리 도구의 상이한 챔버들에 기인해서), K-평균 및 가우시안 혼합 모델과 같은 통계적 클러스터링 기술을 적용하여 트레이닝 웨이퍼를 수 개의 동질 그룹으로 분리할 수 있다. 동질성은 복제 가능한 예측 모델을 결정하기 위해 중요하다.
예측 모델링 엔진은 비제한적인 예를 들자면 신경망, 랜덤 포레스트(random forest), 부스팅 회귀 트리(boosted regression tree), 지원 벡터 머신 및 일반화 선형 모델을 포함한 고급 예측 모델을 동작시킨다. 이러한 모델들은 비제한적인 예를 들자면 웨이퍼 평탄성, 두께, 형상 및 그들의 1차 또는 고차 도함수, 형상의 차(후처리 빼기 전처리), 형상 오차(사후 2차 제거) 및 다른 관련 처리 정보(예를 들면, 칩 레이아웃, 막 적층 두께 및 다른 속성, 리소그래피 스캐너 세팅 등)와 같은 입력 변수로서 다수의 높은 공간 해상도 웨이퍼 지오메트리 파라미터를 포함하는 매우 많은 수의 처리 종속 변수들을 취한다. 다른 통계 모델들은 함수 공간(f)에서 다른 가정 및 제한을 갖는다.
통계 모델로부터의 예측 결과들은 하류 스캔 및 노광 동작에서 오버레이 오차에 대한 각종 처리 계수들의 기여도 및 처리 계수들 간의 상관성을 엔지니어가 이해하도록 돕는다. 예측 모델의 피팅(fitting) 파라미터는 웨이퍼 지오메트리 변화와 오버레이 오차 간의 관계의 추가적인 조사를 트리거할 수 있다.
오버레이 처리는 하나 이상의 트레이닝 웨이퍼에서 수행되고 하나 이상의 트레이닝 웨이퍼가 실제 오버레이 오차에 대하여 분석된다(204). 측정된 리소그래피 오버레이 오차는 고도로 복잡한 비선형 관계 또는 오버레이 오차를 최소화하기로 의도된 예측 모델을 개발하기 위해 사용된다. 실제 오버레이 오차는 그 다음에 실제 오버레이 오차에 가장 밀접하게 정합하는 후보 예측 모델을 생성하기 위해 예측 모델에 기초하여 상기 예측된 오버레이 오차와 실시간으로 비교된다(206). 예측 정확도는 예측 오버레이 오차와 실제 오버레이 오차 간의 피어슨(Pearson) 상관에 의해 측정된다. 최상의 예측 정확도를 가진 모델이 후보 모델로서 유지될 것이다.
본 발명의 예측 방법론은 웨이퍼 좌표에서의 오버레이 오차에 대응하는 특정 웨이퍼 좌표에서의 점대점 지오메트리 정보이다. 통계 예측 모델은 융통성 있는 실험을 가능하게 한다. 트레이닝 웨이퍼의 수 및 트레이닝 웨이퍼에서 샘플 위치의 수는 신뢰할만한 예측 성능이 달성될 때까지 점진적으로 증가될 수 있다. 트레이닝 단계에서, 관심있는 웨이퍼 지오메트리 및 처리 특성들이 식별된다. 이러한 특성들에 기초한 다른 샘플링 전략들은 정확도 및 신뢰도면에서 가장 최적의 예측 성능으로 수렴하도록 신속히 테스트될 수 있다. 최적의 샘플링은 웨이퍼 지오메트리 변화가 측정되어야 하는 최소수의 지점을 규정하고, 그에 따라서 사이클 시간을 감소시키고 툴 생산성을 증가시킬 수 있다.
후보 모델이 결정되었으면, 하나 이상의 유효 웨이퍼가 생산 웨이퍼(214)로부터 선택되고(212), 패턴화 웨이퍼 지오메트리 파라미터가 패턴화 웨이퍼 지오메트리 계측 툴을 이용하여 상기 유효 웨이퍼에 대하여 획득된다(202). 상기 하나 이상의 유효 웨이퍼에 대하여 오버레이 처리가 수행되고, 상기 하나 이상의 유효 웨이퍼가 실제 오버레이 오차에 대하여 분석된다(210). 상기 후보 모델은 오버레이 오차를 예측하고 그 오버레이 오차를 유효 웨이퍼의 실제 오버레이 오차와 비교한다. 만일 예측 정확도가 오버레이 예산 및 다른 고려사항에 기초하여 소정의 문턱값을 만족시키면(207), 후보 모델은 유효인 것으로 간주되고, 트레이닝 웨이퍼 및 유효 웨이퍼와 유사한 처리 조건을 공유하는 다른 생산 웨이퍼에 대하여 오버레이 오차를 예측하기 위해 전개될 준비가 된다(208).
후보 모델이 유효화되면(207), 웨이퍼 지오메트리 파라미터를 결정(220)하기 위해 나머지 생산 웨이퍼(216)들이 패턴화 웨이퍼 지오메트리 계측 툴로 스캔된다(218). 웨이퍼 지오메트리 파라미터 및 전개된 예측 모델(208)에 기초해서, 시스템은 나머지 생산 웨이퍼에 대하여 오버레이 오차를 예측하고(222) 예측된 오버레이 오차를 정정하도록 리소그래피 스캐너를 조정한다(224). 점대점 예측은 예측 오버레이를 피드 포워드하고, 조정을 적용하며(224), 그에 따라서 노광 후에 실제 오버레이 오차를 감소시키기 위해 중요하다.
본 발명에 따른 방법은 리소그래피 생산 처리가 각 개별 웨이퍼의 의도적인 처리 없이 오버레이 오차에 대하여 예방적으로 정정하게 할 수 있다.
도 3을 참조하면, 본 발명의 적어도 일 실시형태에 따른 오차 예측 처리의 예시적인 출력이 도시되어 있다. 현재 선형 회귀 예측 방법은 실제 오버레이 오차 패턴(300)과 실질적으로 상이한 오버레이 오차 패턴(302)을 예측한다. 이와 대조적으로, 고급 예측 모델을 이용한 본 발명에 따른 방법은 실제 오버레이 오차 패턴(300)과 더 밀접하게 상관되는 오버레이 오차 패턴(304)을 발생한다. 이 예시적인 실시형태에서는 고급 예측 모델이 신경망을 사용하였다.
도 4를 참조하면, 본 발명의 적어도 일 실시형태에 따른 신경망의 블록도가 도시되어 있다. 적어도 일 실시형태에 있어서, 신경망은 IPD, 형상, 기울기 등과 같은 복수의 입력 변수 X1(400), X2(402), X3(404), X4(406)를 포함한다. 선형 결합
Figure pct00001
이 은닉 층의 뉴론 H1(408)에 자극으로서 통과된다. 각 뉴론에 매립된 활성화 함수는 뉴론에서의 활동 포텐셜 점화(action potential firing)의 생물학적 속도를 추상적으로 나타낸다. 일반적으로 사용되는 활성화 함수는 시그모이드(SIGMOID) 함수
Figure pct00002
이고, 여기에서 0j는 뉴론(Hj)으로부터의 출력이다. 예측된 오버레이 출력 셀 Y(412)는 은닉 층 내의 모든 뉴론(H1(408)...HN1(410))으로부터의 결과들의 집합이다. 적어도 일 실시형태에 있어서, 오버레이 출력 셀은
Figure pct00003
에 의해 정의된다. 오버레이 출력 셀(412) 및 입력 변수(400, 402, 404, 406)는 고도의 비선형 구조를 나타낼 수 있다.
신경망의 수학적 구조는 출력이 소정 입력에 따라 어떻게 행동하는지를 또한 암시할 수 있다. 은닉 층에 2개의 입력 변수, 예를 들면 X1(400) 및 X2(402)와 3개의 뉴론(408, 410)을 가진 신경망을 가정하면, 제1 입력 변수(X1(400))와 관련한 출력(Y(412))의 제1 도함수는 다음과 같이 정의된다:
Figure pct00004
그 결과는 3모드 곡선이고, 이것은 오버레이가 3개의 영역에서 입력 변수(X1(400))에 민감하다는 것을 암시한다. 엔지니어는 어떤 조건이 특정 지오메트리에서의 오버레이 오차에 가장 적절한지 및 오버레이가 지오메트리 파라미터에 어떻게 반응하는지를 결정할 수 있다.
일 실시형태에 있어서, 예측 모델에서 각 입력 변수의 상대적 중요도는 통계 패키지의 절차에 의해 결정된다. 입력 변수들은 감도 분석을 통해 각 변수에 기인하는 예측 오버레이의 변동의 감소에 기초하여 등급 정해진다. 예측 스코어는 다음과 같이 정의된다:
Figure pct00005
이것은 예측 오버레이의 무조건적 변동에 소정의 변수 값(Xi)이 주어진 때 예측 오버레이의 예상 변동율이다. 각 변수의 상대적 중요도가 결정된 때, 엔지니어는 생산 처리를 수정하거나 다른 변수들이 오버레이에 왜 영향을 주는지 추가로 조사할 수 있다.
실세계 생산 시스템에 있어서, 웨이퍼는 웨이퍼 지오메트리의 불균일한 변화 및 공정 변화의 결과로서 막 응력 및 고차 평면내 변위와 같은 불균일한 특성을 가질 수 있다. 그러므로 웨이퍼 지오메트리와 같은 입력 변수와 오버레이와 같은 출력 간의 상관성은 소용돌이 모양의 비선형성을 나타낼 수 있다. 그 경우에, 비선형 상관성을 모델링하는 예측 모델은 예측 정확도를 개선할 수 있다. 그러므로 신경망은 선형 회귀에 대하여 예측 정확도를 개선할 수 있다.
본 발명의 적어도 일 실시형태에 따른 방법은 웨이퍼 지오메트리 변화와 오버레이 오차 간의 관계를 연구하고 리소그래피 오버레이 및 리소그래피 임계 치수 균일성에 영향을 주는 주된 지오메트리 성분을 식별하기 위해 사용될 수 있다.
본 발명의 적어도 일 실시형태에 따른 방법은 오버레이 오차의 근본 원인을 식별하기 위해 사용될 수 있다. 웨이퍼 평탄성, 두께, 형상과 같은 모든 공정 관련 파라미터 및 이러한 파라미터들의 1차 또는 고차 도함수, 형상의 차, 및 형상 오차는 여기에서 설명하는 예측 모델 처리를 이용하여 고려될 수 있다. 엘라스틱넷(ElasticNet), 전진 단계식 회귀 또는 최소각 회귀와 같은 일부 적당한 변수 선택 알고리즘은 예측 모델 선택 처리에서 비본질적 또는 잡음 파라미터를 체계적으로 배제하고, 오버레이 오차의 더 강한 충격을 주는 소스에 수렴할 수 있다. 칩 레이아웃, 막 적층 두께 및 다른 막 적층 속성, 리소그래피 스캐너 세팅(변환, 회전, 확대, 직교성, 웨이퍼 기울기 등을 포함함)과 같은 다른 관련 프로세스 파라미터에도 유사한 방법을 적용할 수 있다.
본 발명의 적어도 일 실시형태에 따른 방법은 공정 여정(process excursion)을 모니터링하기 위해 사용될 수 있는 공정 변동을 나타낼 수 있다. 클러스터링 기술은 웨이퍼를 상이한 그룹으로 분리할 수 있다. 안정된 생산 공정이 각 그룹 내에서 유사한 오버레이 맵을 발생한다고 가정하고, 엔지니어들은 각 그룹의 특성을 모니터링함으로써 공정 여정을 식별할 수 있다.
본 발명의 실시형태에 따른 시스템은 개선된 오버레이 정정 예측 능력 외에 레티클 효과를 포함한 스캔 및 노광, 화학 기계 연마, 급속 열처리, 및 오버레이 오차에 기여하는 다른 반도체 공정과 같은 웨이퍼 제조 단위 공정의 영향을 또한 식별할 수 있는 통계적 예측 모델에 레버리지를 도입할 수 있다.
본 발명 및 그 부수적인 많은 장점들은 본 발명의 실시형태에 관한 전술한 설명에 의해 이해될 것으로 믿어지고, 발명의 범위 및 정신으로부터 벗어나지 않고 또는 그 실질적 장점들의 모두를 희생시키지 않고 그 구성요소들의 형태, 구성 및 배열에 있어서 각종 변화가 이루어질 수 있음은 명백하다. 여기에서 설명한 형태는 단지 발명의 예시적인 실시형태이고, 첨부된 특허 청구범위는 그러한 변화를 포괄하는 것으로 의도된다.

Claims (20)

  1. 컴퓨터 시스템에 있어서,
    프로세서와;
    상기 프로세서에 접속된 메모리와;
    상기 프로세서 상에서 실행하도록 구성된 컴퓨터 실행가능 프로그램 코드
    를 포함하고,
    상기 컴퓨터 실행가능 프로그램 코드는, 상기 프로세서가,
    하나 이상의 트레이닝 웨이퍼들의 하나 이상의 지오메트리(geometry) 파라미터들에 대응하는 하나 이상의 트레이닝 웨이퍼 프로파일들을 수신하고;
    대응하는 예측 오버레이 오차 프로파일들을 생성하기 위해 상기 트레이닝 웨이퍼 프로파일들에 복수의 오버레이 오차 예측 모델들을 적용하고;
    하나 이상의 트레이닝 웨이퍼 오차 프로파일들을 수신하고;
    상기 복수의 오버레이 오차 예측 모델들로부터 최상으로 피팅(fit)된 오버레이 오차 예측 모델을 결정하기 위해 상기 트레이닝 웨이퍼 오차 프로파일들을 상기 복수의 예측 오버레이 오차 프로파일들과 비교하며;
    상기 최상으로 피팅된 오버레이 오차 예측 모델에 기초하여 리소그래픽 오버레이 처리에 대한 정정값(correction)을 결정하도록, 상기 프로세서를 구성하는 것인 컴퓨터 시스템.
  2. 제1항에 있어서,
    상기 컴퓨터 실행가능 프로그램 코드는 또한, 상기 프로세서가,
    하나 이상의 유효 웨이퍼들의 하나 이상의 지오메트리 파라미터들에 대응하는 하나 이상의 유효 웨이퍼 프로파일들을 수신하고;
    하나 이상의 예측된 유효 오버레이 오차 프로파일들을 생성하기 위해 상기 최상으로 피팅된 오버레이 오차 예측 모델을 상기 유효 웨이퍼 프로파일들에 적용하고;
    하나 이상의 유효 웨이퍼 오차 프로파일들을 수신하고;
    상기 유효 웨이퍼 오차 프로파일들을 상기 예측된 유효 오버레이 오차 프로파일들과 비교하며;
    상기 유효 웨이퍼 오차 프로파일들이 일정한 문턱값 미만의 양만큼 상기 예측된 유효 오버레이 오차 프로파일들과 상이하다고 결정하도록, 상기 프로세서를 구성하는 것인 컴퓨터 시스템.
  3. 제1항에 있어서,
    상기 컴퓨터 실행가능 프로그램 코드는 또한, 상기 프로세서가, 하나 이상의 생산 웨이퍼들의 후속되는 리소그래픽 오버레이 처리들에 상기 정정값을 적용하도록, 상기 프로세서를 구성하는 것인 컴퓨터 시스템.
  4. 제1항에 있어서,
    상기 복수의 오버레이 오차 예측 모델들을 적용하는 것은 하나 이상의 지오메트리 파라미터들에 기초하여 신경망을 실행하는 것을 포함한 것인 컴퓨터 시스템.
  5. 제1항에 있어서,
    상기 복수의 오버레이 오차 예측 모델들을 적용하는 것은 하나 이상의 지오메트리 파라미터들에 대해 랜덤 포레스트(random forest) 알고리즘을 실행하는 것을 포함한 것인 컴퓨터 시스템.
  6. 제1항에 있어서,
    상기 컴퓨터 실행가능 프로그램 코드는 또한, 상기 프로세서가,
    상기 트레이닝 웨이퍼 프로파일들이 이질적인 지오메트리 파라미터들을 나타낸다고 결정하고;
    상기 트레이닝 웨이퍼 프로파일들을 동질적인 지오메트리 파라미터들로 조직화하도록, 상기 프로세서를 구성하는 것인 컴퓨터 시스템.
  7. 제1항에 있어서,
    상기 컴퓨터 실행가능 프로그램 코드는 또한, 상기 프로세서가, 오버레이 오차에 원인이 된 1차 지오메트리 파라미터를 결정하기 위해 상기 하나 이상의 트레이닝 웨이퍼 프로파일들을 분석하도록, 상기 프로세서를 구성하는 것인 컴퓨터 시스템.
  8. 계측 시스템에 있어서,
    프로세서와;
    상기 프로세서에 접속된 웨이퍼 스캔 장치와;
    상기 프로세서에 접속된 메모리와;
    상기 프로세서 상에서 실행하도록 구성된 컴퓨터 실행가능 프로그램 코드
    를 포함하고,
    상기 컴퓨터 실행가능 프로그램 코드는, 상기 프로세서가,
    트레이닝 웨이퍼들의 하나 이상의 지오메트리 파라미터들을 결정하기 위해 하나 이상의 트레이닝 웨이퍼들을 스캔하고;
    상기 하나 이상의 트레이닝 웨이퍼들의 하나 이상의 지오메트리 파라미터들에 대응하는 하나 이상의 트레이닝 웨이퍼 프로파일들을 생성하고;
    대응하는 예측 오버레이 오차 프로파일들을 생성하기 위해 상기 트레이닝 웨이퍼 프로파일들에 복수의 오버레이 오차 예측 모델들을 적용하고;
    하나 이상의 트레이닝 웨이퍼 오차 프로파일들을 결정하기 위해 상기 하나 이상의 트레이닝 웨이퍼를 스캔하고;
    상기 복수의 오버레이 오차 예측 모델들로부터 최상으로 피팅된 오버레이 오차 예측 모델을 결정하기 위해 상기 트레이닝 웨이퍼 오차 프로파일들을 상기 복수의 예측 오버레이 오차 프로파일들과 비교하며;
    상기 최상으로 피팅된 오버레이 오차 예측 모델에 기초하여 리소그래픽 오버레이 처리에 대한 정정값을 결정하도록, 상기 프로세서를 구성하는 것인 계측 시스템.
  9. 제8항에 있어서,
    상기 컴퓨터 실행가능 프로그램 코드는 또한, 상기 프로세서가,
    하나 이상의 유효 웨이퍼들의 하나 이상의 지오메트리 파라미터들에 대응하는 하나 이상의 유효 웨이퍼 프로파일들을 결정하기 위해 상기 하나 이상의 유효 웨이퍼들을 스캔하고;
    하나 이상의 예측된 유효 오버레이 오차 프로파일들을 생성하기 위해 상기 최상으로 피팅된 오버레이 오차 예측 모델을 상기 유효 웨이퍼 프로파일들에 적용하고;
    하나 이상의 유효 웨이퍼 오차 프로파일들을 결정하기 위해 상기 하나 이상의 유효 웨이퍼들을 스캔하고;
    상기 유효 웨이퍼 오차 프로파일들을 상기 예측된 유효 오버레이 오차 프로파일들과 비교하며;
    상기 유효 웨이퍼 오차 프로파일들이 일정한 문턱값 미만의 양만큼 상기 예측된 유효 오버레이 오차 프로파일들과 상이하다고 결정하도록, 상기 프로세서를 구성하는 것인 계측 시스템.
  10. 제8항에 있어서,
    상기 프로세서에 접속된 리소그래픽 오버레이 노광 장치를 더 포함하고, 상기 컴퓨터 실행가능 프로그램 코드는 또한, 상기 프로세서를, 하나 이상의 생산 웨이퍼들의 후속되는 리소그래픽 오버레이 처리들 동안에 상기 리소그래픽 오버레이 노광 장치에 상기 정정값을 적용하도록 구성하는 것인 계측 시스템.
  11. 제8항에 있어서,
    상기 복수의 오버레이 오차 예측 모델들을 적용하는 것은 하나 이상의 지오메트리 파라미터들에 기초하여 신경망을 실행하는 것을 포함한 것인 계측 시스템.
  12. 제8항에 있어서,
    상기 복수의 오버레이 오차 예측 모델들을 적용하는 것은 하나 이상의 지오메트리 파라미터들에 대해 랜덤 포레스트 알고리즘을 실행하는 것을 포함한 것인 계측 시스템.
  13. 제8항에 있어서,
    상기 컴퓨터 실행가능 프로그램 코드는 또한, 상기 프로세서가,
    상기 트레이닝 웨이퍼 프로파일들이 이질적인 지오메트리 파라미터를 나타내는지 결정하고;
    상기 트레이닝 웨이퍼 프로파일들을 동질적인 지오메트리 파라미터들로 조직화하도록, 상기 프로세서를 구성하는 것인 계측 시스템.
  14. 제8항에 있어서,
    상기 컴퓨터 실행가능 프로그램 코드는 또한, 상기 프로세서가, 오버레이 오차에 원인이 된 1차 지오메트리 파라미터를 결정하기 위해 상기 하나 이상의 트레이닝 웨이퍼 프로파일들을 분석하도록, 상기 프로세서를 구성하는 것인 계측 시스템.
  15. 오버레이 오차들을 보정하기 위한 방법에 있어서,
    트레이닝 웨이퍼들의 하나 이상의 지오메트리 파라미터들을 결정하기 위해 하나 이상의 트레이닝 웨이퍼들을 스캔하는 단계와;
    상기 하나 이상의 트레이닝 웨이퍼들의 하나 이상의 지오메트리 파라미터들에 대응하는 하나 이상의 트레이닝 웨이퍼 프로파일들을 생성하는 단계와;
    대응하는 예측 오버레이 오차 프로파일들을 생성하기 위해 상기 트레이닝 웨이퍼 프로파일들에 복수의 오버레이 오차 예측 모델들을 적용하는 단계와;
    하나 이상의 트레이닝 웨이퍼 오차 프로파일들을 결정하기 위해 상기 하나 이상의 트레이닝 웨이퍼를 스캔하는 단계와;
    상기 복수의 오버레이 오차 예측 모델들로부터 최상으로 피팅된 오버레이 오차 예측 모델을 결정하기 위해 상기 트레이닝 웨이퍼 오차 프로파일들을 상기 복수의 예측 오버레이 오차 프로파일들과 비교하는 단계와;
    상기 최상으로 피팅된 오버레이 오차 예측 모델에 기초하여 리소그래픽 오버레이 처리에 대한 정정값을 결정하는 단계
    를 포함한 오버레이 오차 보정 방법.
  16. 제15항에 있어서,
    하나 이상의 유효 웨이퍼들의 하나 이상의 지오메트리 파라미터들에 대응하는 하나 이상의 유효 웨이퍼 프로파일들을 결정하기 위해 상기 하나 이상의 유효 웨이퍼들을 스캔하는 단계와;
    하나 이상의 예측된 유효 오버레이 오차 프로파일들을 생성하기 위해 상기 최상으로 피팅된 오버레이 오차 예측 모델을 상기 유효 웨이퍼 프로파일들에 적용하는 단계와;
    하나 이상의 유효 웨이퍼 오차 프로파일들을 결정하기 위해 상기 하나 이상의 유효 웨이퍼들을 스캔하는 단계와;
    상기 유효 웨이퍼 오차 프로파일들을 상기 예측된 유효 오버레이 오차 프로파일들과 비교하는 단계와;
    상기 유효 웨이퍼 오차 프로파일들이 일정한 문턱값 미만의 양만큼 상기 예측된 유효 오버레이 오차 프로파일들과 상이하다고 결정하는 단계
    를 더 포함한 오버레이 오차 보정 방법.
  17. 제15항에 있어서,
    상기 복수의 오버레이 오차 예측 모델들을 적용하는 단계는 하나 이상의 지오메트리 파라미터들에 기초하여 신경망을 실행하는 단계를 포함한 것인 오버레이 오차 보정 방법.
  18. 제15항에 있어서,
    상기 복수의 오버레이 오차 예측 모델들을 적용하는 단계는 하나 이상의 지오메트리 파라미터들에 대해 랜덤 포레스트 알고리즘을 실행하는 단계를 포함한 것인 오버레이 오차 보정 방법.
  19. 제15항에 있어서,
    상기 트레이닝 웨이퍼 프로파일들이 이질적인 지오메트리 파라미터들을 나타낸다고 결정하는 단계와;
    상기 트레이닝 웨이퍼 프로파일들을 동질적인 지오메트리 파라미터들로 조직화하는 단계
    를 더 포함한 오버레이 오차 보정 방법.
  20. 제15항에 있어서,
    오버레이 오차에 원인이 된 1차 지오메트리 파라미터를 결정하기 위해 상기 하나 이상의 트레이닝 웨이퍼 프로파일들을 분석하는 단계
    를 더 포함한 오버레이 오차 보정 방법.
KR1020167025800A 2014-03-06 2015-03-05 오버레이 오차, 근본 원인 분석 및 공정 제어의 피드 포워드 및 피드백 정정을 위한 통계적 오버레이 오차 예측 KR102179988B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201461949022P 2014-03-06 2014-03-06
US61/949,022 2014-03-06
US14/220,665 US9087176B1 (en) 2014-03-06 2014-03-20 Statistical overlay error prediction for feed forward and feedback correction of overlay errors, root cause analysis and process control
US14/220,665 2014-03-20
PCT/US2015/018884 WO2015134709A1 (en) 2014-03-06 2015-03-05 Statistical overlay error prediction for feed forward and feedback correction of overlay errors, root cause analysis and process control

Publications (2)

Publication Number Publication Date
KR20160130243A true KR20160130243A (ko) 2016-11-10
KR102179988B1 KR102179988B1 (ko) 2020-11-17

Family

ID=53540163

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020167025800A KR102179988B1 (ko) 2014-03-06 2015-03-05 오버레이 오차, 근본 원인 분석 및 공정 제어의 피드 포워드 및 피드백 정정을 위한 통계적 오버레이 오차 예측

Country Status (6)

Country Link
US (2) US9087176B1 (ko)
EP (1) EP3114705B1 (ko)
JP (1) JP6490094B2 (ko)
KR (1) KR102179988B1 (ko)
TW (1) TWI651789B (ko)
WO (1) WO2015134709A1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11537042B2 (en) 2019-07-10 2022-12-27 Samsung Electronics Co., Ltd. Overlay correcting method, and photolithography method, semiconductor device manufacturing method and scanner system based on the overlay correcting method

Families Citing this family (43)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5960198B2 (ja) 2013-07-02 2016-08-02 キヤノン株式会社 パターン形成方法、リソグラフィ装置、リソグラフィシステムおよび物品製造方法
US10379447B2 (en) * 2013-07-10 2019-08-13 Qoniac Gmbh Method and apparatus for simulation of lithography overlay
US11366397B2 (en) 2013-07-10 2022-06-21 Qoniac Gmbh Method and apparatus for simulation of lithography overlay
US10576603B2 (en) * 2014-04-22 2020-03-03 Kla-Tencor Corporation Patterned wafer geometry measurements for semiconductor process controls
KR102184033B1 (ko) * 2014-06-24 2020-11-27 케이엘에이 코포레이션 반도체 프로세스 제어를 위한 패터닝된 웨이퍼 지오메트리 측정
US10430719B2 (en) 2014-11-25 2019-10-01 Stream Mosaic, Inc. Process control techniques for semiconductor manufacturing processes
WO2016086138A1 (en) 2014-11-25 2016-06-02 Stream Mosaic, Inc. Improved process control techniques for semiconductor manufacturing processes
US10036964B2 (en) 2015-02-15 2018-07-31 Kla-Tencor Corporation Prediction based chucking and lithography control optimization
US10024654B2 (en) 2015-04-06 2018-07-17 Kla-Tencor Corporation Method and system for determining in-plane distortions in a substrate
US9779202B2 (en) 2015-06-22 2017-10-03 Kla-Tencor Corporation Process-induced asymmetry detection, quantification, and control using patterned wafer geometry measurements
US9916965B2 (en) * 2015-12-31 2018-03-13 Kla-Tencor Corp. Hybrid inspectors
US11022896B2 (en) 2016-03-11 2021-06-01 Asml Netherlands B.V. Mark position determination method
US10068323B2 (en) * 2016-04-10 2018-09-04 Kla-Tencor Corporation Aware system, method and computer program product for detecting overlay-related defects in multi-patterned fabricated devices
JP7138569B2 (ja) * 2016-06-02 2022-09-16 ユニバーサル インスツルメンツ コーポレーション 半導体ダイのオフセット補償ばらつき
US10475712B2 (en) 2016-09-30 2019-11-12 Kla-Tencor Corporation System and method for process-induced distortion prediction during wafer deposition
US10877381B2 (en) 2016-10-21 2020-12-29 Asml Netherlands B.V. Methods of determining corrections for a patterning process
US10409171B2 (en) * 2017-01-25 2019-09-10 Kla-Tencor Corporation Overlay control with non-zero offset prediction
KR102432667B1 (ko) 2017-05-15 2022-08-17 삼성전자주식회사 오버레이 보정방법 및 제어 시스템
US11029673B2 (en) 2017-06-13 2021-06-08 Pdf Solutions, Inc. Generating robust machine learning predictions for semiconductor manufacturing processes
US11022642B2 (en) 2017-08-25 2021-06-01 Pdf Solutions, Inc. Semiconductor yield prediction
WO2019129485A1 (en) * 2017-12-29 2019-07-04 Asml Netherlands B.V. Method and device for determining adjustments to sensitivity parameters
US11029359B2 (en) 2018-03-09 2021-06-08 Pdf Solutions, Inc. Failure detection and classsification using sensor data and/or measurement data
US11775714B2 (en) 2018-03-09 2023-10-03 Pdf Solutions, Inc. Rational decision-making tool for semiconductor processes
CN110365503B (zh) * 2018-03-26 2022-08-19 华为技术有限公司 一种指标确定方法及其相关设备
US10777470B2 (en) 2018-03-27 2020-09-15 Pdf Solutions, Inc. Selective inclusion/exclusion of semiconductor chips in accelerated failure tests
US11454949B2 (en) * 2018-03-28 2022-09-27 Kla Corporation Auto-correlation of wafer characterization data and generation of composite wafer metrics during semiconductor device fabrication
DE102018207880A1 (de) 2018-05-18 2019-11-21 Carl Zeiss Smt Gmbh Verfahren und Vorrichtung zum Bewerten einer unbekannten Auswirkung von Defekten eines Elements eines Photolithographieprozesses
CN108897219B (zh) * 2018-07-11 2021-02-09 杭州电子科技大学 一种化工不确定工业过程约束预测控制方法
US11094053B2 (en) * 2018-10-08 2021-08-17 Kla Corporation Deep learning based adaptive regions of interest for critical dimension measurements of semiconductor substrates
EP3807720B1 (en) * 2018-11-07 2022-05-11 ASML Netherlands B.V. Method for configuring a semiconductor manufacturing process, a lithographic apparatus and an associated computer program product
US10990019B2 (en) * 2019-04-09 2021-04-27 Kla Corporation Stochastic reticle defect dispositioning
CN110470263A (zh) * 2019-08-02 2019-11-19 天津大学 一种基于梯度提升树的回转体测量系统误差补偿方法
US11954615B2 (en) 2019-10-16 2024-04-09 International Business Machines Corporation Model management for non-stationary systems
JP2022054250A (ja) 2020-09-25 2022-04-06 キヤノン株式会社 サンプルショット領域のセットを決定する方法、計測値を得る方法、情報処理装置、リソグラフィ装置、プログラム、および物品製造方法
CN112257337B (zh) * 2020-10-14 2022-09-16 上海工程技术大学 一种gmdh神经网络的晶圆cmp材料去除率预测方法
US11288115B1 (en) 2020-11-05 2022-03-29 International Business Machines Corporation Error analysis of a predictive model
US11829077B2 (en) * 2020-12-11 2023-11-28 Kla Corporation System and method for determining post bonding overlay
US11669079B2 (en) * 2021-07-12 2023-06-06 Tokyo Electron Limited Tool health monitoring and classifications with virtual metrology and incoming wafer monitoring enhancements
US11782411B2 (en) 2021-07-28 2023-10-10 Kla Corporation System and method for mitigating overlay distortion patterns caused by a wafer bonding tool
CN113591395B (zh) * 2021-08-11 2024-01-30 重庆大学 热误差预测模型建模方法及基于霾-边缘-雾-云计算的智能热误差控制系统框架
JP2023053800A (ja) * 2021-10-01 2023-04-13 キヤノン株式会社 基板上の複数のショット領域の配列を求める方法、露光方法、露光装置、物品の製造方法、プログラム及び情報処理装置
TWI790795B (zh) * 2021-10-29 2023-01-21 財團法人資訊工業策進會 模型校正方法、模型校正系統及非暫態電腦可讀取媒體
CN114999182B (zh) * 2022-05-25 2023-07-04 中国人民解放军国防科技大学 基于lstm回馈机制的车流量预测方法、装置及设备

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005518107A (ja) * 2002-02-15 2005-06-16 ケーエルエー−テンカー テクノロジィース コーポレイション オーバレイ計測および制御方法
US20060103822A1 (en) * 2004-11-17 2006-05-18 Asml Netherlands B.V. Alignment strategy optimization method
KR20070107811A (ko) * 2005-03-23 2007-11-07 에이에스엠엘 네델란즈 비.브이. 리소그래피 장치 및 디바이스 제조방법
US20100083200A1 (en) * 2008-09-30 2010-04-01 Cadence Design Systems, Inc. Methods, system, and computer program prodcut for implementing compact manufacturing model in electronic design automation
JP2010538474A (ja) * 2007-08-31 2010-12-09 ケーエルエー−テンカー・コーポレーション ウエハの領域全体の半導体パラメータを予測するための装置および方法
KR20120007975A (ko) * 2010-07-12 2012-01-25 칼 짜이스 에스엠에스 엘티디 포토리소그래피 마스크의 에러를 정정하는 방법 및 장치

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8017411B2 (en) * 2002-12-18 2011-09-13 GlobalFoundries, Inc. Dynamic adaptive sampling rate for model prediction
US8260449B2 (en) * 2008-11-06 2012-09-04 Micron Technology, Inc. Photolithography systems and associated methods of overlay error correction
TWI417942B (zh) 2009-12-17 2013-12-01 Ind Tech Res Inst 二維陣列疊對圖樣組之設計方法、疊對誤差量測方法及其量測系統
KR101815975B1 (ko) * 2011-07-27 2018-01-09 삼성전자주식회사 객체 자세 검색 장치 및 방법
US10295993B2 (en) 2011-09-01 2019-05-21 Kla-Tencor Corporation Method and system for detecting and correcting problematic advanced process control parameters
US9354526B2 (en) 2011-10-11 2016-05-31 Kla-Tencor Corporation Overlay and semiconductor process control using a wafer geometry metric
KR101664962B1 (ko) * 2012-05-29 2016-10-11 에이에스엠엘 네델란즈 비.브이. 오버레이를 보정하기 위한 정렬 마크들의 유용도를 결정하는 방법, 및 리소그래피 장치 및 오버레이 측정 시스템의 조합

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005518107A (ja) * 2002-02-15 2005-06-16 ケーエルエー−テンカー テクノロジィース コーポレイション オーバレイ計測および制御方法
US20060103822A1 (en) * 2004-11-17 2006-05-18 Asml Netherlands B.V. Alignment strategy optimization method
KR20070107811A (ko) * 2005-03-23 2007-11-07 에이에스엠엘 네델란즈 비.브이. 리소그래피 장치 및 디바이스 제조방법
JP2010538474A (ja) * 2007-08-31 2010-12-09 ケーエルエー−テンカー・コーポレーション ウエハの領域全体の半導体パラメータを予測するための装置および方法
US20100083200A1 (en) * 2008-09-30 2010-04-01 Cadence Design Systems, Inc. Methods, system, and computer program prodcut for implementing compact manufacturing model in electronic design automation
KR20120007975A (ko) * 2010-07-12 2012-01-25 칼 짜이스 에스엠에스 엘티디 포토리소그래피 마스크의 에러를 정정하는 방법 및 장치

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11537042B2 (en) 2019-07-10 2022-12-27 Samsung Electronics Co., Ltd. Overlay correcting method, and photolithography method, semiconductor device manufacturing method and scanner system based on the overlay correcting method
US11921421B2 (en) 2019-07-10 2024-03-05 Samsung Electronics Co., Ltd. Overlay correcting method, and photolithography method, semiconductor device manufacturing method and scanner system based on the overlay correcting method

Also Published As

Publication number Publication date
EP3114705A4 (en) 2017-11-08
JP2017514294A (ja) 2017-06-01
JP6490094B2 (ja) 2019-03-27
EP3114705B1 (en) 2022-11-23
TW201539602A (zh) 2015-10-16
US10545412B2 (en) 2020-01-28
US9087176B1 (en) 2015-07-21
KR102179988B1 (ko) 2020-11-17
EP3114705A1 (en) 2017-01-11
US20170017162A1 (en) 2017-01-19
TWI651789B (zh) 2019-02-21
WO2015134709A1 (en) 2015-09-11

Similar Documents

Publication Publication Date Title
KR102179988B1 (ko) 오버레이 오차, 근본 원인 분석 및 공정 제어의 피드 포워드 및 피드백 정정을 위한 통계적 오버레이 오차 예측
KR102336390B1 (ko) 제품 유닛의 다중-스테이지 처리를 위한 장치 최적화
TWI839396B (zh) 高階半導體製程優化及製造期間適應性控制
CN107004060B (zh) 用于半导体制造工艺的经改进工艺控制技术
JP2019113873A (ja) 問題のある高度プロセス制御パラメータの検出及び訂正のためのシステム
JP5162778B2 (ja) プロセスパラメータを分散に関連づける分散関数を用いた構造のプロファイルパラメータの決定
US20200050180A1 (en) Methods & apparatus for controlling an industrial process
KR102637430B1 (ko) 계측을 위한 신호-도메인 적응
JP6893549B2 (ja) 高次元変数選択モデルを使用した重要なパラメータの決定システム
JP7436589B2 (ja) ウェーハ上の半導体部品のポジションを復元する方法及び装置
US11372338B2 (en) Method for evaluating control strategies in a semiconductor manufacturing process
KR20230122590A (ko) 반도체 시편의 전기적 특성들의 예측
US20230376850A1 (en) Method and device for reconstructing a position of semiconductor devices on a wafer
US20230128610A1 (en) Continuous Machine Learning Model Training for Semiconductor Manufacturing
TW202329279A (zh) 用於重建晶圓上半導體元件的位置之方法及裝置

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant