JP2009510770A - オーバーレイ精度とパターン配置誤差とを同時に測定する方法 - Google Patents

オーバーレイ精度とパターン配置誤差とを同時に測定する方法 Download PDF

Info

Publication number
JP2009510770A
JP2009510770A JP2008533356A JP2008533356A JP2009510770A JP 2009510770 A JP2009510770 A JP 2009510770A JP 2008533356 A JP2008533356 A JP 2008533356A JP 2008533356 A JP2008533356 A JP 2008533356A JP 2009510770 A JP2009510770 A JP 2009510770A
Authority
JP
Japan
Prior art keywords
periodic
segmented
measurement
overlay
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2008533356A
Other languages
English (en)
Inventor
シュルツ ベルント
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Advanced Micro Devices Inc
Original Assignee
Advanced Micro Devices Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Advanced Micro Devices Inc filed Critical Advanced Micro Devices Inc
Priority claimed from PCT/US2006/032757 external-priority patent/WO2007040855A1/en
Publication of JP2009510770A publication Critical patent/JP2009510770A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70681Metrology strategies
    • G03F7/70683Mark designs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/544Marks applied to semiconductor devices or parts, e.g. registration marks, alignment structures, wafer maps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Electron Beam Exposure (AREA)

Abstract

本発明は、単一の測定構造(200)からオーバーレイ誤差とパターン配置誤差情報とを取得する技術を提供する。これは、単一の測定構造(221、241)において少なくとも2つの異なるデバイス層に周期的サブ構造(210、220、240、250)を形成することで実現される。ここでは、少なくとも1つのセグメント化された部位(200)と非セグメント化部位(211、251)とは2つの異なるデバイス層に供給される。

Description

本発明は集積回路の製造分野に関し、より詳細には、ミクロ構造のフィーチャの製造に使用されるスタック材料層を形成しパターニングする際のオーバーレイ精度とパターンプレースメントエラー(PPE:Pattern Placement Error)とを判断する方法および構造に関する。
集積回路などのミクロ構造の製造においては、正確にサイズ制御された非常に小さな領域を、適切な基板、例えば、シリコン基板、シリコンオンインシュレータ(SOI)基板、あるいは他の適切なキャリア材料の材料層に形成する必要がある。
これらの正確にサイズ制御された非常に小さな領域は、フォトリソグラフィ、エッチング、注入、蒸着、酸化プロセスなどを実行することによって材料層をパターニングすることで生成される。一般的には、パターニングプロセスの少なくともある特定の段階においては、これらの非常に小さな領域を画定するようにマスク層が材料層上に形成される。
概して、マスク層は、リソグラフィックプロセスによりパターニングされるフォトレジストの層によって構成あるいは形成される。リソグラフィックプロセスにおいては、レジストはウェハ表面にスピンコートされ、次に、レチクルなどの対応するリソグラフィマスクを介して、紫外線放射に選択的に露出される。これにより、レチクルパターンがレジスト層にイメージされ、潜像が形成される。フォトレジストの現像後に、レジストのタイプ、つまり、ポジティブかネガティブかに応じて、露出された部分あるいは露出されていない部分が除去され、フォトレジスト層に所望のパターンが形成される。
高度集積回路のパターン寸法は着実に縮小しているので、デバイスのフィーチャをパターニングするために用いる装置は、関連する製造プロセスの解像度とオーバーレイ精度に関して非常に厳しい要件を満たさなければならない。
これに関して、解像度は、所定の製造上のばらつきがある状態で、最小寸法のイメージをプリントする一貫した能力を特定する手段と考えられ。解像度を向上させる際の1つの重要な要素は、フォトマスクやレチクルに含まれるパターンが、光学イメージシステムを介して基板に光学的に転送されるリソグラフィックプロセスによって表される。したがって、リソグラフィックシステムの光学的特徴、例えば、開口数、焦点深度、および、使用される光源の波長を着実に向上するように尽力されている。
非常に小さな加工寸法を生成するにあたっては、リソグラフィックイメージの質が非常に重要である。しかし、これと同等に重要なのが、イメージが基板の表面上に位置決めされる精度である。一般的には、集積回路などのミクロ構造は、材料層を連続的にパターニングすることで製造される。ここでは、連続する材料層のフィーチャは、相互に空間的関係を持つ。次の材料層で形成される各パターンは、特定のレジストレーション(位置ぎめ)許容誤差内ですでにパターニングされた材料層に形成された対応のパターンに位置合せする必要がある。これらのレジストレーション許容誤差は、例えば、レジストの厚み、ベーキング温度、照射量、露出時間、および現像条件などのパラメータが均一でないために基板上のフォトレジストイメージがばらつくことで生じる。
さらに、エッチプロセスが均一でないことで、エッチされたフィーチャにもばらつきが生じる。加えて、基板にフォトマスクのイメージをフォトリソグラフィカルに転送する間に、すでに形成された材料層のエッチされたあるいは画定されたパターンに現在の材料層のパターンのイメージをオーバーレイする際には確実性がない。イメージングシステムにおいて、2つの層を完全にオーバーレイするための能力には、複数の要素が影響を及ぼす。このような要素の例として、マスクセットが不完全であること、それぞれ異なる露出時間における温度差、および、位置合せ装置のレジストレーション能力が制限されていること、を挙げることができる。その結果、最終的に取得される最小加工寸法を決定する主要な基準として、それぞれの基板層にフィーチャを生成する解像度と、上述の要因に、特にリソグラフィックプロセスにおいて、影響を及ぼす全体のオーバーレイ誤差と、が挙げられる。
したがって、特定の材料層の解像度、すなわち、最小加工寸法(クリティカルディメンション(CD):極限寸法とも称される)を確実に再生可能に生成する能力を確実にモニタリングし、連続して形成され、相互に位置合せする必要のある材料層のパターンのオーバーレイ精度を確実に測定することが不可欠である。
オーバーレイメトロロジーでは、一般的に2つの独立した構造、つまり、プリントされる各層の1つの構造、が特定の製造プロセスによって形成され、対称性の中心間の位置のずれが測定される。多くの場合、いわゆるボックスインボックスマーク(bod-in-box marks)が用いられ、これらのボックスインボックスマークは、電荷結合素子(CCD:Charge Coupled Device)のピクセル単位で層のずれを測定することで、それぞれの層において同軸にパターニングされ、この測定プロセスにおいて、同軸位置合せマークがその上にイメージされる。しかし、ミクロ構造の加工寸法を縮小し続けるために、ずれ検出すること、即ち、エッジファインディングルーチンに基づいた双方のオーバーレイマーク間のオーバーレイ誤差を定量すること、は十分なものではなくなっている。従って、近年では、オーバーレイメトロロジーの信頼性を強化すべく、いわゆる、高度イメージングメトロロジー(AIM:Advanced Imaging Metrology)マークがますます使用されるようになっている。AIMマークは、周期的構造(periodic structure)を示す。このことで、非常に効果的なメトロロジー技術が利用可能となる。よって、オーバーレイメトロロジーの性能は、周期的オーバーレイマークを使用することで向上する。
しかし、加工寸法が縮小することで、1つのダイにおけるオーバーレイ特徴と、一般的に基板のスクライブラインに配置されるオーバーレイマークの実質的により大きな構造とにずれが生じるおそれがあり、これにより、スクライブライン中のターゲットから取得した計測データを信頼性のないものにしてしまう。このようなずれが生じる一要因として、リソグラフィ装置が、オーバーレイマークを形成するために一般的に使用される相対的に大きな構造とは別の方法で、一般的にダイ内で検出されるような、ゲート電極、シャロートレンチアイソレーション(STI)構造などの微細構造をイメージするということが挙げられる。このような、パターンおよび寸法に依存してオーバーレイ度が異なる現象を、パターン配置誤差(PPE)と呼ぶ。この結果、スクライブライン内のオーバーレイマークから取得したオーバーレイ測定の結果を、ダイ内の現実の微細構造への影響度に対して修正するように、パターン配置誤差を定量化する必要がある。このパターン配置誤差は、いわゆる、同時AIMオーバーレイマークによって測定することができるので便利である。これについては以下に図面1aおよび1bを参照しながらさらに詳細に説明する。
図1aに、オーバーレイ測定構造100の上面図を概略的に示す。この構造は特定の基板部分101上に形成されてもよい。特定の基板部分は一般的に、複数のダイが位置する任意の適切な基板のスクライブライン内に設けられ、この中に、現実の機能的ミクロ構造が形成される。オーバーレイ測定構造100は、AIMマークの形式で供給されてもよい。つまり、構造100は、少なくとも2つの独立した方向においてオーバーレイ誤差を測定できる周期的構造を含んでもよい。この例では、構造100は4つの外部周期的構造101oから構成され、このうちの2つがx方向に沿ったラインとスペースを有しており、残り2つの構造101oは実質的にy方向に沿ったラインとスペースを有している。同様に、4つの内部周期的構造101iが形成されており、このうち2つの内部周期的構造101iはx方向にラインとスペースを有しており、それぞれ方向付けられた外部構造101oに隣接して設けられている。さらに、残り2つの内部構造101iは、y方向に沿って方向付けられており、対応する外部構造101oに隣接して設けられている。これにより、結合されたオーバーレイ測定構造100が、x方向とy方向に対してそれぞれ周期的構造101oと101iを含む、2つの層のオーバーレイ精度についての情報を含むように、この外部構造101oと内部構造101iとは異なる層に形成される。
構造100は、以下のプロセスフローに従って形成されてもよい。ここでは、外部周期的構造101oはまず、STIトレンチを受け入れる層などの、対応のデバイス層に形成されるものと想定する。材料層のそれぞれの順序は任意に選択される。また、構造100を形成する原理は、いずれのフロントバックあるいはバックエンドプロセスシーケンスに適用できる。プロセスシーケンスとしては、更なる材料層を、1つ以上前の層の上部にパターニングするフォトリソグラフィステップが挙げられる。外部周期的構造101oに従うパターンは、フォトリソグラフィによって、対象の基板上方と、さらに、基板部分101上方に形成される、対応するレジスト層にイメージされる。その結果、外部周期的構造101oと同時に、STIトレンチなどに対して対応するパターンがいずれのダイ領域(図示せず)にも形成される。レジスト層の現像後に、ダイと、さらに周期的構造101oに対応するパターンを形成するために、異方性エッチ技術、蒸着技術、化学機械研磨(CMP)などを含む、対応の、十分に承認された一連の製造ステップが実行される。
その後、すでにパターニングされた層に、ゲート電極構造、ポリシリコンラインなどのミクロ構造のフィーチャを形成するようにプロセスシーケンスを実行してもよい。したがって、薄膜ゲート絶縁層の形成、その後のゲート電極材料の蒸着といった、複数の十分に確立された酸化および蒸着プロセスを実行してもよく、このようなプロセスの後に、構造をパターニングし、その結果、基板部分101に内部周期的構造101iを同時に形成するリソグラフィプロセスが行われる。すでに指摘したように、内部および外部周期的構造101iおよび101oのそれぞれのラインとスペースとは、同じ設計ルールに従って形成されない。しかし、この内部および外部周期的構造101i、101o間でどのようなオフセットの検出も強化できるように、メトロロジー要件に従ってパターニングされてよい。
したがって、内部および外部周期的構造101i、101oのピッチは、ダイ領域に形成される現実のデバイスフィーチャの極限寸法よりも相当に大きなものであってよい。したがって、X方向とy方向とに対するオーバーレイ精度は、オーバーレイ測定構造100に対して適度に高い精度で予測されるが、オーバーレイ測定構造100の寸法と比べると、それほど極限寸法ではない構造上の特性が形成された現実のダイ領域のオーバーレイ精度を正確に予測することはできない。したがって、オーバーレイ構造100に加えて、いわゆる同時AIMオーバーレイマークが使用されることが多い。ここでは、周期的構造のフィーチャの少なくともいくつかは、ダイ領域の現実のデバイスフィーチャに対してそれぞれの設計ルールに従って形成された“微細構造”を含む。
内部および外部周期的構造101i、101oによって表される2つの異なる層のオーバーレイ精度を測定する際に、光学データ取得用装置などのメトロロジー装置が構造100に対して位置調整される。さらに、各周期的構造101i、101oにおいて、それぞれの測定領域を画定するそれぞれのワーキングゾーン110i、110oからデータが取得される。例えば、内部周期的構造101iに対応するそれぞれのワーキングゾーン110i内のラインとスペースの位置が測定され、次いで、対応の外部周辺構造101oに対して測定される、対応するラインとスペースの位置情報と比較される。この情報に基づいて、xおよびy方向のオーバーレイ精度に関して、所要の情報を取得することができる。
図1bに、同時AIMオーバーレイ測定構造150を概略的に示す。この構造は、オーバーレイ計測構造100に加えて、基板部分101に形成してもよい。この同時オーバーレイ測定構造150は、内部周期的構造151iと外部周期的構造151oとを含む。ここでは、内部および外部周期的構造151i、151oの一方はさらに微細構造を含む。これを、外部周期的構造151oに形成された152で例示する。外部周期的構造151oに加えて内部周期的構造151iは、上述したように、同じ材料層に、例えば、STI層に形成されることを理解されたい。同時オーバーレイ測定構造150の形成に関しては、内部および外部周期的構造151i、151oの一方に微細構造152を設けるために、異なるリソグラフィマスクを使用する点を除いて、構造100に関連して上述した基準と同じ基準を適用することができる。さらに、内部および外部周期的構造151iおよび151o間のオフセット量は、設計によって所定の値に、好ましくはゼロに設定される。これにより、微細構造152(セグメント化構造とも称される)のずれ(shift)を、微細構造のない周期的構造151iに対して、つまり、非セグメント化周期的構造151iに対して測定することが可能になる。
先述したように、パターン配置誤差により、明白なオーバーレイ誤差の形式で対応のシフト、つまり、ずれが検出される。さらに、この手段を用いてダイ領域内のパターン配置誤差の影響度を判断し、図1aに示すオーバーレイ測定構造100によって測定される2つの異なるデバイス層間の、現実のオーバーレイ誤差を修正する手段を得るようにしてもよい。したがって、高度なミクロ構造デバイスの測定においては、少なくとも2つのオーバーレイ測定構造、例えば構造100および150、が供給されなければならない。非常に高度な応用においては、オーバーレイ精度を測定しなければならない各層には、1つの同時オーバーレイ測定構造150であっても供給される。従って、3つのオーバーレイ測定構造、つまり、オーバーレイすなわち構造100が1つ、および2つの異なるリソグラフィ層のPPE特性に対する構造、すなわち、構造150が2つ提供される。
図1cにこの状況を概略的に示す。よって、この3つのオーバーレイ構造100、150および150が提供される。ここでは、2つの構造150の各々は別の層に形成される。生産性を高め、製造コストを低減することについての要求が常に高まっていることから、スクライブラインの寸法もまた縮小される。この結果、スクライブライン内の測定領域に対して利用可能スペースが実質的に制限される。
この状況を鑑みて、オーバーレイ誤差を測定し、上述の課題を回避する、あるいは、少なくとも1つ以上の課題による影響を低減する、強化された技術が求められている。
以下、本発明のいくつかの態様を基本的に理解するために、本発明の概要を説明する。この概要は、本発明の全体像を詳細に説明するものではない。本発明の主要な、または重要な要素を特定しようとするものでも、本発明の範囲を説明しようとするものでもない。ここでの目的は、本発明のいくつかのコンセプトを簡単な形で提供して、後続のより詳細な説明に対する前置きとすることである。
概して、本発明は、集積回路などのミクロ構造のフィーチャの形成において、位置誤差を算出できる技術に関する。ここでは、関連の測定構造を供給するために必要なスペースを従来技術よりも減らすことができ、これと同時に、いくつかの例示の実施形態では、1つの測定イベントで取得できる位置情報量を増やすことができる。このために、積み重ねられた(スタック)測定構造が供給される。この構造には周期的部分(periodic portion)が含まれ、これにより、1つの測定サイクルにおいてアクセス可能な所定の計測サイトにおいて、内側の層(inner-layer)の位置誤差と層間(inter-layer)の位置誤差についての情報が取得できるようになる。
本発明の1つの例示的実施形態によれば、オーバーレイ測定構造は、基板に形成される特定の測定サイトの第1デバイス層に形成される第1周期的構造を含む。この第1周期的構造は、第1周期的サブ構造と第2周期的サブ構造とを含む。この第1および第2周期的サブ構造はそれぞれ、複数の第1構造素子を含む。この素子のいくつかは第1のセグメント化された部位を含む。さらに、このオーバーレイ測定構造は、第1デバイス層の上方に配置される第2デバイス層に形成される第2周期的構造を含む。この第2周期的構造は、第1周期的サブ構造と第2周期的サブ構造とを備える。これらは複数の第2構造素子を含み、この素子のいくつかは第2セグメント化された部位を含む。さらに、この第1および第2周期的構造は、特定の測定サイトにおいて、周期的に積み重ねられた構造を形成する。
本発明の別の実施例によれば、方法は、半導体デバイスの製造に使用できる基板の所定の測定サイトに、スタック周期的測定構造を形成するステップを含む。ここでは、このスタック周期的構造は、第1層に形成された第1のセグメント化された部位と第1の非セグメント化部位、および、第2層に形成された第2のセグメント化された部位と第2の非セグメント化部位とを含む。方法はさらに、それぞれの第1および第2のセグメント化された部位と非セグメント化部位から位置情報を取得し、所定の計測サイトの外側の基板上方に形成される構造上の特性のオーバーレイ精度を測定するステップを含む。本発明のさらに別の実施形態によれば、方法は、半導体デバイスの製造に使用できる基板の所定の測定サイトに、スタック周期的測定構造を形成するステップを含む。このスタック周期的構造は、第1層に形成された第1のセグメント化された部位と第1の非セグメント化部位、および、第2層に形成された第2のセグメント化された部位と第2の非セグメント化部位とを含む。スタック周期的測定構造を形成する照射プロセスにおいて、第1および第2層の、セグメント化された部位と非セグメント化部位のうちの一方は、第1の照射フィールドに配置され、もう一方の部分は、第1の照射フィールドとオーバーラップしている第2の照射フィールドに配置される。さらに、該方法は、第1および第2のセグメント化された部位と非セグメント化部位の各々から位置情報を取得し、この位置情報に基づいて、グリッドのずれおよびオーバーレイ精度の一方を評価するステップを含む。
本発明は、添付の図面とあわせて以下の説明により理解することができる。図面において、同じ参照符号は同一の要素を示す。本発明は、様々な改良を行い、また、他の形態で実施することができるが、ここに説明されている特定の実施例は、例示として示さたものであり、以下にその詳細を記載する。しかし当然のことながら、ここに示した特定の実施例は、本発明を開示されている特定の形態に限定するものではなく、むしろ本発明は添付の請求項によって規定されている発明の範疇に属する全ての改良、等価物、及び変形例をカバーするものである。本発明の例示的実施形態を以下に記載する。明確を期するために、本明細書においては現実の実装品の全ての特徴を記載することはしていない。当然のことながら、そのような現実の実施品の開発においては、開発者における特定の目標を達成するため、システム的制限やビジネス的制限との摺り合せなど、多くの特定の実施の決定がなされる。それらは各実施形態によって様々に変化するものである。更に、そのような開発努力は複雑で時間を消費するものであるのは当然のことであるが、それでもなお、この開示の恩恵を有する当業者にとっては通常作業の範疇に入るものである。
以下、添付の図面を参照しながら本発明を記載する。図面には、様々な構造、システム、およびデバイスが単なる説明目的で概略的に示されており、また、当業者にとっては周知の詳細で本発明を不明瞭にしないように示されている。しかしながら、添付の図面は本発明の実施例を説明・解説する目的で添付されているものである。本明細書で使用される用語や言い回しは関連技術において当業者たちによって理解される単語や言い回しと一貫した意味を持つものと理解、解釈される。本明細書において用語あるいは言い回しを一貫して使用していても、これらの用語や言い回しのいかなる特定の定義、すなわち、当業者により理解される通常の意味及び慣習的な意味からは異なる定義を意味するものではない。用語や言い回しを、特定の意味を有する範囲において用いる場合、つまり当業者により理解されているのとは異なる意味で用いる場合、本明細書においては、直接かつ明確にそのような言葉や言い回しの特定の定義を行う。
概して、本発明は、機能性が増加した改良された測定構造を提供する。この改良された測定構造により、オーバーレイ精度および/またはパターン配置誤差および/またはグリッドの歪みなどに関する情報を時間的に効率よく、また、ある実施形態では、実質的に同時に提供することができる。さらに、この改良された測定構造は基板のスペースをそれほど消費しない。このため、測定構造の新たな構成が提供される。この構成では、位置誤差について監視されるように、各デバイス層に少なくとも2つの周期的パターンが形成される。ここでは、貴重な基板スペースを必要以上に無駄にすることのない、全体的なスタック構造が得られる。よって、単一の測定サイクルで、対応する測定構造から、内側の層の位置誤差および層間の位置誤差についての情報を得ることが出来る。この結果、“積み重ねられた”、あるいは“スタックされた”、という用語は、測定サイトに関連して理解されるものである。すなわち、測定サイト内で、2つのサブ構造が相互に上方に配置され、さらに、互いに横方向にオフセットされた構成を含むものがスタックされた構造である。このような構成は、各層において、非セグメント化構造素子とも称される、相対的に大きな構造素子から形成される対応する構造部分が提供されることで実現される。一方で同じ層の別の部分は“微細構造”を表す構造素子から形成され、このような構造素子は、セグメント化された構造素子とも称される。
すでに説明したように、2つ以上の後続のデバイス層においてミクロ構造のフィーチャを形成するパターニングプロセスは、実質的に、パターン密度、フィーチャ寸法などに依存する。その結果、現実のデバイス領域の外側の特定の測定サイトの相対的に大きな構造素子が、現実のデバイス領域内のフィーチャの位置誤差に対して評価されるときに、対応する測定結果を生成するにあたって実質的なばらつきが生じる。1つ1つのデバイス層内の、内側の層の位置誤差を測定するように1つ以上の計測構造が設けられ、これにより、相当量の基板スペースが使用される従来の手法とは違って、本発明の多機能測定構造を用いることで、単位面積あたりに取得できる情報量を実質的に増やすことができる。加えて、本文に記載する測定プロシージャが強化され、これにより、実質的に同時に取得できる情報量を増やすことができる。さらに、本文に開示された測定構造の発明的構成によれば、断面解析により測定データを取得するために、および/または、グリッドの歪みなどの他のリソグラフィに固有の位置誤差を測定するためにこの構造を用いることで、さらに強化することができる。図2a〜2eに関して、以下に本発明の更なる実施形態を詳細に記載する。
図2aに、デバイス290の上面図を概略的に示す。該図においては、デバイス290は、半導体デバイス、マイクロケミカルデバイス、マイクロ光学デバイス、あるいはこれらの組合せであってもよく、マイクロメカニカルプロセスおよびマイクロエレクトロニックプロセスによって形成される。デバイス290は、適切な基板を含む。特定部位201は基板部分であってもよく、ここは、デバイス290の製造プロセスにおいて情報を取得できるように、測定サイトの画定に使用可能である。例えば、部位201は、複数のダイ領域(図示せず)を含む半導体デバイスのスクライブラインであってもよく、ここに、特定のミクロ構造のフィーチャが形成されている。測定サイト205は、部位201内に画定される。ここでは、測定サイト205は、いずれの物理的境界にも隣接していないが、1つのサンプリングプロセスの特定の測定プロシージャにおいてアクセスされる測定構造200を位置決めすることで機能的に画定される。例えば、測定サイト205は、測定構造200の寸法によって実質的に画定される。測定構造の寸法は、任意の適切な測定装置によって1つの位置合せプロシージャによって検出可能で測定可能とするように選択される。例えば、図1cの各種の測定構造100、150は典型的に3つの異なる測定サイトを画定する。その理由は、各測定構造からデータを取得するための測定プロシージャにおいて、少なくとも1つの位置合せプロシージャと後続のデータ収集とを各測定構造に対して実行しなければならないからである。
測定構造200は、1つの実施例においては、第1デバイス層に形成された第1の周期的構造230と、第2デバイス層に形成された第2周期的構造260とを含む。第1の周期的構造230は第1の周期的サブ構造つまり部位210と、第2の周期的サブ構造、つまり部位220とを含む。同様に、第2の周期的構造260は、第1の周期的サブ構造つまり部位240と、第2の周期的サブ構造つまり部位250とを含む。第1サブ構造210および第2サブ構造220は、複数の構造素子211、221を含み、このうちのいくつかは、実質的に連続した素子、すなわち、非セグメント化素子の形式で提供され、一方で、残りはセグメント化された素子の形式で提供される。これに関して、セグメント化された構造素子は、その横方向の寸法が非セグメント化素子の最小の横方向の寸法に満たないものとして画定されるいずれのパターンを含むものと考えられる。
例えば、例示の実施形態では、構造素子211は、非セグメント化素子として提供され、一方で、構造素子221は、“ライン”と“スペース”のパターンがすでに形成されている、セグメント化された素子として提供されてもよい。ここでは、ラインおよびスペースは、特性の異なる、対応する領域によって表されてもよく、これは、ライン及びスペースという用語が示し得るトポロジーの違いを必ずしも含む必要はない。便宜上、これらの用語は、明細書を通して使用されるが、本発明をライン及びスペースの文字通りの意味に限定することは意図しない。さらに、“セグメント化された”という用語もまた、セグメント化された各部位における、いずれのタイプのパターニングを含む。ここでいうパターニングは、素子211などの、非セグメント化素子の横方向の寸法に満たない横方向の寸法を含む、いずれのタイプの幾何学的構成のことを指す。したがって、セグメント化された素子221はさらに、デバイス290の現実のデバイス領域にも見られるようなパターン密度およびフィーチャ寸法を生成するのに適切であると考えられる、ビア、ラインセグメントなどを含む。したがって、ある実施例では、セグメント化された回路素子221は、特定のデバイス層の任意の加工寸法に相当する横方向の寸法に基づいてセグメント化され、ここに、周期的構造230が形成される。同様に、周期的サブ構造240および250はそれぞれ、構造素子241、251から構成される。このうちのいくつかは、セグメント化された素子の形式で提供され、残りは非セグメント化素子として提供される。。横方向の寸法に加えて、“セグメンテーション(分割)”の種類に関しては、上述の基準と同じ基準を適用する。ある実施形態では、セグメント化された素子241は、分割の種類および/あるいは分割の横方向の寸法が異なるという点で、セグメント化された素子221とは異なる。この場合、それぞれのタイプのセグメント化された素子221、241は、対応する層の設計ルール仕様に適切に適用される。これにより、それぞれの層のパターン配置誤差についての情報といった、内側の層の位置情報をさらに正確に取得することができる。さらに、ある実施形態では、サブ層210、220、240、250はそれぞれ、セグメント化された構造素子と非セグメント化構造素子との組合せを含む。
さらに、各サブ層210、220、240、250の構造素子数は、設計およびデバイス要件に応じて変化する。ここでは、サブ構造1つにつき、少なくとも3つ以上の構造素子を提供することが有利である。さらに、いくつかの実施例で示しているように、第1の周期的構造230を含む第1および第2サブ構造210、220は、その間に第2の周期的構造260の第1の周期的サブ構造240が横方向に配置されるように位置決めされてもよい。他の実施例では、第1サブ構造210および第2サブ構造220は、相互に隣接して位置決めされてもよい。同様に、第1サブ構造240および第2サブ構造250は、相互に隣接して設けられてもよい。測定構造200は、少なくとも1つの所定の方向に対して、内層の位置情報と層間の位置情報とを提供するように設計される。この方向は、図示している実施形態では、y方向である。この点において、内側の層の位置情報は、第1サブ構造210および第2サブ構造220あるいはその部位に対する相互の位置のずれであると考えられる。一方で、層間の位置情報は、1つ以上のサブ構造240、250あるいはその部位に対する、1つ以上の第1サブ構造210および第2サブ構造220あるいはその部位の相対的なずれを説明した情報であると考えられる。例えば、周期的サブ構造210と周期的サブ構造250間の相対的なずれは、図1aに関して説明した従来の測定構造100で測定されたオーバーレイ誤差に対応するそれぞれのデバイス層間のオーバーレイ誤差であると考えられる。内側の層の位置情報の例としては、サブ構造210と220間でのパターン配置誤差によって説明したような相対的なずれを挙げることができる。これは、図1bに関連して説明した同時オーバーレイ測定構造150によって取得した内側の層の配置誤差に対応するものである。さらに、図2aに示したように、測定の精度を高め、さらに、少なくとも1つの所定の方向、例えばx方向に関しての位置情報を測定することができるように、複数の測定構造200を設けてもよい。
図2bに、本発明のさらなる実施例に従う測定構造200を拡大図で概略的に示す。いくつかの実施形態では、セグメント化された素子241と221とは別々に選択されてもよい。複数の分割例242a、242b、242c、222a、222b、222cを例示しているが、これらは請求の範囲において記載されていない限り、本発明を限定するものと考えることはしない。例えば、分割242a、242b、242cの1つをサブ構造240に用いてもよく、分割222a、222b、222cの1つをサブ構造220に用いてもよい。すでに述べたように、素子221や241などの、1つ以上の分割222a、222b、222c、242a、242b、242cを有するセグメント化された構造を、サブ構造210および250の一方あるいは両方に提供することができる。さらに、構造素子211、241、221、251の寸法および形状は、所定の周期的性が得られるなら、すなわち、1つの所定の方向沿いに複数の構造素子が繰り返しているなら、任意の適切な方法で選択されてもよい。すなわち、個々の構造素子211、241、221、251の寸法および形状は、長方形(図示せず)、正方形、T字型、L字型などであってもよい。ここでは、所要の位置情報を、好ましくは顕微鏡技術などの光学検出技術によって取得できるように全体の寸法が選択される。他方、素子241、221の分割つまり微細構造は、オーバーレイ精度に対するパターン密度および/または加工寸法の影響についての有意義な情報を提供するために、現実のデバイスフィーチャの設計ルールに従って選択してもよい。ある実施形態では、以下に説明しているように、図2bの素子211や241などの下層の構造素子は、少なくとも部分的にいくつかの構造素子241や251によって“上書き”される。これにより、上書きされる部分が断面のサンプルとして提供される場合に、電子顕微鏡法、x線顕微鏡法などによって断面を解析することで正確な解析が可能となる。
図2bに、図2aに示す断面IIcに従う図2bの測定構造200の断面図を概略的に示す。基板部位201の上方には第1のデバイス層202が形成される。この第1のデバイス層には、第1の周期的構造230、すなわち、構造素子211と221とが含まれる。このような構造は、第1のデバイス層202がシリコンベースの集積回路のシャロートレンチアイソレーション(STI)層を表していれば、二酸化シリコン、窒化シリコンなどの特定の材料で充填されたトレンチの形式で形成される。他の例では、第1のデバイス層202は、メタライゼーション層であってもよく、この層では、構造素子211、221は、金属が充填されたラインか別の領域を表してもよい。この第1デバイス層202の上方には、第2のデバイス層203が形成される。この層は、第2の周期的構造260、すなわち、構造素子241と251とを含む。STI層の前者の例では、構造素子241、251は、ゲート電極材料層の上方に形成されたレジストパターン、ポリシリコンを含むパターニングされたレイヤスタックなどから形成されてもよい。、
例えば、高度な集積回路では、極限寸法、すなわち、ゲート電極を受け入れるSTI層の寸法は、50nmあるいはそれ未満である。その結果、オーバーレイ精度は、パターン配置誤差の増加により、実質的に加工寸法に依存することになる。この結果、高度な応用において、構造素子241および/あるいは221は、それぞれのデバイス層202、203の極限寸法に相当する寸法の分割(図2cの断面図には示していない)を含む。
図2dに、図2aに示したセクションIIdに従う断面図を概略的に示す。この例では、個々の構造素子221および241のそれぞれは、3つの“サブ素子”221sと241sとをそれぞれ含む。これらは、サブ構造220および240(図2a)で使用した対応の分割を表す。
測定構造200は、集積回路の回路素子などの、現実のミクロ構造のフィーチャの製造に用いられる、十分に確立されたプロセス技術によって形成されてもよい。この十分に確立されたプロセスフローにより、これに対応して設計された、図2aに示しているような、1つあるいは複数の、測定構造200に対応するパターンを含むフォトマスクが提供される。このようにするのは、構造200や構造200を任意の数だけ組合せたものを、所定の基板部位201に適切に選択された異なる方向において配置することができるようにするためである。すなわち、第1の製造シーケンスにおいて、第1デバイス層202がフォトリソグラフィ、エッチ技術、蒸着技術、注入技術、平坦化技術などによって形成されてもよく、その後、第2デバイス層203が形成されてもよい。ここでは、(フォトリソグラフィステップが実行されることになっている。これにより、ミクロ構造のフィーチャと、さらに、測定構造200のフィーチャ、すなわち、第2周期的構造260(図2a)とが第1周期的構造230に対して位置合せされる。その後、デバイス290は、測定プロシージャを受けることになる。これについては以下に図2eに関連してさらに詳細を記載する。
図2eに、測定構造200から位置情報を取得する計測プロセスにおいてのデバイス290の上面図を概略的に示す。顕微鏡などの対応する測定デバイスのワーキングゾーンに基づく測定プロシージャと同様に、従来技術においては一般的である2つのワーキングゾーンだけを提供する測定デバイスが用いられていれば、第1の、あるいは内部ワーキングゾーン270と、第2の、あるいは外部ワーキングゾーン280が画定される。測定する間の内部ワーキングゾーン270と外部ワーキングゾーン280のオーバーラップを効果的に抑え、それぞれの2つの周期的サブ構造からしか位置情報を取得しないように対応するワーキングゾーンを配置するように、測定構造200の設計時に測定デバイスの能力が考慮される。対応する調整がなされた測定デバイス、および/あるいは、適切に設計された個々のサブ構造210、240、220、250とともに、第1および第2ワーキングゾーン270、280は、それぞれの2つの周期的サブ構造に連続して配置される。これにより、対応するサブ構造の関連する位置を示す位置情報を取得することができる。
この結果、以下の測定が順次実行される。(1)サブ構造210上方のワーキングゾーン270と、サブ構造240上方のワーキングゾーン280を測定する−PPEなどの、第1デバイス層202の内側の層の位置情報が得られる。(2)サブ構造240上方のワーキングゾーン270と、サブ構造250上方のワーキングゾーン280を測定する−PPEなどの、第2デバイス層203の内側の層の位置情報が得られる。(3)サブ構造210上方のワーキングゾーン270と、サブ構造250上方のワーキングゾーン280を測定する−内側の層の位置情報、すなわち、非セグメント化サブ構造間のオーバーレイ情報が得られる。(4)サブ構造240上方のワーキングゾーン270と、サブ構造220上方のワーキングゾーン280を測定する−層間の位置情報、すなわち、セグメント化されたサブ構造に関するオーバーレイ情報が得られる。(5)サブ構造210上方のワーキングゾーン270と、サブ構造240上方のワーキングゾーン280を測定する−非セグメント化タイプとセグメント化タイプとの間の内側の層の位置情報が得られる。(6)サブ構造220上方のワーキングゾーン270と、サブ構造250上方のワーキングゾーン280を測定する−セグメント化タイプと非セグメント化タイプとの間の層間位置情報が得られる。
この結果、内側の層と層間の位置情報とは構造200から取得できる。ここでは、プロセスストラテジーに応じて、取得した全ての情報をデバイス290のオーバーレイ精度の評価に使用してもよく、あるいは、一部の情報だけを取得、および/あるいは、評価して測定時間を短縮することができる。
さらなる実施例では、測定プロセスはデータの取得を強化するように構成される。このため、ワーキングゾーン270、280はそれぞれ、2つのそれぞれのサブゾーンに分割される。このようなゾーンは、図2eの1つの構造200に関して例示しているように、ワーキングゾーン270に対しては270a、270bと示し、ワーキングゾーン280に対してはワーキングゾーン280a、280bと示す。この実施形態では、測定データは、サブ構造210、220、240、250から同時に取得される。この場合、ワーキングゾーン270a、270b、280a、280bの対応するイメージコンテンツから位置誤差を抽出し算出する対応の測定アルゴリズムは、全ての取りうる組合せに、あるいは所望の組合せに、パラレルに、かつ相互から独立して適用される。この結果、上記の場合と同様に、測定データを6つまで同時に得ることができる。(1)ワーキングサブゾーン270aと270bを測定する−第1デバイス層202の内側の層情報が得られる。(2)ワーキングサブゾーン280aと280bを測定する−第2デバイス層203の内側の層情報が得られる。(3)ワーキングサブゾーン270aと280aを測定する−非セグメント化タイプとセグメント化タイプとの間のオーバーレイ誤差情報が得られる。(4)ワーキングサブゾーン270bと280bを測定する−セグメント化タイプと非セグメント化タイプとの間のオーバーレイ誤差情報が得られる。(5)ワーキングサブゾーン270aと280bを測定する−非セグメント化タイプと非セグメント化タイプとの間のオーバーレイ誤差情報が得られる。(6)ワーキングサブゾーン280aと270bを測定する−セグメント化タイプとセグメント化タイプとの間のオーバーレイ誤差情報が得られる。対応する測定データは、要件に応じて取得されて評価され、オーバーレイ精度を評価するために、いずれの方法でも組み合わせることができる。その結果、図1cに示したような従来の技術よりも、測定構造200から抽出できる情報量を増やすことができる。その理由は、第1および第2デバイス層の内側の層情報に加えて、非セグメント化タイプ−非セグメント化タイプ内側の層の誤差情報だけを各測定イベント毎に取得することができるからである。さらに、測定構造200の寸法に応じて、従来技術よりもデバイス290の占有面積を非常に小さくした状態で、取得できる情報量を増やすことができる。個々の構造素子211、241、221、および251の寸法は、ワーキングゾーン270と280、および、必要に応じて対応するサブゾーンをそれぞれ形成可能とするように選択される。これにより、図1cに示す構造100、150の1つに対応する領域が全体的に幾分か拡大される一方で、占有スペースが非常に小さくされる。他の実施形態では、図2aあるいは2eに示しているように、構造100、150のうちの1つの寸法と同じ寸法を用いることができる。
図2fに、さらなる実施例に従う構造200を概略的に示す。ここでは、第1および第2周期的構造230と260とが、インターリーブしないでサイドバイサイド構成で配置される。それぞれのワーキングゾーン270および280は、これに対応して適切なサブゾーン270a、270b、および280a、280bに分割される。
図2gに、本発明のさらなる実施例に従う構造200を概略的に示す。ここでは、機能性が強化された構造200が得られる。一実施形態では、構造200は、第3デバイス層に少なくとも1つの周期的サブ構造が形成されるように設計される。例えば、図示している例では、サブ構造210と220とはすでに説明したように層202などの第1デバイス層に形成され、サブ構造240はすでに説明したように層203などの第2デバイス層に形成される。一方で、250aと称されるサブ構造250は第3デバイス層に形成される。この第3デバイス層は、第1デバイス層と第2デバイス層の下方か上方、あるいは間に配置される層である。この結果、ワーキングサブゾーン、すなわち、サブゾーン270a、270b、280a、280bを含む測定技術を使用することで、次のような測定データを測定構造200から同時に得ることができる。(1)ワーキングサブゾーン270aと270bを測定する−第1層の内側の層の位置情報が得られる。(2)ワーキングサブゾーン280aと280bを測定する−第2および第3層のオーバーレイ情報が得られる。(3)ワーキングサブゾーン270aと270bを測定する−非セグメント化タイプとセグメント化タイプとの間の第1および第2層のオーバーレイ情報が得られる。(4)ワーキングサブゾーン270bと280bを測定する−セグメント化タイプと非セグメント化タイプとの間の第1および第3層のオーバーレイ情報が得られる。(5)ワーキングサブゾーン270aと280bを測定する−非セグメント化タイプと非セグメント化タイプとの第1および第3層のオーバーレイ情報が得られる。および、(6)ワーキングサブゾーン270bと280aを測定する−セグメント化タイプとセグメント化タイプとの間の第2および第1層のオーバーレイ情報が得られる。
この結果、機能性を強化することができ、これにより、強化された“スパン”に対してオーバーレイ精度を観察することができる一方で占有スペース量を非常に少なくすることができ、さらに、同時測定技術が用いられれば、測定時間を非常に短縮することができる。1つ以上のサブ構造を第3デバイス層に配置することに関して、他の組み合わせが存在することを理解されたい。
さらなる実施例では、測定構造200は、同じデバイス層に形成される2つの部位あるいはサブ構造が、図2eの照射フィールド206、207として示す、隣接するオーバーラップした照射フィールドに配置されるように、基板部位201内に配置される。すなわち、1つのデバイス層に形成されるサブ構造210および220を照射フィールド206に形成してもよく、一方で、構造220などの各構造のうちの1つもまた照射フィールド207内に位置決めすることができる。他の実施形態では(図示せず)、測定構造200は、照射フィールド206および207のいずれのオーバーレイ領域が、例えば、構造240と220との間に配置され、これにより、サブ構造210が照射フィールド206内にだけ形成され、同じ層に形成されたサブ構造220が照射フィールド207だけで形成されるように設計されてもよい。いずれの場合においても、グリッドの歪みなど関する有益な情報が得られる。ここでは、この情報は、同時に利用可能である。その理由は、図2eに関して説明した、分割されたサブゾーンに基づく測定技術が用いられるからである。
他のさらなる実施例では、測定構造200は、サブ構造250aなどの、サブ構造の1つが、測定構造200のオーバーラップ領域を表すように、すなわち、特定のサブ構造(図示せず)が第1層に付加的に形成され、続いて、第2層の対応のサブ構造によって“上書き”され、これによりオーバーラップ領域250aが形成されるように設計されてもよい。この場合、上述したものと同量の情報を取得することができる一方で、これに加えて、位置情報がオーバーラップ領域250aに“保存”される。この情報は後の段階で、例えば、電子顕微鏡法、x線顕微鏡法などを使用した断面解析によって抽出することができる。このために、対応のセクションサンプルが、例えば集束イオンビーム(FIB:Focused Ion Beam)技術によってオーバーラップ領域250aに準備される。このような方法で、使用する測定技術の解像度が高いことから、精度が強化された情報を得ることが出来る。この結果、光学技術から受信した測定データをスケーリングあるいは正規化するために使用される“キャリブレーション”あるいは“リファレンス”データを取得する可能性が与えられる。例えば、サブ構造220は、図2gに示す非セグメント化オーバーレイ部位を使用せずに、第2層に形成された構造240などの対応のセグメント化されたサブ構造によって上書きされる。これにより、極限寸法に基づいたオーバーレイ精度に関して情報を強化することができる。この情報は、破壊的ではない技術で取得した測定データを対応してキャリブレーションを行って評価するように用いられる。
この結果、本発明は、内側の層の情報に加え層間の情報を単一の測定サイトの測定構造から得ることができるような方法で、測定構造が異なるデバイス層に形成された周期的部分を含むという点で、測定時間を縮小し、および/またはミクロ構造のデバイスのスクライブライン領域に求められる占有スペースを縮小した状態で、効果的な情報を得る強化された技術を提供する。さらに、ある実施形態では、測定プロシージャは、内側の層の情報と層間情報とが同時に取得でき、これにより測定プロセスを非常に強化するとともに、測定データの解析精度を強化するように適用してもよい。さらに、従来のオーバーレイおよびPPE構造よりも機能性を強化することができる。その理由は、オーバーレイおよびPPEデータに加えて、断面解析測定データ、グリッド歪みデータなどの付加的な情報を取得できるからである。
本発明による利益を享受し得る当業者であれば、本発明に関して等価の範囲内で種々の変形及び実施が可能であることは明らかであることから、上述の個々の実施形態は、例示的なものに過ぎない。例えば、上述した方法における各ステップは、その実行順序を変えることもできる。更に上述した構成あるいは設計の詳細は、なんら本発明を限定することを意図するものではなく、請求の範囲の記載にのみ限定されるものである。従って、上述した特定の実施形態は、変形及び修正が可能であることは明らかであり、このようなバリエーションは、本発明の趣旨及び範囲内のものである。従って、本発明の保護は、請求の範囲によってのみ限定されるものである。
非セグメント化周期的サブ構造を含み、各構造がそれぞれのデバイス層に形成されている従来技術のオーバーレイ構造の概略的上面図。 単一のデバイス層に形成された、セグメント化されたサブ構造と、非セグメント化サブ構造とを含む従来技術の同時オーバーレイ測定構造の概略的上面図。 2つの異なるデバイス層に対して、PPEとオーバーレイ精度とを測定する従来技術の測定構造を含むスクライブラインの概略的上面図。 1実施例に従う内側の層の位置情報と層間の位置情報とを同時に取得するために、スタック構造にセグメント化されたサブ構造と非セグメント化サブ構造とを含む測定構造の概略的上面図。 図2aに示した構造に類似する単一の測定構造の概略的拡大図。 図2bのIIc−IIc線とIId−IId線の断面図。 図2bのIIc−IIc線とIId−IId線の断面図。 本発明のさらなる実施形態に従う、多機能性測定構造および測定デバイスの適切なワーキングゾーンの概略的上面図。 本発明のさらなる実施形態に従う、多機能性測定構造および測定デバイスの適切なワーキングゾーンの概略的上面図。 本発明のさらなる実施例に従う測定構造の上面を示した概略図であり、この構造の少なくとも一部が断面解析に基づいた位置情報、近隣の照射フィールド間のグリッドの歪みに関する情報などの更なる情報取得に使用される。

Claims (17)

  1. 第1周期的サブ構造(210)と第2周期的サブ構造(220)とを備えるとともに、基板上に形成された特定の計測サイトの第1デバイス層に形成された第1周期的構造(230)を有し、この第1周期的構造(230)の前記第1および第2周期的構造は、それぞれ複数の第1構造素子(211、221)を含み、前記第1構造素子のいくつかは第1のセグメント化された部位を含むものであり、
    第1周期的サブ構造(240)と第2周期的サブ構造(250)とを備えるとともに、前記第1デバイス層の上方に配置された第2デバイス層に形成された第2周期的構造(260)を有し、この第2周期的構造(260)の前記第1および第2サブ構造は、それぞれ複数の第2構造素子(241、251)を含み、前記第2構造素子のいくつかは第2のセグメント化された部位を含むものであり、前記第1および第2周期的構造(230、260)は、前記特定の測定サイトに周期的スタック構造を形成する、オーバーレイ測定構造。
  2. 前記第1および第2周期的構造(230、260)は、少なくとも1つの所定の方向に対して、相対的なずれについての位置情報を提供できるように、相互に方向付けられる、請求項1記載のオーバーレイ測定構造。
  3. 前記第1周期的構造(230)の前記第1および第2周期的サブ構造(211、221)は、少なくとも1つの所定の方向に対して、相対的なずれについての位置情報を提供できるように、相互に方向付けられる、請求項2記載のオーバーレイ測定構造。
  4. 前記第2周期的構造(260)の前記第1および第2周期的サブ構造(241、251)は、少なくとも1つの所定の方向に対して、相対的なずれについての位置情報を提供できるように、相互に方向付けられる、請求項3記載のオーバーレイ測定構造。
  5. 前記第1周期的構造(230)の前記第1周期的サブ構造(210)は、前記第2周期的構造(260)の前記第1周期的サブ構造(241)に隣接して配置される、請求項3または4に記載のオーバーレイ測定構造。
  6. 前記第1周期的構造(230)の前記第1周期的サブ構造(210)の前記第1構造素子の各々は、前記第1のセグメント化された部位を含む、請求項1に記載のオーバーレイ測定構造。
  7. 前記第1周期的構造(230)の前記第2周期的サブ構造(220)の前記第1構造素子の各々は、セグメント化されていない、請求項6に記載のオーバーレイ測定構造。
  8. 前記第2周期的構造(260)の前記第1周期的サブ構造(240)の前記第2構造素子の各々は、前記第2のセグメント化された部位を含む、請求項6に記載のオーバーレイ測定構造。
  9. 前記第2周期的構造(260)の前記第2周期的サブ構造(250)の前記第2構造素子の各々は、セグメント化されていない、請求項8に記載のオーバーレイ測定構造。
  10. 前記第1周期的構造(230)と前記第2周期的構造(260)の一部が相互にオーバーラップするオーバーラップ領域をさらに含む、請求項1記載のオーバーレイ測定構造。
  11. 前記第1および第2デバイス層によるレイヤスタックを形成するように、前記基板の上方に配置された第3デバイス層に形成された第3周期的構造をさらに含み、前記第3周期的構造は、複数の第3構造素子を含み、さらに、少なくとも1つの所定の方向の第1および第2周期的構造に対する相対的なずれについての位置情報を供給するように方向付けられている、請求項1記載のオーバーレイ測定構造。
  12. 半導体デバイスの製造に使用可能な基板の所定の測定サイトにスタック周期的測定構造を形成するステップを含み、前記スタック周期的構造は、第1層において第1のセグメント化された部位と、第1の非セグメント化部位、および、第2層において第2のセグメント化された部位と第2の非セグメント化部位と、を含むものであって、
    前記第1および第2のセグメント化された部位と非セグメント化部位とから位置情報を取得するステップと、
    前記取得した位置情報に基づいて、前記所定の測定サイトの外側の前記基板の上方に形成された構造上のフィーチャのオーバーレイ精度を測定するステップと、を含む方法。
  13. 前記第1および第2のセグメント化された部位と非セグメント化部位の各々から位置情報を取得するステップは、特定のワーキングゾーンを有する前記第1および第2のセグメント化された部位と非セグメント化部位とを、それぞれのワーキングゾーン内からそれぞれの測定データセットを取得するためにサンプリングするステップをさらに含む、請求項12記載の方法。
  14. 前記オーバーレイ精度を測定するステップは、前記第1層においてパターン配置誤差を測定するために、前記第1のセグメント化された部位から取得した測定データと、前記第1の非セグメント化部位から取得した測定データとを評価するステップをさらに含む、請求項13記載の方法。
  15. 前記オーバーレイ精度を測定するステップは、前記第2層においてパターン配置誤差を測定するために、前記第2のセグメント化された部位から取得した測定データと、前記第2の非セグメント化された部位から取得した測定データとを評価するステップをさらに含む、請求項13記載の方法。
  16. 前記オーバーレイ精度を測定するステップは、前記第1層と前記第2層との間のオーバーレイ誤差を測定するために、前記第1のセグメント化された部位と非セグメント化部位の少なくとも一方から取得した測定データと、前記第2のセグメント化された部位と非セグメント化部位の少なくとも一方から取得した測定データを評価するステップをさらに含む、請求項13記載の方法。
  17. 前記スタックした周期的構造を形成するステップは、前記測定サイトにオーバーラップ領域を形成するステップを含み、前記セグメント化された部位と非セグメント化部位の少なくとも一方は、前記オーバーラップ領域の前記セグメント化された部位と非セグメント化部位の少なくとも一方にオーバーラップしている、請求項12記載の方法。
JP2008533356A 2005-09-30 2006-08-23 オーバーレイ精度とパターン配置誤差とを同時に測定する方法 Pending JP2009510770A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
DE102005046973.6A DE102005046973B4 (de) 2005-09-30 2005-09-30 Struktur und Verfahren zum gleichzeitigen Bestimmen einer Überlagerungsgenauigkeit und eines Musteranordnungsfehlers
US11/421,099 US7667842B2 (en) 2005-09-30 2006-05-31 Structure and method for simultaneously determining an overlay accuracy and pattern placement error
PCT/US2006/032757 WO2007040855A1 (en) 2005-09-30 2006-08-23 Structure and method for simultaneously determining an overlay accuracy and pattern placement error

Publications (1)

Publication Number Publication Date
JP2009510770A true JP2009510770A (ja) 2009-03-12

Family

ID=37852684

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2008533356A Pending JP2009510770A (ja) 2005-09-30 2006-08-23 オーバーレイ精度とパターン配置誤差とを同時に測定する方法

Country Status (6)

Country Link
US (1) US7667842B2 (ja)
JP (1) JP2009510770A (ja)
KR (1) KR101309752B1 (ja)
CN (1) CN101278237B (ja)
DE (1) DE102005046973B4 (ja)
TW (1) TWI469182B (ja)

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009170899A (ja) * 2007-12-27 2009-07-30 Asml Netherlands Bv 基板上にアライメントマークを作成する方法および基板
JP2009301035A (ja) * 2008-06-10 2009-12-24 Applied Materials Israel Ltd 繰り返しパターンを有する物体を評価するための方法及びシステム
JP2015528125A (ja) * 2012-07-05 2015-09-24 エーエスエムエル ネザーランズ ビー.ブイ. リソグラフィのためのメトロロジ
JP2016529686A (ja) * 2013-04-10 2016-09-23 ケーエルエー−テンカー コーポレイション 標的設計及び製造における誘導自己組織化
JP2017526973A (ja) * 2014-08-29 2017-09-14 エーエスエムエル ネザーランズ ビー.ブイ. メトロロジー方法、ターゲット、及び基板
JP2020074387A (ja) * 2013-06-27 2020-05-14 ケーエルエー コーポレイション 計測標的の偏光測定及び対応する標的設計
KR20200086748A (ko) * 2017-12-07 2020-07-17 케이엘에이 코포레이션 디바이스-상관 오버레이 계측을 위한 시스템 및 방법
KR20210029825A (ko) * 2018-07-30 2021-03-16 케이엘에이 코포레이션 디바이스 오버레이 에러 감소 방법
JP2022526933A (ja) * 2019-03-25 2022-05-27 ケーエルエー コーポレイション 改善された計量用自己モアレ格子デザイン

Families Citing this family (49)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102005046973B4 (de) * 2005-09-30 2014-01-30 Globalfoundries Inc. Struktur und Verfahren zum gleichzeitigen Bestimmen einer Überlagerungsgenauigkeit und eines Musteranordnungsfehlers
US20080036984A1 (en) * 2006-08-08 2008-02-14 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
DE102009035290B4 (de) * 2009-07-30 2021-07-15 Carl Zeiss Smt Gmbh Verfahren und Vorrichtung zur Bestimmung der relativen Lage einer ersten Struktur zu einer zweiten Struktur oder eines Teiles davon
TWI401549B (zh) * 2009-12-02 2013-07-11 Ind Tech Res Inst 二維陣列疊對圖樣之設計方法、疊對誤差量測方法及其量測系統
US9927718B2 (en) 2010-08-03 2018-03-27 Kla-Tencor Corporation Multi-layer overlay metrology target and complimentary overlay metrology measurement systems
NL2007176A (en) * 2010-08-18 2012-02-21 Asml Netherlands Bv Substrate for use in metrology, metrology method and device manufacturing method.
TWI413815B (zh) * 2010-11-09 2013-11-01 Sumika Technology Co A pattern difference film with a registration mark
US8336011B2 (en) * 2011-02-07 2012-12-18 GlobalFoundries, Inc. Methods for fabricating an electrically correct integrated circuit
US10890436B2 (en) 2011-07-19 2021-01-12 Kla Corporation Overlay targets with orthogonal underlayer dummyfill
US9349660B2 (en) * 2011-12-01 2016-05-24 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit manufacturing tool condition monitoring system and method
TWI475597B (zh) * 2012-02-08 2015-03-01 Hitachi High Tech Corp Pattern evaluation method and pattern evaluation device
US9093458B2 (en) * 2012-09-06 2015-07-28 Kla-Tencor Corporation Device correlated metrology (DCM) for OVL with embedded SEM structure overlay targets
NL2011816A (en) 2012-11-30 2014-06-04 Asml Netherlands Bv Method of determining dose and focus, inspection apparatus, patterning device, substrate and device manufacturing method.
US8976356B2 (en) * 2013-02-28 2015-03-10 Kabushiki Kaisha Toshiba Measurement mark, method for measurement, and measurement apparatus
US9052595B2 (en) * 2013-03-15 2015-06-09 Taiwan Semiconductor Manufacturing Company, Ltd. Lithography process
US9733572B2 (en) * 2013-03-20 2017-08-15 Asml Netherlands B.V. Method and apparatus for measuring asymmetry of a microstructure, position measuring method, position measuring apparatus, lithographic apparatus and device manufacturing method
CN103279016B (zh) * 2013-05-31 2017-03-01 上海华力微电子有限公司 一种提高叠对测量精度的方法
JP2015079830A (ja) * 2013-10-16 2015-04-23 三菱電機株式会社 光半導体装置、光半導体装置の製造方法、及び光モジュールの製造方法
CN105023911B (zh) * 2014-04-15 2018-05-25 联华电子股份有限公司 标记分段方法及应用其的半导体结构制造方法
KR101901770B1 (ko) * 2014-05-13 2018-09-27 에이에스엠엘 네델란즈 비.브이. 계측에 사용하기 위한 기판 및 패터닝 디바이스, 계측 방법, 및 디바이스 제조 방법
CN106662823B (zh) 2014-06-30 2018-10-19 Asml荷兰有限公司 确定剂量的方法、检查设备、图案形成装置、衬底以及器件制造方法
US10228320B1 (en) 2014-08-08 2019-03-12 KLA—Tencor Corporation Achieving a small pattern placement error in metrology targets
CN105702662B (zh) * 2014-11-27 2018-12-21 中芯国际集成电路制造(上海)有限公司 套准标记及其形成方法
CN111948239B (zh) * 2015-04-28 2024-01-12 科磊股份有限公司 计算上高效的基于x射线的叠盖测量系统与方法
KR102323388B1 (ko) * 2015-05-15 2021-11-05 케이엘에이 코포레이션 초점 감응성 오버레이 타겟을 이용한 초점 결정용 시스템 및 방법
US9435852B1 (en) * 2015-09-23 2016-09-06 GlobalFoundries, Inc. Integrated circuit (IC) test structure with monitor chain and test wires
NL2017466A (en) * 2015-09-30 2017-04-05 Asml Netherlands Bv Metrology method, target and substrate
US9470987B1 (en) 2015-10-22 2016-10-18 United Microelectronics Corp. Overlay mask
WO2017123464A1 (en) * 2016-01-11 2017-07-20 Kla-Tencor Corporation Hot spot and process window monitoring
US10018919B2 (en) * 2016-05-29 2018-07-10 Kla-Tencor Corporation System and method for fabricating metrology targets oriented with an angle rotated with respect to device features
US10095122B1 (en) 2016-06-30 2018-10-09 Kla-Tencor Corporation Systems and methods for fabricating metrology targets with sub-resolution features
CN106783672A (zh) * 2016-11-30 2017-05-31 武汉新芯集成电路制造有限公司 一种用于验证Overlay机台精度的标准片、制作方法及验证方法
EP3339959A1 (en) * 2016-12-23 2018-06-27 ASML Netherlands B.V. Method of determining a position of a feature
US10748821B2 (en) * 2017-04-26 2020-08-18 Samsung Electronics Co., Ltd. Method and system for measuring pattern placement error on a wafer
JP2018185452A (ja) * 2017-04-27 2018-11-22 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
US11073487B2 (en) * 2017-05-11 2021-07-27 Kla-Tencor Corporation Methods and systems for characterization of an x-ray beam with high spatial resolution
US10795268B2 (en) * 2017-09-29 2020-10-06 Taiwan Semiconductor Manufacturing Co., Ltd. Method and apparatus for measuring overlay errors using overlay measurement patterns
US10962888B2 (en) 2017-11-29 2021-03-30 Taiwan Semiconductor Manufacturing Co., Ltd Structures for acoustic wave overlay error determination using periodic structures
US10705435B2 (en) 2018-01-12 2020-07-07 Globalfoundries Inc. Self-referencing and self-calibrating interference pattern overlay measurement
CN110071093B (zh) * 2018-01-23 2020-11-27 瀚宇彩晶股份有限公司 显示面板
US10504851B2 (en) 2018-02-26 2019-12-10 Globalfoundries Inc. Structure and method to improve overlay performance in semiconductor devices
US10707175B2 (en) 2018-05-22 2020-07-07 Globalfoundries Inc. Asymmetric overlay mark for overlay measurement
US11075169B2 (en) * 2018-12-19 2021-07-27 Micron Technology, Inc. Integrated-circuitry overlay alignment mark, a substrate comprising an overlay alignment mark, a method of forming an overlay alignment mark in the fabrication of integrated circuitry, and a method of determining overlay alignment in the fabrication of integrated circuitry
WO2021032376A1 (en) * 2019-08-20 2021-02-25 Asml Netherlands B.V. Method for controlling a semiconductor manufacturing process
CN114895532A (zh) * 2020-01-02 2022-08-12 长江存储科技有限责任公司 一种套刻标记方法、套刻测量方法及套刻标记
CN113325661A (zh) * 2020-02-28 2021-08-31 中芯国际集成电路制造(上海)有限公司 光罩图形测量方法及其系统
US11686576B2 (en) 2020-06-04 2023-06-27 Kla Corporation Metrology target for one-dimensional measurement of periodic misregistration
CN115881563A (zh) * 2021-09-28 2023-03-31 上海华力集成电路制造有限公司 一种提高自对准多重成像技术套刻量测准确性的方法
CN114623787B (zh) * 2022-03-10 2024-05-03 长鑫存储技术有限公司 用于校准套刻量测准确性的校准标记及测量方法、校准方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004501516A (ja) * 2000-06-22 2004-01-15 ケーエルエー−テンカー・コーポレーション 重ね合わせアライメントマークの設計
JP2004508711A (ja) * 2000-08-30 2004-03-18 ケーエルエー−テンカー・コーポレーション 重ね合わせマーク、重ね合わせマークの設計方法および重ね合わせ測定の方法
JP2005518107A (ja) * 2002-02-15 2005-06-16 ケーエルエー−テンカー テクノロジィース コーポレイション オーバレイ計測および制御方法

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5962173A (en) * 1997-03-27 1999-10-05 Vlsi Technology, Inc. Method for measuring the effectiveness of optical proximity corrections
US7068833B1 (en) * 2000-08-30 2006-06-27 Kla-Tencor Corporation Overlay marks, methods of overlay mark design and methods of overlay measurements
US7317531B2 (en) * 2002-12-05 2008-01-08 Kla-Tencor Technologies Corporation Apparatus and methods for detecting overlay errors using scatterometry
US6486954B1 (en) * 2000-09-01 2002-11-26 Kla-Tencor Technologies Corporation Overlay alignment measurement mark
TW526573B (en) * 2000-12-27 2003-04-01 Koninkl Philips Electronics Nv Method of measuring overlay
US6819426B2 (en) * 2001-02-12 2004-11-16 Therma-Wave, Inc. Overlay alignment metrology using diffraction gratings
US20030002043A1 (en) * 2001-04-10 2003-01-02 Kla-Tencor Corporation Periodic patterns and technique to control misalignment
US6884552B2 (en) * 2001-11-09 2005-04-26 Kla-Tencor Technologies Corporation Focus masking structures, focus patterns and measurements thereof
US6982793B1 (en) * 2002-04-04 2006-01-03 Nanometrics Incorporated Method and apparatus for using an alignment target with designed in offset
KR100543536B1 (ko) * 2002-09-20 2006-01-20 에이에스엠엘 네델란즈 비.브이. 리소그래피 마커구조체, 상기 리소그래피 마커구조체를포함하는 리소그래피 투영장치 및 상기 리소그래피마커구조체를 사용하여 기판을 정렬하는 방법
IL156589A0 (en) * 2003-06-23 2004-01-04 Nova Measuring Instr Ltd Method and system for automatic target finding
TW594852B (en) * 2003-09-02 2004-06-21 Nanya Technology Corp Method of evaluating mask registration
US6937337B2 (en) * 2003-11-19 2005-08-30 International Business Machines Corporation Overlay target and measurement method using reference and sub-grids
US7261985B2 (en) * 2004-03-12 2007-08-28 Litel Instruments Process for determination of optimized exposure conditions for transverse distortion mapping
DE102005046973B4 (de) * 2005-09-30 2014-01-30 Globalfoundries Inc. Struktur und Verfahren zum gleichzeitigen Bestimmen einer Überlagerungsgenauigkeit und eines Musteranordnungsfehlers

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004501516A (ja) * 2000-06-22 2004-01-15 ケーエルエー−テンカー・コーポレーション 重ね合わせアライメントマークの設計
JP2004508711A (ja) * 2000-08-30 2004-03-18 ケーエルエー−テンカー・コーポレーション 重ね合わせマーク、重ね合わせマークの設計方法および重ね合わせ測定の方法
JP2005518107A (ja) * 2002-02-15 2005-06-16 ケーエルエー−テンカー テクノロジィース コーポレイション オーバレイ計測および制御方法

Cited By (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009170899A (ja) * 2007-12-27 2009-07-30 Asml Netherlands Bv 基板上にアライメントマークを作成する方法および基板
JP2009301035A (ja) * 2008-06-10 2009-12-24 Applied Materials Israel Ltd 繰り返しパターンを有する物体を評価するための方法及びシステム
JP2015528125A (ja) * 2012-07-05 2015-09-24 エーエスエムエル ネザーランズ ビー.ブイ. リソグラフィのためのメトロロジ
US11466980B2 (en) 2012-07-05 2022-10-11 Asml Netherlands B.V. Metrology method and apparatus, lithographic system, device manufacturing method and substrate
JP2016529686A (ja) * 2013-04-10 2016-09-23 ケーエルエー−テンカー コーポレイション 標的設計及び製造における誘導自己組織化
JP2020074387A (ja) * 2013-06-27 2020-05-14 ケーエルエー コーポレイション 計測標的の偏光測定及び対応する標的設計
US11204239B2 (en) 2014-08-29 2021-12-21 Asml Netherlands B.V. Metrology method, target and substrate
JP2017526973A (ja) * 2014-08-29 2017-09-14 エーエスエムエル ネザーランズ ビー.ブイ. メトロロジー方法、ターゲット、及び基板
JP2019012291A (ja) * 2014-08-29 2019-01-24 エーエスエムエル ネザーランズ ビー.ブイ. メトロロジー方法、ターゲット、及び基板
US10386176B2 (en) 2014-08-29 2019-08-20 Asml Netherlands B.V. Metrology method, target and substrate
US10718604B2 (en) 2014-08-29 2020-07-21 Asml Netherlands B.V. Metrology method, target and substrate
US11428521B2 (en) 2014-08-29 2022-08-30 Asml Netherlands B.V. Metrology method, target and substrate
JP2021505959A (ja) * 2017-12-07 2021-02-18 ケーエルエー コーポレイション デバイスに関連付けられたオーバーレイの計測のためのシステムおよび方法
JP7118152B2 (ja) 2017-12-07 2022-08-15 ケーエルエー コーポレイション デバイスに関連付けられたオーバーレイの計測のためのシステムおよび方法
KR102435141B1 (ko) * 2017-12-07 2022-08-22 케이엘에이 코포레이션 디바이스-상관 오버레이 계측을 위한 시스템 및 방법
KR20200086748A (ko) * 2017-12-07 2020-07-17 케이엘에이 코포레이션 디바이스-상관 오버레이 계측을 위한 시스템 및 방법
JP2022501576A (ja) * 2018-07-30 2022-01-06 ケーエルエー コーポレイション デバイスオーバレイ誤差低減
KR20210029825A (ko) * 2018-07-30 2021-03-16 케이엘에이 코포레이션 디바이스 오버레이 에러 감소 방법
JP7345542B2 (ja) 2018-07-30 2023-09-15 ケーエルエー コーポレイション デバイスオーバレイ誤差低減
KR102631111B1 (ko) * 2018-07-30 2024-01-29 케이엘에이 코포레이션 디바이스 오버레이 에러 감소 방법
JP2022526933A (ja) * 2019-03-25 2022-05-27 ケーエルエー コーポレイション 改善された計量用自己モアレ格子デザイン
JP7308284B2 (ja) 2019-03-25 2023-07-13 ケーエルエー コーポレイション 改善された計量用自己モアレ格子デザイン

Also Published As

Publication number Publication date
CN101278237A (zh) 2008-10-01
KR20080059622A (ko) 2008-06-30
CN101278237B (zh) 2010-12-08
US20070076205A1 (en) 2007-04-05
TWI469182B (zh) 2015-01-11
DE102005046973A1 (de) 2007-04-05
DE102005046973B4 (de) 2014-01-30
US7667842B2 (en) 2010-02-23
TW200746250A (en) 2007-12-16
KR101309752B1 (ko) 2013-09-23

Similar Documents

Publication Publication Date Title
JP2009510770A (ja) オーバーレイ精度とパターン配置誤差とを同時に測定する方法
US7666559B2 (en) Structure and method for determining an overlay accuracy
JP6320387B2 (ja) 埋設sem構造オーバーレイ標的を用いたovlのためのデバイス相関計測法(dcm)
US7244533B2 (en) Method of the adjustable matching map system in lithography
US20180074395A1 (en) Euv mask for monitoring focus in euv lithography
US7368208B1 (en) Measuring phase errors on phase shift masks
US9097989B2 (en) Target and method for mask-to-wafer CD, pattern placement and overlay measurement and control
US7933015B2 (en) Mark for alignment and overlay, mask having the same, and method of using the same
EP2622414B1 (en) Production methods using two exposure tools and adjacent exposures
JP2009231766A (ja) マーク形成方法
US10573531B2 (en) Method of manufacturing semiconductor device
TWI380137B (en) Mark position detection apparatus
WO2007040855A1 (en) Structure and method for simultaneously determining an overlay accuracy and pattern placement error
US7736844B2 (en) Overlay mark and method of forming the same
US8174673B2 (en) Method for wafer alignment
TWI820371B (zh) 用於微影裝置製造程序之檢測工具及度量衡方法
TWI764562B (zh) 多步驟製程檢測方法
US20030232253A1 (en) Techniques to characterize iso-dense effects for microdevice manufacture
US7840932B2 (en) Defocus determination method using sub-resolution feature (SRF) printing
KR100457223B1 (ko) 정렬 마크로 이용 가능한 중첩도 측정 패턴 형성방법
CN112840270B (zh) 用于测量光刻设备的聚焦性能的方法
JP2006253700A (ja) パターン形成方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20090813

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20100421

RD05 Notification of revocation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7425

Effective date: 20100902

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20110914

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110921

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20120229