JP2007266604A - オーバーレイ測定品質表示を使用するリソグラフィ装置およびデバイス製造方法 - Google Patents

オーバーレイ測定品質表示を使用するリソグラフィ装置およびデバイス製造方法 Download PDF

Info

Publication number
JP2007266604A
JP2007266604A JP2007073913A JP2007073913A JP2007266604A JP 2007266604 A JP2007266604 A JP 2007266604A JP 2007073913 A JP2007073913 A JP 2007073913A JP 2007073913 A JP2007073913 A JP 2007073913A JP 2007266604 A JP2007266604 A JP 2007266604A
Authority
JP
Japan
Prior art keywords
measurement
substrate
overlay
diffraction grating
pixel
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2007073913A
Other languages
English (en)
Other versions
JP4578495B2 (ja
Inventor
Maurits Van Der Schaar
デル シャール,マウリッツ ヴァン
Boef Arie Jeffrey Den
ボーフ,アリー,ジェフリー デン
Everhardus Cornelis Mos
モス,エバーハーダス,コルネリス
Stefan Carolus J A Keij
ケイ,ステファン,カロルス,ヤコブス,アントニウス
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASML Netherlands BV
Original Assignee
ASML Netherlands BV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASML Netherlands BV filed Critical ASML Netherlands BV
Publication of JP2007266604A publication Critical patent/JP2007266604A/ja
Application granted granted Critical
Publication of JP4578495B2 publication Critical patent/JP4578495B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring

Abstract

【課題】定量的なオーバーレイ値、並びに、プロセス依存値を測定可能なリソグラフィ装置を提供する。
【解決手段】パターニングデバイスから基板上にパターンを転写するように構成されたリソグラフィ装置は、基板中に設けられた2つの基準回折格子14およびこれらの基準回折格子の上の2つの測定回折格子12を含み、測定回折格子は、基準回折格子に似ており、ただ1つの方向でそれぞれの基準回折格子に対して逆に偏っている。イメージセンサを有するオーバーレイ測定デバイスは、2つの測定回折格子の各々の測定スポットのピクセルデータを得るために使用される。測定スポットの各ピクセルの非対称が測定され、2つの測定回折格子の各々の関連したピクセルのピクセル非対称測定から、オーバーレイ値22およびプロセスに依存した値、ならびに、オーバーレイ値およびプロセスに依存した値の品質インディケータが決定される。
【選択図】図4

Description

本発明は、オーバーレイが測定されるデバイスを製造するためのリソグラフィ装置および方法に関する。
リソグラフィ装置は、基板に、通常は基板のターゲット部分に、所望のパターンを付ける機械である。リソグラフィ装置は、例えば、集積回路(IC)の製造で使用することができる。そのような例では、マスクまたはレチクルとも呼ばれるパターニングデバイスを使用して、ICの個々の層に形成されるべき回路パターンを生成することができる。このパターンは、基板(例えば、シリコンウェーハ)のターゲット部分(例えば、1つまたはいくつかのダイの部分を含む)に転写することができる。パターンの転写は、一般に、基板上に設けられた放射感応性材料(レジスト)の層へのイメージングによっている。一般に、単一基板は、連続してパターニングされる隣り合うターゲット部分のネットワークを含む。知られているリソグラフィ装置には、各ターゲット部分に光が当てられてターゲット部分に全パターンが一度に露光されるステッパと、各ターゲット部分に光が当てられて放射ビームによってパターンが所定の方向(「スキャン」方向)にスキャンされ、同時に同期してこの方向に対して平行または反平行に基板がスキャンされるスキャナとがある。パターンを基板にインプリントすることによって、パターニングデバイスから基板にパターンを転写することもできる。
リソグラフィ投影装置を使用する製造プロセスでは、(例えば、マスクの)パターンの像は、放射感応性材料(レジスト)の層で少なくとも部分的に覆われた基板の上に、このレジストの光学的特性または表面の物理的特性のどちらかの変化によって形成される。代わりに、イメージングで、格子エッチング技術またはナノインプリント技術のようなレジストのないプロセスを使用することができる。このイメージングより前に、基板は、下塗、レジストコーティング、およびソフトベークなどの様々な手順にかけられることがある。露光後、基板は、露光後ベーク(PEB)、現像、ハードベーク、および像に形成された特徴の測定/インスペクションなどの他の手順にかけられることがある。この手順の配列は、デバイス例えばICの個々の層をパターニングするための基礎として使用される。そのようなパターニングされた層は、次に、エッチング、イオン打込み(ドーピング)、金属化、酸化、化学機械研磨、その他のような、全て個々の層を仕上げるように意図された様々なプロセスにかけられることがある。いくつかの層が必要とされる場合には、全手順またはその変形が、新しい層ごとに繰り返されなければならない。最終的には、基板(ウェーハ)上に、デバイスのアレイが存在する。これらのデバイスは、次に、ダイシングまたは鋸引きのような技術によって互いに分離され、個々のデバイスは、キャリアに取り付け、ピンに接続し、その他を行うことができる。
製造基板の通常の処理の中で行われるのでインライン(in-line)と呼ばれるレジストの現像後(または、エッチングの場合の基板表面)測定およびインスペクションは、デバイス間のスクライブレーン(scribe lane)にある測定ターゲットを使用してリソグラフィ装置の2つの連続したプロセス間のオーバーレイを測定するために、使用することができる。いくつかの方法を使用することができ、その方法には、基板表面の2つの(垂直)方向での引き続くオーバーレイの測定、または複雑な2次元測定ターゲットを使用する直接測定が含まれ得る。
測定方法が、測定されたオーバーレイの定量的な値のほかに、測定の品質または信頼性の表示も与えるリソグラフィ装置のオーバーレイ測定方法を提供することが望ましい。
本発明の実施形態に従って、パターニングデバイスから基板にパターンを転写するように構成されたリソグラフィ装置が提供され、本リソグラフィ装置は、基板の中の2つの基準回折格子およびこれらの基準回折格子の上の2つの測定回折格子を設けるように構成されており、測定回折格子は、基準回折格子に似ており、かつ単一方向でそれぞれの基準回折格子に対して逆に偏っており、さらに、リソグラフィ装置はオーバーレイ測定デバイスを含み、このオーバーレイ測定デバイスは、2つの測定回折格子の各々の測定スポットのピクセルデータを得るためのイメージセンサを含み、さらに、測定スポットの各ピクセルの非対称を測定し、かつ2つの測定回折格子の各々の関連したピクセルのピクセル非対称測定からオーバーレイ値およびプロセスに依存した値、ならびにオーバーレイ値およびプロセスに依存した値の品質インディケータを決定するように構成されている。
本発明の実施形態に従って、パターニングデバイスから基板にパターンを転写するステップを含むデバイス製造方法が提供され、本方法は、基板中の2つの基準回折格子およびこれらの基準回折格子の上の2つの測定回折格子を設けるステップであって、測定回折格子は、基準回折格子に似ており、かつ単一方向でそれぞれの基準回折格子に対して逆に偏っている、ステップと、2つの測定回折格子の各々の測定スポットのピクセルデータを得るステップと、測定スポットの各ピクセルの非対称を測定するステップと、2つの測定回折格子の各々の関連したピクセルのピクセル非対称測定から、オーバーレイ値およびプロセスに依存した値、ならびにオーバーレイ値およびプロセスに依存した値の品質インディケータを決定するステップと、を含む。
本発明の実施形態に従って、本発明の方法の実施形態に従って製造されたデバイスが提供される。
本発明の実施形態は、これから、単なる例として、添付の模式的な図面を参照して説明される。図面において、対応する参照符号は、対応する部分を指し示す。
図1は、本発明の一実施形態に従ったリソグラフィ装置を模式的に示す。本装置は、放射ビームB(例えば、UV放射またはDUV放射)を条件付けするように構成された照明システム(イルミネータ)ILを含む。支持構造(例えば、マスクテーブル)MTは、パターニングデバイス(例えば、マスク)MAを支持するように構成され、かつ特定のパラメータに従ってパターニングデバイスを正確に位置付けするように構成された第1のポジショナPMに接続されている。基板テーブル(例えば、ウェーハテーブル)WTは、基板(例えば、レジストコートウェーハ)Wを保持するように構成され、かつ特定のパラメータに従って基板を正確に位置付けするように構成された第2のポジショナPWに接続されている。投影システム(例えば、屈折投影レンズシステム)PSは、パターニングデバイスMAによって放射ビームBに与えられたパターンを基板Wのターゲット部分C(例えば、1つまたは複数のダイを含む)に投影するように構成されている。
照明システムは、放射の方向付け、整形、および/または制御を行うために、屈折型、反射型、磁気型、電磁型、静電型または他のタイプの光学コンポーネント、またはそれらの任意の組合せなどの様々なタイプの光学コンポーネントを含むことができる。
支持構造は、パターニングデバイスを、たとえばその重さを支え、支持する。支持構造は、パターニングデバイスの向き、リソグラフィ装置の設計、および、例えばパターニングデバイスが真空環境中に保持されるか否かなどの他の条件に依存する方法で、パターニングデバイスを保持する。支持構造は、機械技術、真空技術、静電技術または他のクランプ技術を使用して、パターニングデバイスを保持することができる。支持構造は、例えばフレームまたはテーブルであってもよく、これは、必要に応じて固定または可動にすることができる。支持構造は、パターニングデバイスが、例えば投影システムに対して、所望の位置にあることを保証することができる。本明細書での「レチクル」または「マスク」という用語の使用はどれも、より一般的な用語「パターニングデバイス」と同義であると考えることができる。
本明細書で使用される「パターニングデバイス」という用語は、例えば基板のターゲット部分にパターンを作るために、パターンを放射ビームの断面に与えるために使用することができる任意のデバイスを意味するものとして、広く解釈すべきである。例えばパターンが位相シフト用の特徴またはいわゆるアシスト特徴を含む場合、放射ビームに与えられたパターンはが基板のターゲット部分の所望のパターンに必ずしも対応していないことは、留意すべきである。一般に、放射ビームに与えられたパターンは、集積回路などのターゲット部分に作られているデバイスの特定の機能層に対応している。
パターニングデバイスは透過型または反射型とすることができる。パターニングデバイスの例には、マスク、プログラマブルミラーアレイ、およびプログラマブルLCDパネルがある。マスクはリソグラフィではよく知られており、マスクには、2値、Alternating位相シフト、および減衰位相シフトのようなマスクのタイプ、ならびに様々なハイブリッドマスクタイプがある。プログラマブルミラーアレイの例は、小さなミラーのマトリックス配列を使用し、この小さなミラーの各々は、入射放射ビームを様々な方向に反射するように個々に傾けることができる。傾いたミラーが、ミラーマトリックスで反射された放射ビームにパターンを与える。
本明細書で使用される「投影システム」という用語は、使用される露光放射のために、または、液浸液の使用または真空の使用のような他の要素のために適切であるような、屈折型、反射型、反射屈折型、磁気型、電磁型および静電型の光学システム、またはそれらの任意の組合せを含んだ任意のタイプの投影システムを含むものとして広く解釈すべきである。本明細書での「投影レンズ」という用語の使用はどれも、より一般的な用語「投影システム」と同義であると考えることができる。
ここで示すように、本装置は透過型である(例えば、透過マスクを使用する)。代わりに、本装置は反射型であってもよい(例えば、先に言及したような型のプログラマブルミラーアレイを使用するか、または反射マスクを使用する)。
リソグラフィ装置は、2個(デュアルステージ)またはより多くの基板テーブル(および/または2個以上のマスクテーブル)を有する型であってもよい。そのような「マルチステージ」機械では、追加のテーブルは並列に使用することができ、または、1つまたは複数のテーブルが露光に使用されている間に、準備プロセスを1つまた複数の他のテーブルで行うことができる。
また、リソグラフィ装置は、投影システムと基板の間のスペースを満たすために、基板の少なくとも部分を比較的高い屈折率を有する液体、例えば水で覆うことができるタイプのものであってもよい。液浸液はまた、リソグラフィ装置の他のスペース、例えばマスクと投影システムの間に使用することができる。液浸技術は、投影システムの開口数を増すために当技術分野でよく知られている。本明細書で使用されるような用語「液浸」は、基板などの構造物が液体中に浸されなければならないことを意味するのではなく、むしろ露光中に、投影システムと基板との間に液体があることを意味するだけである。
図1を参照して、イルミネータILは放射源SOから放射を受け取る。例えば、放射源がエキシマレーザであるとき、放射源およびリソグラフィ装置は別個の構成とすることができる。そのような場合、放射源は、リソグラフィ装置の一部を形成していると考えられず、放射は、例えば適切な誘導ミラーおよび/またはビームエキスパンダを含んだビームデリバリシステムBDを使用して、放射源SOからイルミネータILに送られる。他の場合、例えば放射源が水銀ランプであるとき、放射源はリソグラフィ装置の一体化部分とすることができる。放射源SOおよびイルミネータILは、必要な場合にはビームデリバリシステムBDと一緒にして、放射システムと呼ばれることがある。
イルミネータILは、放射ビームの角度強度分布を調整するアジャスタADを含むことができる。一般に、イルミネータの瞳面内の強度分布の少なくとも外側および/または内側半径範囲(通常、σ-outer、σ-innerとそれぞれ呼ばれる)を調整することができる。さらに、イルミネータILは、インテグレータINおよびコンデンサCOのなどの様々な他の部品を含むことができる。イルミネータを使用して、断面内に所望の一様性および強度分布を持つように放射ビームを条件付けすることができる。
放射ビームBは、支持構造(例えば、マスクテーブルMT)に保持されているパターニングデバイス(例えば、マスクMA)に入射し、そしてパターニングデバイスによってパターニングされる。マスクMAを通り抜けた放射ビームBは、投影システムPSを通過する。この投影システムPSは、ビームを基板Wのターゲット部分Cに集束させる。第2のポジショナPWおよび位置センサIF(例えば、干渉デバイス、リニアエンコーダ、または容量センサ)を使って、例えば放射ビームBの経路内に異なったターゲット部分Cを位置付けするように、基板テーブルWTを正確に移動させることができる。同様に、第1のポジショナPMおよび他の位置センサ(図1にはっきり示されていない)を使用して、例えばマスクライブラリから機械的に取り出した後で、またはスキャン中に、放射ビームBの経路に対してマスクMAを正確に位置付けすることができる。一般に、マスクテーブルMTの移動は、第1のポジショナPMの部分を形成するロングストロークモジュール(粗動位置決め)およびショートストロークモジュール(微動位置決め)を使って実現することができる。同様に、基板テーブルWTの移動は、第2のポジショナPWの部分を形成するロングストロークモジュールおよびショートストロークモジュールを使用して実現することができる。ステッパ(スキャナに対して)の場合は、マスクテーブルMTは、ショートストローク用アクチュエータだけに接続することができ、または、固定することができる。マスクMAと基板Wは、マスクアライメントマークM1、M2および基板アライメントマークP1、P2を使用して位置合せすることができる。図示のように基板アライメントマークは専用のターゲット部分を占めるが、この専用ターゲット部分は、ターゲット部分とターゲット部分の間のスペースに位置付けすることができる(この専用ターゲット部分はスクライブレーンアライメントマーク(scribe lane alignment mark)として知られている)。同様に、2以上のダイがマスクMAに設けられた状況では、マスクアライメントマークはダイとダイの間に位置付けすることができる。
図示の装置は、下記のモードのうちの少なくとも1つで使用されるかもしれない。
1.ステップモードでは、マスクテーブルMTおよび基板テーブルWTは基本的に静止状態に保たれるが、一方で、放射ビームに与えられた全パターンは一度にターゲット部分Cに投影される(すなわち、単一静的露光)。次に、異なるターゲット部分Cが露光されるように、基板テーブルWTはX方向および/またはY方向にシフトされる。ステップモードでは、露光フィールドの最大サイズによって、単一静的露光で像が形成されるターゲット部分Cのサイズが制限される。
2.スキャンモードでは、放射ビームに与えられたパターンがターゲット部分Cに投影されている間に、マスクテーブルMTおよび基板テーブルWTは同期してスキャンされる(すなわち、単一動的露光)。マスクテーブルMTに対する基板テーブルWTの速度および方向は、投影システムPSの拡大(縮小)および像反転特性によって決定することができる。スキャンモードでは、露光フィールドの最大サイズによって、単一動的露光でのターゲット部分の(非スキャン方向の)幅が制限されるが、スキャン移動の長さによってターゲット部分の(スキャン方向の)高さが決定される。
3.他のモードでは、マスクテーブルMTは、プログラマブルパターニングデバイスを保持して基本的に静止状態に保たれ、そして基板テーブルWTは、放射ビームに与えられたパターンがターゲット部分Cに投影されている間に、動かされる、すなわちスキャンされる。このモードでは、一般に、パルス放射源が使用され、そしてプログラマブルパターニングデバイスは、基板テーブルWTの各移動の後で、またはスキャン中に連続した放射パルスの間で、必要に応じて更新される。この動作モードは、先に言及したような型のプログラマブルミラーアレイなどのプログラマブルパターニングデバイスを使用するマスクレスリソグラフィに容易に応用することができる。
上述の使用モードの組合せおよび/または変形、あるいは全く異なる使用モードを使用することもできる。
基板6の表面の1つまたは複数の特性は、図2に示すようなスキャトロメータ配列を使用して決定することができる。スキャトロメータ(scatterometer)は、広帯域(白色光)放射源2を含むことができ、この放射源2は、放射を基板6に向ける。拡張広帯域放射源(extended braodband radiation source)は、少なくとも50nmの波長を有する放射ビームを基板表面に供給するように構成することができる。反射した放射は、スペクトロメータのディテクタ4に送られ、このディテクタが、鏡面反射放射(specular reflected radiation)のスペクトル10(波長の関数としての強度)を測定する。
スキャトロメータは、垂直入射スキャトロメータまたは斜め入射スキャトロメータであってもよい。単一角度で波長範囲の反射を測定するのではなく、単一波長の反射を角度範囲で測定するスキャトロメータの変形物を使用することもできる。
以下で説明する1つまたは複数の実施形態では、図3に示すように、基板表面6で反射された角度分解スペルトルの特性を複数の角度および波長で高NAレンズの瞳面40内で測定することによって、基板6の特性を測定するように構成されたスキャトロメータが使用される。スキャトロメータは、放射を基板に投影するように構成された放射源2と、反射スペクトルを検出するように構成されたディテクタ32とを含む。瞳面は、放射の半径方向の位置が入射角を定義し、かつ角度位置が放射の方位角および任意の実質的な共役面を定義する面である。ディテクタ32は、高NAレンズの瞳面に配置される。NAは高く、ある実施形態では、少なくとも0.9または少なくとも0.95である。液浸スキャトロメータは、1を超えるNAのレンズを有することさえある。
以前の角度分解スキャトロメータは、散乱光の強度を測定するだけであった。本発明の実施形態は、いくつかの波長をある角度範囲で同時に測定することができる。異なる波長および角度についてスキャトロメータで測定された特性には、TMおよびTE偏光の強度およびTM偏光とTE偏光の位相差がある可能性がある。
光源2は、レンズシステムL2を使用して干渉フィルタ30を通して集束され、顕微鏡対物レンズL1によって基板6に焦点が合わされる。そして、散乱スペクトルを検出するために、放射は、部分反射表面34によって、逆に投影された瞳面40のCCDディテクタ32に反射される。瞳面40は、レンズシステムL1の焦点距離Fにある。ディテクタおよび高NAレンズは、瞳面に配置される。高NAレンズの瞳面は通常レンズの中にあるので、補助光学コンポーネントを用いて瞳面の像を形成し直すことができる。
例えば1フレーム当たり40ミリ秒の積分時間で、反射光の瞳面の像がCCDディテクタ32に形成される。このようにして、基板ターゲット6の2次元角度散乱スペクトルの像がディテクタ32に形成される。ディテクタ32は、例えば、CCDディテクタまたはCMOSディテクタのアレイであってもよい。スペクトルを処理することで、対称な検出構造が得られるので、センサを回転対称にすることができる。これによって、基板6上のターゲットは、センサに対するどんな回転方向からでも測定することができるので、小型の基板テーブルの使用が可能になる。基板6の並進と回転の組合せで、基板6上の全てのターゲットを測定することができる。
おおよそ405〜790nmまたは200〜300nmなどのもっと短い波長の範囲で関心のある波長を選ぶために、一組の干渉フィルタ30を使用することもできる。干渉フィルタは、一組の異なるフィルタを含むのではなく調節可能であってもよい。1つまたは複数の干渉フィルタの代わりに、回折格子を使用することもできる。
基板6(または、反射表面34さえも)が回折格子であってもよい。回折格子は、現像後に一連のバーが固体レジスト状に形成されるように印刷することができる。バーは、代わりに、基板にエッチングすることもできる。このパターンは、リソグラフィ投影装置、特に投影システムPSのコマ収差および照明対称に対して敏感であり、そのような収差の存在は、印刷された回折格子のばらつきとなって現れる。したがって、印刷された回折格子のスキャトロメータデータは、回折格子を再構成するために使用される。印刷プロセスおよび/または他のスキャトロメータプロセスについての知識から、ラインの幅および形などの回折格子の1つまたは複数のパラメータが、再構成プロセスに入力される。
スキャトロメータを使用して、スペクトルを検出し、対称瞳面像を生成することができ、この対称瞳面像から、不連続点を測定し、したがって、1つまたは複数の回折格子特性を計算することができる。
反射スペクトルの非対称を測定しこの非対称がオーバーレイの程度に関係付けられることによって、2つのミスアライン周期構造のオーバーレイを測定するように、スキャトロメータを構成することができる。反射スペクトルおよび/または検出構造の非対称を測定し、この非対称がオーバーレイの程度に関係付けられることによって、2つのミスアライン回折格子または周期構造のオーバーレイを測定するように、スキャトロメータを構成することができる。対称検出構造によって、どんな非対称もはっきりと見分けることができる。これは、回折格子のミスアラインを測定する簡単な方法を提供する。
使用される基板パターンの1つのタイプが図4に示されている。基準回折格子14は、その上に(または、回折格子14の上に作られた誘電体層16の上に)印刷された測定回折格子12を有している。測定回折格子12が基準回折格子14に対して片寄っている量は、図4に示されるようにオーバーレイ22として知られている。
留意されたいことであるが、放射源2は、物体をその表面法線に対して対称に照明することができ、スキャトロメータのディテクタは、いくつかの角度から散乱放射を測定する。ただし、斜角から物体を照明する光源2もまた可能である。
オーバーレイメトロロジー(overlay metrology)は、角度散乱スペクトルの非対称の測定に基づいている。対称構造は対称角度スペクトルを生じ、ターゲットの非対称は、角度散乱スペクトルの非対称として現れる。この特性が、角度分解スキャトロメータを使用するオーバーレイメトロロジーの基礎である。
幅20のバー(またはラインエレメント(line element))18で作られた2つの部分的に重なるミスアラインの回折格子(測定回折格子12と基準回折格子14)(図4を参照されたい)は、1つの合成非対称ターゲットを形成する。結果として得られた角度散乱スペクトルの非対称は、図3に示す角度分解スキャトロメータ4で検出され、以下のようにオーバーレイ22を得るために使用される。
(各々が、互いに重なった測定回折格子12および基準回折格子14を有する)2つの回折格子対が、第1および第2の対でそれぞれ+dおよび−dの故意の偏りのある状態で使用され、したがって、各々が合成非対称ターゲットを形成する。言い換えると、一方の対では測定回折格子12が一方の方向にシフトし、他方の対では逆の方向にシフトしている。
合成非対称ターゲットのそのような実施形態が、図5に示され、X1およびX2とラベル表示された測定回折格子は、距離+dおよび−dにわたってそれぞれシフトしている。
したがって、各対の回折格子間の実際の横方向シフトは、X=OV+dおよびX=OV−dであり、OVはオーバーレイ22である。回折格子対が位置合せされたとき、オーバーレイは0であり、また、回折格子に入射する照明の強度がIillであり、回折格子で反射された放射の強度が、第1の方向でI+1、同じ面内の逆の方向でI−1である場合、オーバーレイOV=0のとき、I+1=I−1である。しかし、OV≠0の場合、I+1≠I−1である。
オーバーレイが小さい場合、強度差はオーバーレイに比例する。すなわち、
1−I−1=K×OV (1)。
ここでKは定数であり、プロセスに依存するので知られていない。
たとえ強度差対オーバーレイが直線関係でなく、例えば、正弦曲線型の関係であっても、非対称が小さい場合、そのような非直線関係は、式(1)で表されるような直線関係で近似することができる。
スキャトロメータでオーバーレイメトロロジーを較正するために、2つの回折格子ターゲットは、上で述べたように使用される。したがって、OV+dの場合、測定された非対称は、A=K(OV+d) (2a)、であり、また、OV−dの場合、測定された非対称は、A=K(OV−d) (2b)、である。
本発明の実施形態に従って、これらの非対称測定からオーバーレイを得ることができるだけでなく、その測定に関係した品質インディケータを得ることができる。上で説明したように、非対称測定は、CCDセンサなどのディテクタ32を使用して行われ、このディテクタ32は、測定回折格子12上の測定スポットからの反射エネルギーを感知する。測定スポットは、基準回折格子12よりも小さな寸法であってもよく(したがって、ディテクタ32の全視野が反射エネルギーで満たされる)、または、例えば測定回折格子12の全面積と一致するように測定スポットがもっと大きくてもよい(したがって、全測定回折格子12がディテクタ32の視野の中に見える)。
測定スポットが2つの回折格子X1およびX2の同じ部分に使用されるとき、式2aおよび2bで上に定義したような非対称は、スポットの中の個々のピクセル各々に適用される。同じであるが逆の知られた偏りdを有する2つの測定回折格子12から、ピクセルごとに、一次のスポットでKおよびOVを計算することができる。その結果として、ピクセルごとのOVおよびK値の分布を、ディテクタ32の全視野にわたって決定することができる。
オーバーレイ測定は、上で説明したように反射スペクトルを検出するためのスキャトロメータを有するオーバーレイ測定デバイスを使用して、実施することができる。オーバーレイ測定デバイスは、さらに、OVおよびK値の分布について統計演算を行うように構成することができる。例えば、オーバーレイ値の広がり(シグマ、またはσ)を計算することができる。OV値の広がりσが小さいとき、全てのOV値の平均値は、良い品質を有し信頼性が高いと見なすことができる。
さらに他の実施形態では、基板6上の異なるターゲット位置について複数の測定が実施される。各ターゲットについて、または全基板6の測定値の集合について、再び統計的計算を実施することができる。
統計的計算は、K値についても行うことができる。その結果は、リソグラフィプロセスの適切な品質表示を与える。
非対称の直接かつ明白な測定を可能にするために、距離d(測定回折格子12、図5のX1およびX2の片寄り)は、回折格子線18のピッチ距離(すなわち、回折格子線18の周期)よりも小さい。例えば、500nmのピッチ距離では、偏り距離は一般に10nmである可能性がある。
図5の実施形態において、測定回折格子X1およびX2は、互いの方に偏っているが、偏りが逆向きである限り、外向き方向の偏りを回折格子に加えることも可能である。
本発明に従った2つの回折格子(基準回折格子14と測定回折格子12の両方)の配列が、基板6のスクライブレーンスペースに与えられる。完成ウェーハで、また完成ダイのエッジ領域でさえも、これらの配列はやはり存在し、目に見える。したがって、本方法の使用は、本発明の実施形態に従って製造されたデバイスでも目に見える。
この明細書では、ICの製造におけるリソグラフィ装置の使用に特に言及することがあるが、本明細書で説明したリソグラフィ装置には、集積光学システム、磁気ドメインメモリの誘導および検出パターン、フラットパネルディスプレイ、液晶ディスプレイ(LCD)、薄膜磁気ヘッド、その他の製造などの他の用途がある可能性があることは理解すべきである。当業者は理解することであろうが、そのような他の用途の背景では、本明細書での用語「ウェーハ」または「ダイ」の使用はどれも、より一般的な用語「基板」または「ターゲット部分」とそれぞれ同義であると考えることができる。本明細書で参照する基板は、例えばトラック(一般にレジスト層を基板に塗布し、さらに露光されたレジストを現像するツール)、メトロロジーツール、および/またはインスペクションツールで、露光前または後に処理することができる。応用可能な場合、本明細書の開示は、そのようなおよび他の基板処理ツールに応用することができる。さらに、基板は、例えば多層ICを作るために一度より多く処理されることがあるので、本明細書で使用される基板という用語は、複数の処理された層をすでに含む基板も意味することができる。
光リソグラフィの背景での本発明の実施形態の使用について上で特に言及したが、本発明は他の用途、例えばインプリントリソグラフィでも使用することができ、背景が許す場合には、光リソグラフィに限定されない、ことを理解されたい。インプリントリソグラフィでは、パターニングデバイスのトポグラフィが基板に作られるパターンを画定する。基板に塗布されたレジスト層にパターニングデバイスのトポグラフィを押し込むことができ、それから、電磁放射、熱、圧力またはこれらの組合せを利用してレジストを硬化させる。レジストが硬化された後で、パターニングデバイスをレジストから出して、レジストにパターンを残す。
本明細書で使用された用語「放射」および「ビーム」は、紫外(UV)放射(例えば、約365、355、248、193、157または126nmの波長を有する)および極端紫外(EUV)放射(例えば、5〜20nmの範囲の波長を有する)、ならびにイオンビームまたは電子ビームなどの粒子ビームを含んだ、全ての種類の電磁放射を包含する。
用語「レンズ」は、背景が許す場合、屈折、反射、磁気、電磁および静電を含む様々なタイプの光学コンポーネントのどれか1つまたは組合せを意味することができる。
本発明の特定の実施形態を上で説明したが、本発明は説明されたのと違った方法で実施できることを理解されたい。例えば、本発明は、先に開示されたような方法を記述する機械読取可能命令の1つまたは複数のシーケンスを含んだコンピュータプログラム、またはそのようなコンピュータプログラムを記憶したデータ記憶媒体(例えば、半導体メモリ、磁気ディスクまたは光ディスク)の形を取ることができる。
上述の説明は、例示であり制限しない意図である。したがって、添付の特許請求の範囲から逸脱することなしに、説明した本発明に対する変更を行えることを理解されたい。
本発明の実施形態に従ったリソグラフィ装置を示す図である。 本発明の実施形態に従って非対称を測定するために使用することができるスキャトロメータ配列を示す図である。 本発明の実施形態に従ったリソグラフィ装置で使用することができるスキャトロメータ配列を示す図である。 本発明の方法で利用された基板の基準回折格子および測定回折格子を示す断面図である。 本発明の方法で使用されるときの一組の測定回折格子を示す上面図である。

Claims (15)

  1. パターニングデバイスから基板上にパターンを転写するように構成されたリソグラフィ装置であって、
    前記リソグラフィ装置は、前記基板中の2つの基準回折格子および前記基準回折格子上の2つの測定回折格子を設けるように構成され、前記測定回折格子が、前記基準回折格子に似ており、かつ単一方向で前記それぞれの基準回折格子に対して逆に偏っており、
    前記リソグラフィ装置は、オーバーレイ測定デバイスを備え、当該オーバーレイ測定デバイスが、前記2つの測定回折格子の各々の測定スポットのピクセルデータを得るためのイメージセンサを備え、さらに、前記測定スポットの各ピクセルの非対称を測定し、かつ前記2つの測定回折格子の各々の関連したピクセルのピクセル非対称測定からオーバーレイ値およびプロセスに依存した値、ならびに前記オーバーレイ値および前記プロセスに依存した値の品質インディケータを決定するように構成されている、リソグラフィ装置。
  2. 前記偏りが距離dにわたるシフトを備え、dが前記回折格子の周期よりも小さい、請求項1に記載のリソグラフィ装置。
  3. 前記ピクセル非対称測定が、A=K(OV+d)およびA=K(OV−d)としてそれぞれ与えられ、Aが前記第1の偏った測定回折格子の非対称測定であり、Aが前記他の偏った測定回折格子の非対称測定であり、Kがプロセスに依存した値であり、OVが前記オーバーレイ値であり、さらにdが前記偏り距離である、請求項1に記載のリソグラフィ装置。
  4. 前記品質インディケータが、前記ピクセル非対称測定の統計的なパラメータ値を備える、請求項1に記載のリソグラフィ装置。
  5. 前記測定スポットが、前記基準回折格子の全面積と一致するように整えられている、請求項1に記載のリソグラフィ装置。
  6. 前記品質インディケータが、基板上の複数の測定スポットのために決定される、請求項1に記載のリソグラフィ装置。
  7. 前記オーバーレイ測定デバイスが、前記回折格子の反射スペクトルから非対称を測定するためのスキャトロメータを備える、請求項1に記載のリソグラフィ装置。
  8. パターニングデバイスから基板上にパターンを転写するステップを備えるデバイス製造方法であって、
    前記基板中の2つの基準回折格子および前記基準回折格子上の2つの測定回折格子を設けるステップであって、前記測定回折格子は、前記基準回折格子に似ており、かつ単一方向で前記それぞれの基準回折格子に対して逆に偏っている、ステップと
    前記2つの測定回折格子構造の各々の測定スポットのピクセルデータを得るステップと、
    前記測定スポットの各ピクセルの非対称を測定するステップと、
    前記2つの測定回折格子の各々の関連したピクセルの前記ピクセル非対称測定から、オーバーレイ値およびプロセスに依存した値、ならびに前記オーバーレイ値および前記プロセスに依存した値の品質インディケータを決定するステップと、を備えるデバイス製造方法。
  9. 前記逆の偏りが距離dにわたるシフトを備え、dが前記回折格子の周期よりも小さい、請求項8に記載のデバイス製造方法。
  10. 前記ピクセル非対称測定が、A=K(OV+d)およびA=K(OV−d)としてそれぞれ与えられ、Aが前記第1の偏った測定回折格子の非対称測定であり、Aが前記他の偏った測定回折格子の非対称測定であり、Kがプロセスに依存した値であり、OVが前記オーバーレイ値であり、さらにdが前記偏り距離である、請求項8に記載のデバイス製造方法。
  11. 前記品質インディケータが、前記ピクセル非対称測定の統計的なパラメータ値を備える、請求項8に記載のデバイス製造方法。
  12. 前記測定スポットが、前記基準回折格子の全面積と一致している、請求項8に記載のデバイス製造方法。
  13. 前記品質インディケータが、前記基板上の複数の測定スポットのために決定される、請求項8に記載のデバイス製造方法。
  14. 前記非対称が、スキャトロメータを使用して前記回折格子の反射スペクトルから測定される、請求項8に記載のデバイス製造方法。
  15. 請求項8に記載の方法に従って製造されたデバイス。
JP2007073913A 2006-03-29 2007-03-22 オーバーレイ測定品質表示を使用するリソグラフィ装置およびデバイス製造方法 Active JP4578495B2 (ja)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/391,690 US7391513B2 (en) 2006-03-29 2006-03-29 Lithographic apparatus and device manufacturing method using overlay measurement quality indication

Publications (2)

Publication Number Publication Date
JP2007266604A true JP2007266604A (ja) 2007-10-11
JP4578495B2 JP4578495B2 (ja) 2010-11-10

Family

ID=38558399

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2007073913A Active JP4578495B2 (ja) 2006-03-29 2007-03-22 オーバーレイ測定品質表示を使用するリソグラフィ装置およびデバイス製造方法

Country Status (2)

Country Link
US (1) US7391513B2 (ja)
JP (1) JP4578495B2 (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012526402A (ja) * 2009-05-11 2012-10-25 エーエスエムエル ネザーランズ ビー.ブイ. オーバーレイエラーを決定する方法
JP2018509609A (ja) * 2015-03-25 2018-04-05 エーエスエムエル ネザーランズ ビー.ブイ. メトロロジ方法、メトロロジ装置、及びデバイス製造装置
JP2019508744A (ja) * 2016-03-01 2019-03-28 エーエスエムエル ネザーランズ ビー.ブイ. パターニングプロセスパラメータを決定する方法及び装置

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
NL2002962A1 (nl) * 2008-06-11 2009-12-14 Asml Netherlands Bv Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method.
WO2010115686A1 (en) 2009-04-07 2010-10-14 Asml Netherlands B.V. Method and apparatus for inspection in lithography
NL2005459A (en) * 2009-12-08 2011-06-09 Asml Netherlands Bv Inspection method and apparatus, and corresponding lithographic apparatus.
US8837810B2 (en) 2012-03-27 2014-09-16 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for alignment in semiconductor device fabrication
KR101967723B1 (ko) * 2012-07-05 2019-04-10 에이에스엠엘 네델란즈 비.브이. 리소그래피를 위한 계측법
WO2014194095A1 (en) * 2013-05-30 2014-12-04 Kla-Tencor Corporation Combined imaging and scatterometry metrology
JP6510521B2 (ja) * 2013-11-26 2019-05-08 エーエスエムエル ネザーランズ ビー.ブイ. リソグラフィメトロロジのための方法、装置及び基板
WO2016202695A1 (en) 2015-06-17 2016-12-22 Asml Netherlands B.V. Recipe selection based on inter-recipe consistency
EP3321738A1 (en) * 2016-11-10 2018-05-16 ASML Netherlands B.V. Method of measuring a parameter of a device manufacturing process, metrology apparatus, substrate, target, device manufacturing system, and device manufacturing method
US10983005B2 (en) 2016-12-15 2021-04-20 Taiwan Semiconductor Manufacturing Co., Ltd. Spectroscopic overlay metrology
EP3462239A1 (en) * 2017-09-27 2019-04-03 ASML Netherlands B.V. Metrology in lithographic processes
KR102390687B1 (ko) * 2017-09-11 2022-04-26 에이에스엠엘 네델란즈 비.브이. 리소그래피 프로세스들에서의 계측

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS53137673A (en) * 1977-05-03 1978-12-01 Massachusetts Inst Technology Device for and method of matching plate position
JP2000012459A (ja) * 1998-06-15 2000-01-14 Siemens Ag 半導体デバイスの製造における2つのマスキングステップのミスアライメントを検出するための方法
JP2001272208A (ja) * 2000-03-27 2001-10-05 Toshiba Corp 重ね合わせずれ検査装置、重ね合わせずれ検査用マークおよび重ね合わせずれ検査方法
WO2004107415A1 (ja) * 2003-05-28 2004-12-09 Nikon Corporation 位置情報計測方法及び装置、並びに露光方法及び装置
US20050012928A1 (en) * 2003-07-17 2005-01-20 Abdurrahman Sezginer Apparatus and method for measuring overlay by diffraction gratings
US20050105092A1 (en) * 2003-11-19 2005-05-19 International Business Machines Corporation Overlay target and measurement method using reference and sub-grids
JP2005518107A (ja) * 2002-02-15 2005-06-16 ケーエルエー−テンカー テクノロジィース コーポレイション オーバレイ計測および制御方法
JP2006509219A (ja) * 2002-12-05 2006-03-16 ケーエルエー−テンカー テクノロジィース コーポレイション 散乱計測を用いてオーバレイ誤差を検出する装置および方法

Family Cites Families (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4703434A (en) * 1984-04-24 1987-10-27 The Perkin-Elmer Corporation Apparatus for measuring overlay error
US5703692A (en) * 1995-08-03 1997-12-30 Bio-Rad Laboratories, Inc. Lens scatterometer system employing source light beam scanning means
US5880838A (en) * 1996-06-05 1999-03-09 California Institute Of California System and method for optically measuring a structure
US5963329A (en) * 1997-10-31 1999-10-05 International Business Machines Corporation Method and apparatus for measuring the profile of small repeating lines
US6429943B1 (en) * 2000-03-29 2002-08-06 Therma-Wave, Inc. Critical dimension analysis with simultaneous multiple angle of incidence measurements
JP2003532306A (ja) * 2000-05-04 2003-10-28 ケーエルエー・テンコール・テクノロジーズ・コーポレーション リソグラフィ・プロセス制御のための方法およびシステム
US6753961B1 (en) * 2000-09-18 2004-06-22 Therma-Wave, Inc. Spectroscopic ellipsometer without rotating components
IL138552A (en) * 2000-09-19 2006-08-01 Nova Measuring Instr Ltd Measurement of transverse displacement by optical method
US6768983B1 (en) * 2000-11-28 2004-07-27 Timbre Technologies, Inc. System and method for real-time library generation of grating profiles
US6515744B2 (en) * 2001-02-08 2003-02-04 Therma-Wave, Inc. Small spot ellipsometer
US6819426B2 (en) * 2001-02-12 2004-11-16 Therma-Wave, Inc. Overlay alignment metrology using diffraction gratings
US6699624B2 (en) * 2001-02-27 2004-03-02 Timbre Technologies, Inc. Grating test patterns and methods for overlay metrology
US6856408B2 (en) * 2001-03-02 2005-02-15 Accent Optical Technologies, Inc. Line profile asymmetry measurement using scatterometry
US6704661B1 (en) * 2001-07-16 2004-03-09 Therma-Wave, Inc. Real time analysis of periodic structures on semiconductors
US6785638B2 (en) * 2001-08-06 2004-08-31 Timbre Technologies, Inc. Method and system of dynamic learning through a regression-based library generation process
US7061615B1 (en) * 2001-09-20 2006-06-13 Nanometrics Incorporated Spectroscopically measured overlay target
US6608690B2 (en) * 2001-12-04 2003-08-19 Timbre Technologies, Inc. Optical profilometry of additional-material deviations in a periodic grating
US6772084B2 (en) * 2002-01-31 2004-08-03 Timbre Technologies, Inc. Overlay measurements using periodic gratings
US6813034B2 (en) * 2002-02-05 2004-11-02 Therma-Wave, Inc. Analysis of isolated and aperiodic structures with simultaneous multiple angle of incidence measurements
US7061627B2 (en) * 2002-03-13 2006-06-13 Therma-Wave, Inc. Optical scatterometry of asymmetric lines and structures
US6721691B2 (en) * 2002-03-26 2004-04-13 Timbre Technologies, Inc. Metrology hardware specification using a hardware simulator
US6928628B2 (en) * 2002-06-05 2005-08-09 Kla-Tencor Technologies Corporation Use of overlay diagnostics for enhanced automatic process control
US7046376B2 (en) * 2002-07-05 2006-05-16 Therma-Wave, Inc. Overlay targets with isolated, critical-dimension features and apparatus to measure overlay
US6919964B2 (en) * 2002-07-09 2005-07-19 Therma-Wave, Inc. CD metrology analysis using a finite difference method
US7148959B2 (en) * 2002-11-01 2006-12-12 Asml Netherlands B.V. Test pattern, inspection method, and device manufacturing method
US7068363B2 (en) * 2003-06-06 2006-06-27 Kla-Tencor Technologies Corp. Systems for inspection of patterned or unpatterned wafers and other specimen
US7061623B2 (en) * 2003-08-25 2006-06-13 Spectel Research Corporation Interferometric back focal plane scatterometry with Koehler illumination
US7791727B2 (en) * 2004-08-16 2010-09-07 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US20060109463A1 (en) * 2004-11-22 2006-05-25 Asml Netherlands B.V. Latent overlay metrology
US7453577B2 (en) * 2004-12-14 2008-11-18 Asml Netherlands B.V. Apparatus and method for inspecting a patterned part of a sample

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS53137673A (en) * 1977-05-03 1978-12-01 Massachusetts Inst Technology Device for and method of matching plate position
JP2000012459A (ja) * 1998-06-15 2000-01-14 Siemens Ag 半導体デバイスの製造における2つのマスキングステップのミスアライメントを検出するための方法
JP2001272208A (ja) * 2000-03-27 2001-10-05 Toshiba Corp 重ね合わせずれ検査装置、重ね合わせずれ検査用マークおよび重ね合わせずれ検査方法
JP2005518107A (ja) * 2002-02-15 2005-06-16 ケーエルエー−テンカー テクノロジィース コーポレイション オーバレイ計測および制御方法
JP2006509219A (ja) * 2002-12-05 2006-03-16 ケーエルエー−テンカー テクノロジィース コーポレイション 散乱計測を用いてオーバレイ誤差を検出する装置および方法
WO2004107415A1 (ja) * 2003-05-28 2004-12-09 Nikon Corporation 位置情報計測方法及び装置、並びに露光方法及び装置
US20050012928A1 (en) * 2003-07-17 2005-01-20 Abdurrahman Sezginer Apparatus and method for measuring overlay by diffraction gratings
US20050105092A1 (en) * 2003-11-19 2005-05-19 International Business Machines Corporation Overlay target and measurement method using reference and sub-grids

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012526402A (ja) * 2009-05-11 2012-10-25 エーエスエムエル ネザーランズ ビー.ブイ. オーバーレイエラーを決定する方法
JP2018509609A (ja) * 2015-03-25 2018-04-05 エーエスエムエル ネザーランズ ビー.ブイ. メトロロジ方法、メトロロジ装置、及びデバイス製造装置
JP2019508744A (ja) * 2016-03-01 2019-03-28 エーエスエムエル ネザーランズ ビー.ブイ. パターニングプロセスパラメータを決定する方法及び装置
US10811323B2 (en) 2016-03-01 2020-10-20 Asml Netherlands B.V. Method and apparatus to determine a patterning process parameter
US11710668B2 (en) 2016-03-01 2023-07-25 Asml Netherlands B.V. Method and apparatus to determine a patterning process parameter

Also Published As

Publication number Publication date
US7391513B2 (en) 2008-06-24
US20070229837A1 (en) 2007-10-04
JP4578495B2 (ja) 2010-11-10

Similar Documents

Publication Publication Date Title
JP4578495B2 (ja) オーバーレイ測定品質表示を使用するリソグラフィ装置およびデバイス製造方法
JP4578494B2 (ja) オーバーレイ測定を使用するリソグラフィ装置およびデバイス製造方法
JP4541374B2 (ja) 基板計測のための縮小されたスクライブレーンの使用を有するリソグラフィ装置およびデバイス製造方法
JP5412528B2 (ja) 検査方法、検査システム、基板、およびマスク
JP5695153B2 (ja) プロセス変動検出方法、角度分解散乱計、リソグラフィシステムおよびリソグラフィセル
JP4778021B2 (ja) インスペクション方法および装置、リソグラフィ装置、リソグラフィ処理セル、ならびにデバイス製造方法
US8111398B2 (en) Method of measurement, an inspection apparatus and a lithographic apparatus
JP5288808B2 (ja) 測定方法、検査装置およびリソグラフィ装置
JP4672704B2 (ja) 基板のオーバーレイ誤差を測定する方法、基板製造方法、および検査装置
JP4812712B2 (ja) 基板の特性を測定する方法及びデバイス測定方法
JP4751411B2 (ja) オーバーレイを測定する方法
US20090073448A1 (en) Method of measuring the overlay error, an inspection apparatus and a lithographic apparatus
US20110028004A1 (en) Inspection Method and Apparatus, Lithographic Apparatus, Lithographic Processing Cell and Device Manufacturing Method
NL2004897A (en) Producing a marker pattern and measurement of an exposure-related property of an exposure apparatus.
JP5525547B2 (ja) 特性を求める方法
US7821650B2 (en) Lithographic apparatus and device manufacturing method with reduced scribe lane usage for substrate measurement
US20110020616A1 (en) Method of Determining Overlay Error and a Device Manufacturing Method

Legal Events

Date Code Title Description
A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20091215

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20100311

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20100316

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100614

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20100728

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20100824

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130903

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Ref document number: 4578495

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250