JP4751411B2 - オーバーレイを測定する方法 - Google Patents

オーバーレイを測定する方法 Download PDF

Info

Publication number
JP4751411B2
JP4751411B2 JP2008049050A JP2008049050A JP4751411B2 JP 4751411 B2 JP4751411 B2 JP 4751411B2 JP 2008049050 A JP2008049050 A JP 2008049050A JP 2008049050 A JP2008049050 A JP 2008049050A JP 4751411 B2 JP4751411 B2 JP 4751411B2
Authority
JP
Japan
Prior art keywords
substrate
duty ratio
marker component
layer
pitch
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2008049050A
Other languages
English (en)
Other versions
JP2008258593A (ja
Inventor
モス,エバーハーダス,コルネリス
ボーフ,アリー,ジェフリー デン
デル シャール,マウリッツ ヴァン
Original Assignee
エーエスエムエル ネザーランズ ビー.ブイ.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by エーエスエムエル ネザーランズ ビー.ブイ. filed Critical エーエスエムエル ネザーランズ ビー.ブイ.
Publication of JP2008258593A publication Critical patent/JP2008258593A/ja
Application granted granted Critical
Publication of JP4751411B2 publication Critical patent/JP4751411B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Length Measuring Devices By Optical Means (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Description

[0001] 本発明は、例えば、リソグラフィ技術を使用するデバイス製造において使用可能なインスペクション方法、及び、リソグラフィ技術を使用するデバイス製造方法に関する。
[0002] リソグラフィ装置は、所望のパターンを基板上、通常は基板のターゲット部分上に付与する機械である。リソグラフィ装置は、例えば、集積回路(IC)の製造に用いることができる。その場合、ICの個々の層上に形成される回路パターンを生成するために、マスク又はレチクルとも呼ばれるパターニングデバイスを用いることができる。このパターンは、基板(例えば、シリコンウェーハ)上のターゲット部分(例えば、一つまたは幾つかのダイの一部を含む)に転写することができる。通常、パターンの転写は、基板上に設けられた放射感応性材料(レジスト)層上への結像によってなされる。一般には、単一の基板が、連続的にパターニングされる隣接したターゲット部分のネットワークを含んでいる。既知のリソグラフィ装置としては、ターゲット部分上にパターン全体を一度に露光することにより各ターゲット部分を照射する、いわゆるステッパ、及び、放射ビームによってある特定の方向(「スキャン」方向)にパターンをスキャンすると同時にこの方向に平行又は逆平行に基板をスキャンすることにより各ターゲット部分を照射する、いわゆるスキャナが含まれる。また、パターンを基板上にインプリントすることにより、パターニングデバイスから基板にパターンを転写することも可能である。
[0003] リソグラフィプロセスを監視するため、パターン形成された基板のパラメータ、例えば基板内又は基板上に形成された連続する層と層の間のオーバーレイエラー、を測定することが望ましい。リソグラフィプロセスで形成される微細構造を測定する方法はいくつもあり、走査電子顕微鏡や様々な専用ツールを使用する方法等がある。専用インスペクションツールの一形態としてスキャトロメータがあり、このスキャトロメータでは、放射ビームを基板表面上のターゲットに放射し、散乱又は反射したビームの特性を測定する。基板による反射又は散乱の前後でビームの特性を比較することにより、基板の特性を判断することができる。これは、例えば、反射したビームと、既知の基板特性に関する既知の測定値のライブラリに記憶されているデータとを比較することにより実現できる。スキャトロメータとしては二つの主要タイプが知られている。分光スキャトロメータは、広帯域放射ビームを基板上に導き、ある特定の狭い角度範囲内に散乱した放射のスペクトル(波長の関数としての強度)を測定するものである。角度分解スキャトロメータは、単色放射ビームを使用し、散乱した放射の強度を角度の関数として測定するものである。
[0004] スキャトロメータを使用して測定できる基板特性の一つに、オーバーレイがある。オーバーレイとは、本来正確に位置合わせされるべき基板内の二つのプロセス層の位置のずれである。オーバーレイを測定するため、格子等のターゲットを各層内にプリントし、これらの層の相対的なオーバーレイを公称上同一の位置で測定する。その後、組み合わされたターゲットをスキャトロメータで検査し、スキャトロメータスペクトルから、二つのターゲットのミスアライメントを検出する。オーバーレイは二つの方向(X及びY)で測定されるため、線形格子を使用する場合は、各測定サイトにつき二つのターゲットが必要になる。一つの完成品デバイス内に20〜30のプロセス層が存在し、各ターゲット部分に複数のオーバーレイ測定サイトが存在し、さらに、各ターゲットのサイズが、測定スポット全体が収まるようなサイズ約40m×40mであるとすると、基板上のかなりのスペースがターゲットに占領されてしまい、デバイス構造に使用することができない。
[0005] このため、所要スペースが半分で済む2次元格子又はチェッカーボード格子を使用することが提案されてきた。しかし、スキャトロメータで測定されるX方向のオーバーレイとY方向のオーバーレイとの間にクロストークが生じることが分かった。このようなクロストークは測定値の計算を複雑にし、測定精度を落としてしまう。
[0006] そこで、オーバーレイを測定する方法、及び、オーバーレイ測定に使用するターゲットであって、基板上の所要スペースが少なくかつ測定方向間のクロストークを防ぐターゲットを提供するのが望ましい。
[0007] 本発明の一実施形態によると、基板の第一層と第二層の間のオーバーレイを測定する方法であって、前記第一層は、その中に、第一ピッチ及び第一デューティ比を有する2次元格子を備えた第一マーカーコンポーネントを有し、前記第二層は、その中に、第二ピッチ及び第二デューティ比を有する2次元格子を備えた第二マーカーコンポーネントを有し、前記第二マーカーコンポーネントは前記第一マーカーコンポーネント上に重なっており、測定ビームを前記第一マーカーコンポーネント及び前記第二マーカーコンポーネント上に導くこと、前記第一マーカーコンポーネント及び前記第二マーカーコンポーネントによって回折した前記測定ビームからの放射を検出すること、及び、前記検出された放射から前記オーバーレイを決定することを含み、前記第一ピッチが前記第二ピッチと等しく、前記第一デューティ比が前記第二デューティ比と異な前記第一マーカーコンポーネントが、第一寸法を有する第一散乱部分を備え、前記第二マーカーコンポーネントが、第二寸法を有する第二散乱部分を備え、
前記第二寸法が前記第一寸法より少なくともΔ maxθ 小さく、前記Δ maxθ は下記式(3)によって求められ、
Δ maxθ = t・tan(θ in2 + θ dif−1 ) (3)
かつ、θ dif−1 は、下記式(4)によって求められ、
d・sin(θ dif−1 ) = −λ/n (4)
かつ、θi n2 は下記式(5)によって求められ、
・sin(θ in2 ) = n ・sin(θ in1 ) (5)
かつ、θ in1 は下記式によって求められ、
NA = n ・sin(θ in1
同式において、tは、前記第一マーカーコンポーネントと前記第二マーカーコンポーネントの間の層の厚さであり、n は前記層の屈折率であり、dは前記第一ピッチであり、NAは、前記基板上に前記測定ビームをあてる際に使用されるレンズの開口数である、方法が提供される。
[0011] 以下、添付の概略図面を参照しながら、単なる例として、本発明の実施形態を説明する。図面において、同じ参照符号は同じ部分を示す。
[0022] 図1aは、リソグラフィ装置の概略図である。このリソグラフィ装置は、放射ビームB(例えば、UV放射又はDUV放射)を調節するように構成された照明システム(イルミネータ)ILと、パターニングデバイス(例えば、マスク)MAを支持するように構成されかつ特定のパラメータに従ってパターニングデバイスを正確に位置決めするように構成された第一ポジショナPMに連結された、サポート構造(例えば、マスクテーブル)MTと、基板(例えば、レジストコートウェーハ)Wを保持するように構成されかつ特定のパラメータに従って基板を正確に位置決めするように構成された第二ポジショナPWに連結された、基板テーブル(例えば、ウェーハテーブル)WTと、パターニングデバイスMAによって放射ビームBに付けられたパターンを基板Wのターゲット部分C(例えば、一つ以上のダイを含む)上に投影するように構成された、投影システム(例えば、屈折投影レンズシステム)PLと、を備える。
[0023] 照明システムは、放射を誘導、整形、又は制御するため、屈折型、反射型、磁気型、電磁型、静電型、又はその他の型の光コンポーネント、あるいはそれらのあらゆる組合せ等の様々な種類の光コンポーネントを含むことができる。
[0024] サポート構造は、パターニングデバイスを支持する、即ち、パターニングデバイスの重みを支えるものである。サポート構造は、パターニングデバイスの配向、リソグラフィ装置の設計、及び、例えば、パターニングデバイスが真空環境内で保持されるか否か等といった他の条件に応じた態様で、パターニングデバイスを保持する。サポート構造は、機械式、真空式、静電式又はその他のクランプ技術を使用して、パターニングデバイスを保持することができる。サポート構造は、例えば、必要に応じて固定又は可動式にすることができるフレーム又はテーブルであってよい。サポート構造は、パターニングデバイスを、例えば、投影システムに対して所望の位置に確実に配置することができる。本明細書で使用される「レチクル」又は「マスク」という用語はすべて、より一般的な「パターニングデバイス」という用語と同義であると考えてよい。
[0025] 本明細書で使用される「パターニングデバイス」という用語は、基板のターゲット部分内にパターンを創出する等の目的で放射ビームの断面にパターンを付ける際に使用できるあらゆるデバイスを指していると広く解釈されるべきである。なお、放射ビームに付けられたパターンは、例えば、そのパターンが位相シフトフィーチャ又はいわゆるアシストフィーチャを含む場合、基板のターゲット部分内の所望のパターンに正確に一致しない場合もある。通常、放射ビームに付けられたパターンは、集積回路等の、ターゲット部分内に作り出されるデバイス内の特定機能層に対応することになる。
[0026] パターニングデバイスは、透過型又は反射型であってよい。パターニングデバイスの例としては、マスク、プログラマブルミラーアレイ、及びプログラマブルLCDパネルが挙げられる。マスクは、リソグラフィでは公知であり、バイナリ、レベンソン型(alternating)位相シフト、及びハーフトーン型(attenuated)位相シフトなどのマスクタイプ、並びに、各種ハイブリッドマスクタイプを含む。プログラマブルミラーアレイの一例では、小型ミラーのマトリックス配置を採用しており、各小型ミラーは、入射する放射ビームを様々な方向へ反射させるように、個別に傾斜させることができる。傾斜されたミラーは、ミラーマトリックスによって反射される放射ビームにパターンを付ける。
[0027] 本明細書で使用される「投影システム」という用語は、使用される露光放射にとって、あるいは液浸液の使用又は真空の使用といった他の要因にとって適切な、屈折型、反射型、反射屈折型、磁気型、電磁型、及び静電型光学システム、又はそれらのあらゆる組合せを含むあらゆるタイプの投影システムを包含していると広く解釈されるべきである。本明細書で使用される「投影レンズ」という用語はすべて、より一般的な「投影システム」という用語と同義であると考えてよい。
[0028] 本明細書に示されるとおり、リソグラフィ装置は透過型のもの(例えば、透過型マスクを採用しているもの)である。または、リソグラフィ装置は、反射型のもの(例えば、上述のタイプのプログロマブルミラーアレイを採用しているもの、又は、反射マスクを採用しているもの)であってもよい。
[0029] リソグラフィ装置は、二つ(デュアルステージ)以上の基板テーブル(及び/又は二つ以上のマスクテーブル)を有するタイプのものであってよい。そのような「マルチステージ」機構においては、追加のテーブルを並行して使うことができ、あるいは、予備工程を一つ以上のテーブルで実施しつつ、別の一つ以上のテーブルを露光に使用することもできる。
[0030] また、リソグラフィ装置は、投影システムと基板の間の空間を満たすように、比較的高い屈折率を有する液体、例えば、水によって基板の少なくとも一部を覆うことができるタイプのものであってもよい。さらに、リソグラフィ装置内の別の空間、例えば、マスクと投影システムの間、に液浸液を加えてもよい。液浸技術は、投影システムの開口数を増加させる点で本技術分野においてよく知られている。本明細書で使用される「液浸」という用語は、基板のような構造を液体中に沈めなければならないという意味ではなく、むしろ、露光中、投影システムと基板の間に液体があるということを意味する。
[0031] 図1aを参照すると、イルミネータILは、放射源SOから放射ビームを受ける。例えば、放射源がエキシマレーザである場合、放射源とリソグラフィ装置は別々の構成要素であってよい。そのような場合には、放射源は、リソグラフィ装置の一部を形成しているとはみなされず、また、放射ビームは、放射源SOからイルミネータILへ、例えば、適切な誘導ミラー及び/又はビームエキスパンダを備えるビームデリバリシステムBDを使って送られる。その他の場合、例えば、放射源が水銀ランプである場合は、放射源をリソグラフィ装置の一体部分としてもよい。放射源SO及びイルミネータILは、必要であればビームデリバリシステムBDとともに、放射システムと呼ぶことができる。
[0032] イルミネータILは、放射ビームの角強度分布を調節するアジャスタADを備えうる。一般に、イルミネータの瞳面内の強度分布の少なくとも外側及び/又は内側半径範囲(通常、それぞれσ-outer及びσ-innerと呼ばれる)を調節することができる。また、イルミネータILは、インテグレータIN及びコンデンサCO等、他の各種コンポーネントを備えてもよい。イルミネータを使って放射ビームを調節することにより、放射ビームの断面に所望の均一性及び強度分布をもたせることができる。
[0033] 放射ビームBは、サポート構造(例えば、マスクテーブル)MT上に保持されたパターニングデバイス(例えば、マスク)MA上に入射し、このパターニングデバイスによってパターン形成される。放射ビームBは、パターニングデバイス(例えば、マスク)MAを通り抜けた後、投影システムPLを通過し、当該投影システムPLによって、基板Wのターゲット部分C上にビームが集束される。第二ポジショナPW及び位置センサIF(例えば、干渉デバイス、リニアエンコーダ、2−Dエンコーダ、又は、静電容量センサ)を使用して基板テーブルWTを正確に動かすことにより、例えば、様々なターゲット部分Cを放射ビームBのパス内に位置付けることができる。同様に、第一ポジショナPM及び別の位置センサ(図1aには明示されない)を使用することにより、例えば、マスクライブラリからの機械検索後又はスキャン中に、パターニングデバイス(例えば、マスク)MAを放射ビームBのパスに対して正確に位置付けることができる。通常、サポート構造(例えば、マスクテーブル)MTの移動は、第一ポジショナPMの一部を形成するロングストロークモジュール(粗動位置決め)及びショートストロークモジュール(微動位置決め)を使用して行われる。同様に、基板テーブルWTの移動も、第二ポジショナPWの一部を形成するロングストロークモジュール及びショートストロークモジュールを使用して行われる。ステッパの場合は(スキャナとは対照的に)、サポート構造(例えば、マスクテーブル)MTをショートストロークアクチュエータのみに連結、あるいは、固定してよい。パターニングデバイス(例えば、マスク)MA及び基板Wは、マスクアライメントマークM1、M2、及び基板アライメントマークP1、P2を使って、位置合わせしてよい。図示するように、基板アライメントマークはそれ専用のターゲット部分に置かれているが、基板アライメントマークをターゲット部分とターゲット部分の間の空間内に置くこともできる(これらは、スクライブラインアライメントマークとして公知である)。同様に、一つ以上のダイがマスクMA上に設けられている場合、マスクアライメントマークは、ダイとダイの間に置かれてもよい。
[0034] 例示のリソグラフィ装置は、以下の一つ以上のモードで使用できる。
[0035] 1. ステップモードにおいては、サポート構造(例えば、マスクテーブル)MT及び基板テーブルWTを基本的に静止状態に保ちつつ、放射ビームに付けられたパターン全体を一度に(すなわち、単一静的露光)ターゲット部分C上に投影する。その後、基板テーブルWTをX及び/又はY方向に移動させることにより、別のターゲット部分Cを露光することができる。ステップモードでは、露光フィールドの最大サイズよって、単一静的露光時に結像されるターゲット部分Cのサイズが限定される。
[0036] 2. スキャンモードにおいては、サポート構造(例えば、マスクテーブル)MT及び基板テーブルWTを同期的にスキャンする一方で、放射ビームに付けられたパターンをターゲット部分C上に投影する(すなわち、単一動的露光)。サポート構造(例えば、マスクテーブル)MTに対する基板テーブルWTの速度及び方向は、投影システムPLの(縮小)拡大率及び像反転特性によって決まる。スキャンモードにおいては、露光フィールドの最大サイズよって、単一動的露光時のターゲット部分の幅(非スキャン方向)が限定される一方、スキャン動作の長さによって、ターゲット部分の高さ(スキャン方向)が決定される。
[0037] 3. 別のモードにおいては、サポート構造(例えば、マスクテーブル)MTを、プログラマブルパターニングデバイスを保持した状態で基本的に静止状態に保ち、また、基板テーブルWTを移動又はスキャンする一方で、放射ビームに付けられたパターンをターゲット部分C上に投影する。このモードにおいては、通常、パルス放射源が採用されており、さらにプログラマブルパターニングデバイスは、基板テーブルWTの移動後ごとに、又はスキャン中の連続する放射パルスと放射パルスの間に、必要に応じて更新される。この動作モードは、前述のタイプのプログラマブルミラーアレイ等のプログラマブルパターニングデバイスを利用するマスクレスリソグラフィに容易に適用することができる。
[0038] 上述の使用モードの組合せ及び/又はバリエーション、あるいは完全に異なる使用モードもまた採用可能である。
[0039] 図1bに示すように、リソグラフィ装置LAはリソグラフィセルLC(しばしばリソセル又はクラスタとも呼ばれる)の一部であり、リソグラフィセルLCは、リソグラフィ装置LAの他に、露光前及び露光後プロセスを基板上で行うための装置をさらに有する。従来、これらの装置には、レジスト層を堆積するためのスピンコータSC、露光されたレジストを現像するためのデベロッパDE、冷却プレートCH、及び、ペークプレートBKが含まれる。基板ハンドラ又はロボットROは、入出ポートI/O1、I/O2から基板を受け取ると、様々なプロセス装置間を移動させて、リソグラフィ装置のローディングベイLBへと搬送する。これらのデバイス(まとめてトラックとも呼ばれる)はトラックコントロールユニットTCUの制御下にあり、また、トラックコントロールユニット自体は監視制御システムSCSによって制御される。監視制御システムSCSはまた、リソグラフィコントロールユニットLACUを介してリソグラフィ装置を制御する。このように様々な装置を動作させることで、スループット及び処理効率を最大化している。
[0040] リソグラフィ装置によって基板が正しくかつ一貫して露光されるようにするため、露光済み基板を検査して、その特性、連続する層と層の間のオーバーレイエラー、線厚さ、クリティカルディメンション(CD)等、を測定するのが望ましい。エラーが検出された場合、特に、インスペクションが迅速に行われかつ同一バッチ内の別の基板がまだ露光される前であれば、後続基板の露光に対して調整を行う。また、既に露光済みの基板については、剥離して再加工する−歩留まりを良くするため−、又は廃棄する−それにより欠陥品となることが明らかな基板を露光しないで済むようにする。基板上の一部のターゲット部分のみに欠陥がある場合は、良好なターゲット部分上のみにさらなる露光を行うようにしてもよい。
[0041] インスペクション装置は、基板の特性、特に、各基板の特性がどのように異なるのか、又は、同一基板内の各層の特性がどのように異なるのかを決定するために使用される。インスペクション装置は、リソグラフィ装置LA又はリソセルLC内に組み込まれていてもよく、又は、独立型デバイスであってもよい。測定を迅速に行うためには、インスペクション装置が、露光済みレジスト層の特性を露光直後に測定するのが望ましい。しかし、レジスト内の潜像はコントラストが弱い、つまり、レジスト内において、放射で露光された部分と露光されなかった部分との屈折率の差が非常に小さく、また、すべてのインスペクション装置が潜像を有効に測定するのに十分な感応性を有する訳でもない。そのため、露光後ベークステップ(PEB)の後に測定を行うようにしてもよい。露光後ベークステップとは、通常、露光済み基板上で行う第一のステップであり、このステップによって、レジストの露光済み部分と未露光部分との間のコントラストを強めることができる。この段階におけるレジスト内のイメージを半潜像(semi-latent)と呼ぶことができる。また、現像後(この時点では、レジストの露光済み部分又は未露光部分のどちらかが除去されている)にレジストイメージを測定することも可能であり、あるいは、エッチング等のパターン転写ステップ後にイメージを測定することも可能である。後者では、欠陥基板を再加工する可能性が限定されてしまうものの、有用な情報を提供することはできる。
[0042] 図2は、スキャトロメータSM1を示している。このスキャトロメータは、基板6上に放射を投影するように構成された広帯域(白光)放射プロジェクタ2を有する。反射した放射はスペクトロメータディテクタ4に到達する。このスペクトロメータディテクタ4は、鏡面反射した放射のスペクトル10(波長の関数としての強度)を測定するように構成されている。このデータに基づき、プロセッシングユニットPUは、例えば、厳密結合波分析及び非線形回帰によって、又は、図2の下方に示すような擬似スペクトルのライブラリと比較することによって、検出されたスペクトルを生じさせる構造又はプロファイルを再構成する。通常、再構成では、当該構造の概略的な形状は既に把握されており、また、当該構造が作られたプロセスに基づいて一部のパラメータを予想できるため、当該構造のパラメータのうち少数のパラメータのみをスキャトロメトリデータから決定すればよい。このようなスキャトロメータは、法線入射スキャトロメータ又は斜め入射スキャトロメータとして構成されている。
[0043] 図3は、別のスキャトロメータSM2を示している。このスキャトロメータでは、放射源2から出射した放射は、レンズシステム12を使用することにより、干渉フィルタ13及び偏光子17を通過して、部分反射表面16で反射され、開口数(NA)の大きい(少なくとも0.9又は0.95が望ましい)顕微鏡対物レンズ15を介して、基板W上に集束される。液浸スキャトロメータであれば、開口数が1以上のレンズを有する場合もある。その後、反射した放射は、部分反射表面16を通過してディテクタ18に到達し、ディテクタ18において散乱スペクトルが検出される。ディテクタは、レンズシステム15の焦点距離に位置する後側投影瞳面11内にあってよいが、瞳面は、補助光学部品(図示されない)を用いてディテクタ上に再結像されたものであってもよい。瞳面とは、放射の半径方向の位置によって入射角が決定し、放射の角度位置によって放射の方位角が決定される面のことである。ディテクタとしては、基板ターゲットの2次元角度散乱スペクトルを測定することができる、2次元ディテクタであるのが望ましい。ディテクタ18は、例えば、CCD又はCMOSセンサのアレイであってよく、その積分時間は、例えば40ミリ秒/フレームである。
[0044] 例えば入射する放射の強度を測定するために、基準ビームがしばしば用いられる。放射強度の測定では、放射ビームがビームスプリッタ16に入射する際、その一部が基準ビームとしてビームスプリッタを通過して基準ミラー14へ送られる。基準ビームはその後、ディテクタ18の異なる部分へ投影される。
[0045] 一組の干渉フィルタ13を使用することにより、例えば405〜790nm又はそれ以下(例えば、200〜300nm)の範囲内で所望の波長を選択することができる。干渉フィルタとしては、異なるフィルタを組み合わせたものよりも、調節可能なフィルタである方がよい。干渉フィルタの代わりに格子を使用してもよい。
[0046] ディテクタ18は、単一の波長で(又は狭い波長範囲で)散乱光の強度を測定するもの、又は、複数の波長で強度を別々に測定するもの、又は、ある波長範囲にわたって強度を測定するものである。また、ディテクタは、TM偏光の強度及びTE偏光の強度を別々に測定してもよく、また/又は、TM偏光とTE偏光との位相差を測定してもよい。
[0047] エタンデュが大きく、複数の波長を混合することが可能な、広帯域光源(つまり、広範囲の光周波数又は波長、及び色を有するもの)を使用することもできる。広帯域内の複数の波長はそれぞれ、δλの帯域幅と、少なくとも2δλ(つまり、波長の2倍)の間隔とを有するのが望ましい。複数ある放射「源」は、ファイバ束を使用して分割された、拡張放射源の各部分であってよい。このようにして、角度分解された散乱スペクトルを、複数の波長で並行して測定することができる。2−Dスペクトルより多くの情報を含む3−Dスペクトル(波長及び二つの異なる角度)を測定することができる。これによってより多くの情報を測定できるので、メトロロジプロセスのロバスト性を高めることができる。このことは、欧州特許出願第1,628,164号に詳述されている。
[0048] 基板W上のターゲットは格子であってよく、この格子はプリントされるものであるので、現像後に実線のレジストラインからバーが形成される。あるいは、基板をエッチングすることによりバーを形成してもよい。このパターンは、リソグラフィ投影装置、特に、投影システムPL内の色収差に対して感応性を有し、照明対称性及びかかる収差は、プリントされた格子内に様々な形で現れる。したがって、プリントされた格子のスキャトロメトリデータは、格子を再構成するのに使用される。格子のパラメータ(線幅及び形状等)は、プリントステップ及び/又はその他のスキャトロメトリプロセスから得られた情報として、プロセッシングユニットPUが行う再構成プロセスで使用される。
[0049] 図4は、スキャトロメータによるオーバーレイ測定に使用されることで知られる一対の格子を示しており、一方の格子は、第一方向(つまりY方向)に延在する複数の線を有し、他方の格子は、第一方向に直交する第二方向(つまりX方向)に延在する複数の線を有する。この一対の格子は下方の第一プロセス層内にプリントされ、また、上方の第二プロセス層内においても、公称上同一の場所にプリントされる。スキャトロメータは、重なり合う各格子対を照射し、例えば、各構造を再構成することによって、Y方向に延在する線を有する対を成す格子から反射したスペクトルに基づいてXオーバーレイエラーを決定するとともに、X方向に延在する線を有する対を成す格子から反射したスペクトルからYオーバーレイを決定する。各格子は、測定スポットMS全体が十分収まるようなサイズ(例えば、40m×40m)でなければならない(さもなければ、測定スポット及びターゲットの相対的な位置によってエラーが生じる)ため、他の機能、例えばデバイス構造に割り当てるのが望ましい基板上のかなりのスペースがターゲットに占領されてしまう。
[0050] 図5は、別の形状を有するターゲットであって、占領するスペースを少なくすることを目的としたオーバーレイターゲットの図である。このターゲットの場合、各層内に、単一の2次元格子がプリントされる。この格子はチェッカーボードのような見た目であり、二方向に周期性を有するので、X方向及びY方向で同時にオーバーレイを検出する際に使用できる。しかし、以下に説明するように、このターゲットでは、Xオーバーレイ値とYオーバーレイ値の間にクロストークが生じてしまう。このクロストークによって、オーバーレイ値の計算が複雑になり、測定精度が損なわれてしまう。
[0051] 図6は、図5に示す格子が二つ重なり合った状態を一部を拡大して示したものである。下部層内の格子は右上がりの斜線で示されており、上部層内の格子は右上がりの斜線で示されている。X方向及びY方向の両方でオーバーレイエラーOVx及びOVyが生じている。角度分解スキャトロメータによって重なり合う格子から記録されるスペクトルは、基本的に、組み合わされたパターン内の非対称性を記録するものである。Y方向では、非対称性は断面A−A’及び断面C−C’には存在せず、断面B−B’に存在する。しかし、斜交線部分から見て分かるように、組み合わされたパターンの各サイクルがY方向に非対称性を呈する割合は、X方向のオーバーレイエラーに依存している。また、X方向についてはこれと逆のことが言える。
[0052] これを防ぐため、本発明の一実施形態では、第一プロセス層内に設けられる第一2次元格子と、当該第一2次元格子に重なる、第二プロセス層内に設けられる第二2次元格子とを有するオーバーレイターゲットが提供される。この二つの格子は、周期が同一で、各方向における形状も概ね同一であるが、異なるデューティサイクルを有する。各格子は、散乱部分及び相対的透過部分を含み、上部層(測定中、入射するビームの側にある層)内の格子における散乱部分のエリアと非散乱部分のエリアとの比は、下部層内の格子における比より小さいことが望ましい。散乱部分と非散乱部分は、測定ビームの回折を発生させるのに十分な程度、あらゆる光学特性又は物理的特性の面で異なっていてよい。
[0053] この例を図7に示す。下部格子70は、寸法dを有する複数の散乱部分71を有し、これら散乱部分71は、X方向及びY方向と平行な行と列からなる正方形グリッド上におよそ2dのピッチpで配されている。なお、図示される散乱部分71は正方形だが、実際の格子では、散乱部分71の形成プロセスによって、程度の差はあるが丸みを帯びた形状を有する。ある例では、p=1μm、d=600nmである。ピッチは、測定ビームの波長、及び、スキャトロメータの対物レンズのNAに応じて選択される。したがって、関連方向における散乱部分の長さの当該関連方向におけるピッチに対する比として定義されるデューティ比は、下部格子のX方向及びY方向において、約50%以上であり、具体例では約60%である。デューティ比は、約50〜80%の範囲内にあるのが好ましく、約50〜70%の範囲内であればより好ましく、約55〜65%の範囲内であれば最も好ましい。ピッチは、約500nm〜2μmの間が好ましく、約750nm〜1.5μmの間であればより好ましく、約900nm〜1.2μmの間であれば最も好ましい。ピッチ及びデューティ比はX方向及びY方向で同一であるのが望ましいが、異なっていてもよい。
[0054] 上部格子20は、下部格子70のグリッドに対応するグリッド上に配された複数の散乱部分21を含む。言い換えれば、散乱部分21は、下部格子70の散乱部分71と同一のピッチを有し、その形状も概ね同一(例えば、正方形)である。しかし、上部格子20のデューティ比は、下部格子のデューティ比とは異なる、つまり、下部格子のデューティー比よりも小さいことが好ましい。本発明の一実施形態では、散乱部分21の寸法eは400nmなので、デューティ比は約40%となる。上部格子のデューティ比は、下部格子のデューティ比より少なくとも約5%小さいことが好ましく、少なくとも約10%小さければより好ましく、少なくとも15%小さければ最も好ましい。
[0055] 上部格子内の散乱部分の寸法と下部格子内の散乱部分の寸法の差によって、クロストークなしで調整可能なオーバーレイエラーの量が決まる。本例では、その寸法の差が200nmなので、この値までのオーバーレイエラーであればクロストークなしで調整される。
[0056] 上部格子と下部格子の間の厚い層に下限を設けてもよい。このことを図8を参照して説明する。屈折率nを有する媒体から角度θin1で入射する測定ビームの光線は、厚みt及び屈折率nを有する層30内で屈折し、ピッチdを有する下部格子上に角度θin2で入射する。その後、測定ビームは角度θdif−1で回折する。一方、垂直な光線の場合は、第一オーダ内の下部格子から、角度θdifで回折する。高NAビームのエクストリーム且つメインな光線である上記両光線において、上部格子の散乱部分を下部格子の散乱部分より内側に見るために求められる要件によって、散乱部分のサイズの差が限定される。ピッチが1μm、層の厚みtが0.4μm、屈折率nが1.5、極光線の入射角θin1が72°の場合、最小で150nmの差が必要になる。通常、法線入射の場合、格子セグメントの大きさの最小差は、下記式(1)で与えられる。
Δnormθ = t tan(θdif) (1)
同式において、θdifは、下記式(2)で与えられる、屈折率nを有する媒体における一次回折の格子式による。
d sin(θdif) = l/n2 (2)
[0057] 最大入射角の場合、格子セグメントのサイズの最小差は、下記式(3)で与えられる。
Δmaxθ = t tan(θin2 + θdif-1) (3)
同式において、θdif−1は、下記式(4)で与えられる、屈折率nを有する媒体内における一次回折の格子式による。
d sin(θdif-1) = -λ/n2 (4)
また、θin2は、
開口数(NA)
NA = n1sin(θin1
からの光線に等しい最大角に対する、スネルの法則
n2 sin(θin2) = n1 sin(θin1) (5)
による。
[0058] 図7では、上部格子の散乱部分21の公称位置が、下部格子の散乱部分71上に重なるように示されているが、上部格子及び下部格子を、X方向に交互に配置する(図9)、Y方向に交互に配置する(図10)、又は、X方向及びY方向に交互に配置する(図11)することもできる。
[0059] 本明細書では、IC製造におけるリソグラフィ装置の使用について具体的に言及しているが、本明細書記載のリソグラフィ装置が、集積光学システム、磁気ドメインメモリ用のガイダンス及び検出パターン、フラットパネルディスプレイ、液晶ディスプレイ(LCD)、薄膜磁気ヘッドの製造といった他の用途を有することが理解されるべきである。当業者には当然のことであるが、そのような他の用途においては、本明細書で使用される「ウェーハ」又は「ダイ」という用語がすべて、それぞれより一般的な「基板」又は「ターゲット部分」という用語と同義であると考えてよい。本明細書に記載した基板は、露光の前後に、例えば、トラック(通常、基板にレジスト層を塗布し、露光されたレジストを現像するツール)、メトロロジーツール、及び/又は、インスペクションツールで処理されてもよい。適用可能な場合には、本明細書中の開示物を上記のような基板プロセシングツール及びその他の基板プロセシングツールに適用してもよい。さらに、基板は、例えば多層ICを作るために複数回処理されてもよいので、本明細書で使用される基板という用語が、既に多層処理層を包含している基板を表すものとしてもよい。
[0060] 光リソグラフィの関連での本発明の実施形態の使用について上述のとおり具体的な言及がなされたが、本発明は、他の用途、例えば、インプリントリソグラフィに使用してもよく、さらに状況が許すのであれば、光リソグラフィに限定されることはない。インプリントリソグラフィにおいては、パターニングデバイス内のトポグラフィによって、基板上に創出されるパターンが定義される。パターニングデバイスのトポグラフィは、基板に供給されたレジスト層の中にプレス加工され、基板上では、電磁放射、熱、圧力、又はそれらの組合せを適用することによってレジストを硬化させる。パターニングデバイスは、レジストが硬化した後、レジスト内にパターンを残してレジストの外へ移動される。
[0061] 本明細書で使用される「放射」及び「ビーム」という用語は、紫外線(UV)放射(例えば、約365nm、355nm、248nm、193nm、157nm、若しくは126nmの、又はその近辺の波長を有する)、極端紫外線(EUV)放射(例えば、5〜20nmの範囲の波長を有する)、及びイオンビームや電子ビームなどの粒子ビームを含む、あらゆる種類の電磁放射を包含している。
[0062] 「レンズ」という用語は、文脈によっては、屈折、反射、磁気、電磁気、及び静電型光コンポーネントを含む様々な種類の光コンポーネントのどれか一つ又は組合せを指すことができる。
[0063] 以上、本発明の具体的な実施形態を説明してきたが、本発明は、上述以外の態様で実施することも可能である。例えば、本発明は、上記に開示した方法を表す一つ以上の機械読取可能な命令のシーケンスを含むコンピュータプログラム、又は、そのようなコンピュータプログラムが記憶されたデータ記録媒体(例えば、半導体メモリ、磁気ディスク又は光ディスク)の形態であってもよい。
[0064] 上記の説明は、制限ではなく例示を意図したものである。したがって、当業者には明らかなように、添付の特許請求の範囲を逸脱することなく本記載の発明に変更を加えることもできる。
[0012] 図1aは、本発明の一実施形態に係るリソグラフィ装置を示す図である。 [0013] 図1bは、本発明の一実施形態に係るリソグラフィセル又はクラスタを示す図である。 [0014] 図2は、本発明の一実施形態に係る第一のスキャトロメータを示す図である。 [0015] 図3は、本発明の一実施形態に係る第二のスキャトロメータを示す図である。 [0016] 図4は、二つの方向でオーバーレイを測定するための、一対の1次元格子を示す図である。 [0017] 図5は、二つの方向でオーバーレイを測定する際に使用する2次元格子を示す図である。 [0018] 図6は、重なり合う二つの2次元格子の一部を示す図であり、二つの方向でオーバーレイを示している。 [0019] 図7は、本発明の一実施形態に係る、重なり合う二つの2次元格子の一部を示す図であり、二つの方向でオーバーレイを示している。 [0020] 図8は、図7に示す二つの格子の相対的なサイズを説明する際に参照するための図である。 [0021] 図9は、本発明の他の実施形態に係る、重なり合う二つの2次元格子の一部を示す図であり、二つの方向でオーバーレイを示している。 [0021] 図10は、本発明の他の実施形態に係る、重なり合う二つの2次元格子の一部を示す図であり、二つの方向でオーバーレイを示している。 [0021] 図11は、本発明の他の実施形態に係る、重なり合う二つの2次元格子の一部を示す図であり、二つの方向でオーバーレイを示している。

Claims (9)

  1. 基板の第一層と第二層の間のオーバーレイを測定する方法であって、
    前記第一層は、その中に、第一ピッチ及び第一デューティ比を有する2次元格子を備えた第一マーカーコンポーネントを有し、前記第二層は、その中に、第二ピッチ及び第二デューティ比を有する2次元格子を備えた第二マーカーコンポーネントを有し、前記第二マーカーコンポーネントは前記第一マーカーコンポーネント上に重なっており
    測定ビームを前記第一マーカーコンポーネント及び前記第二マーカーコンポーネント上に導くこと、
    前記第一マーカーコンポーネント及び前記第二マーカーコンポーネントによって回折した前記測定ビームからの放射を検出すること、及び
    前記検出された放射から前記オーバーレイを決定すること、を含み、
    前記第一ピッチが前記第二ピッチと等しく、前記第一デューティ比が前記第二デューティ比と異な
    前記第一マーカーコンポーネントが、第一寸法を有する第一散乱部分を備え、前記第二マーカーコンポーネントが、第二寸法を有する第二散乱部分を備え、
    前記第二寸法が前記第一寸法より少なくともΔ maxθ 小さく、前記Δ maxθ は下記式(3)によって求められ、
    Δ maxθ = t・tan(θ in2 + θ dif−1 ) (3)
    かつ、θ dif−1 は、下記式(4)によって求められ、
    d・sin(θ dif−1 ) = −λ/n (4)
    かつ、θi n2 は下記式(5)によって求められ、
    ・sin(θ in2 ) = n ・sin(θ in1 ) (5)
    かつ、θ in1 は下記式によって求められ、
    NA = n ・sin(θ in1
    同式において、tは、前記第一マーカーコンポーネントと前記第二マーカーコンポーネントの間の層の厚さであり、n は前記層の屈折率であり、dは前記第一ピッチであり、NAは、前記基板上に前記測定ビームをあてる際に使用されるレンズの開口数である、
    方法。
  2. 前記第二デューティ比が前記第一デューティ比より小さい、請求項1に記載の方法。
  3. 前記第二デューティ比が前記第一デューティ比より少なくとも5%小さい、請求項2に記載の方法。
  4. 前記第二デューティ比が前記第一デューティ比より少なくとも10%小さい、請求項3に記載の方法。
  5. 前記第二デューティ比が前記第一デューティ比より少なくとも15%小さい、請求項4に記載の方法。
  6. 前記第一マーカーコンポーネント及び前記第二マーカーコンポーネントが、直交する二つの方向において、同一のピッチを有する、請求項1に記載の方法。
  7. 記第二散乱部分の公称位置が前記第一散乱部分の公称位置上に直接重なっている、請求項1に記載の方法。
  8. 記第一散乱部分及び前記第二散乱部分が一方向において交互に配置されるよう前記第二散乱部分の公称位置が前記基板の表面とほぼ平行な方向において前記第一散乱部分の公称位置からずれている、請求項1に記載の方法。
  9. 記第一散乱部分及び前記第二散乱部分が直交する二つの方向において交互に配置されるよう前記第二散乱部分の公称位置が前記基板の前記表面とほぼ平行な方向において前記第一散乱部分の公称位置からずれている、請求項1に記載の方法。
JP2008049050A 2007-03-07 2008-02-29 オーバーレイを測定する方法 Expired - Fee Related JP4751411B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/714,904 2007-03-07
US11/714,904 US7599064B2 (en) 2007-03-07 2007-03-07 Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method, substrate for use in the methods

Publications (2)

Publication Number Publication Date
JP2008258593A JP2008258593A (ja) 2008-10-23
JP4751411B2 true JP4751411B2 (ja) 2011-08-17

Family

ID=39741297

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2008049050A Expired - Fee Related JP4751411B2 (ja) 2007-03-07 2008-02-29 オーバーレイを測定する方法

Country Status (2)

Country Link
US (1) US7599064B2 (ja)
JP (1) JP4751411B2 (ja)

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
NL1036245A1 (nl) * 2007-12-17 2009-06-18 Asml Netherlands Bv Diffraction based overlay metrology tool and method of diffraction based overlay metrology.
US9182682B2 (en) 2008-12-30 2015-11-10 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
NL2004405A (en) * 2009-04-07 2010-10-11 Asml Netherlands Bv Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method.
NL2004656A (en) * 2009-05-12 2010-11-15 Asml Netherlands Bv Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method.
JP2010267931A (ja) * 2009-05-18 2010-11-25 Toshiba Corp パターン形成方法およびパターン設計方法
WO2011045125A1 (en) * 2009-10-13 2011-04-21 Asml Netherlands B.V. Inspection method and apparatus
WO2014193854A1 (en) * 2013-05-27 2014-12-04 Kla-Tencor Corporation Scatterometry overlay metrology targets and methods
US9740108B2 (en) 2013-05-27 2017-08-22 Kla-Tencor Corporation Scatterometry overlay metrology targets and methods
KR101826651B1 (ko) 2013-06-12 2018-02-07 에이에스엠엘 네델란즈 비.브이. 임계 치수 관련 특성을 결정하는 방법, 검사 장치, 및 디바이스 제조 방법
US9257351B2 (en) 2013-08-15 2016-02-09 Globalfoundries Inc. Metrology marks for bidirectional grating superposition patterning processes
US9059102B2 (en) 2013-08-15 2015-06-16 International Business Machines Corporation Metrology marks for unidirectional grating superposition patterning processes
CN110220461A (zh) * 2019-06-27 2019-09-10 东南大学 用于标识点位移测量的嵌入式实时检测方法和装置
US11874102B2 (en) 2019-12-30 2024-01-16 Kla Corporation Thick photo resist layer metrology target
US20240053687A1 (en) * 2022-08-11 2024-02-15 Kla Corporation Scatterometry overlay metrology with orthogonal fine-pitch segmentation

Family Cites Families (42)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH02246313A (ja) * 1989-03-20 1990-10-02 Fujitsu Ltd 露光装置のマスクアライメント用ターゲットパターンとウィンドゥパターン
JPH03182753A (ja) * 1989-12-12 1991-08-08 Toppan Printing Co Ltd 表裏焼付原版
US5506684A (en) * 1991-04-04 1996-04-09 Nikon Corporation Projection scanning exposure apparatus with synchronous mask/wafer alignment system
US5689339A (en) * 1991-10-23 1997-11-18 Nikon Corporation Alignment apparatus
US6153886A (en) * 1993-02-19 2000-11-28 Nikon Corporation Alignment apparatus in projection exposure apparatus
US5703692A (en) 1995-08-03 1997-12-30 Bio-Rad Laboratories, Inc. Lens scatterometer system employing source light beam scanning means
US5880838A (en) 1996-06-05 1999-03-09 California Institute Of California System and method for optically measuring a structure
US5963329A (en) 1997-10-31 1999-10-05 International Business Machines Corporation Method and apparatus for measuring the profile of small repeating lines
US6429943B1 (en) 2000-03-29 2002-08-06 Therma-Wave, Inc. Critical dimension analysis with simultaneous multiple angle of incidence measurements
US6689519B2 (en) 2000-05-04 2004-02-10 Kla-Tencor Technologies Corp. Methods and systems for lithography process control
US6753961B1 (en) 2000-09-18 2004-06-22 Therma-Wave, Inc. Spectroscopic ellipsometer without rotating components
IL138552A (en) 2000-09-19 2006-08-01 Nova Measuring Instr Ltd Measurement of transverse displacement by optical method
US6768983B1 (en) 2000-11-28 2004-07-27 Timbre Technologies, Inc. System and method for real-time library generation of grating profiles
US6515744B2 (en) 2001-02-08 2003-02-04 Therma-Wave, Inc. Small spot ellipsometer
WO2002065545A2 (en) 2001-02-12 2002-08-22 Sensys Instruments Corporation Overlay alignment metrology using diffraction gratings
US6699624B2 (en) 2001-02-27 2004-03-02 Timbre Technologies, Inc. Grating test patterns and methods for overlay metrology
US6856408B2 (en) 2001-03-02 2005-02-15 Accent Optical Technologies, Inc. Line profile asymmetry measurement using scatterometry
US6704661B1 (en) 2001-07-16 2004-03-09 Therma-Wave, Inc. Real time analysis of periodic structures on semiconductors
US6785638B2 (en) 2001-08-06 2004-08-31 Timbre Technologies, Inc. Method and system of dynamic learning through a regression-based library generation process
US7061615B1 (en) 2001-09-20 2006-06-13 Nanometrics Incorporated Spectroscopically measured overlay target
US6608690B2 (en) 2001-12-04 2003-08-19 Timbre Technologies, Inc. Optical profilometry of additional-material deviations in a periodic grating
US6772084B2 (en) 2002-01-31 2004-08-03 Timbre Technologies, Inc. Overlay measurements using periodic gratings
US6813034B2 (en) 2002-02-05 2004-11-02 Therma-Wave, Inc. Analysis of isolated and aperiodic structures with simultaneous multiple angle of incidence measurements
JP3727317B2 (ja) * 2002-03-08 2005-12-14 エイエスエムエル ネザランドズ ベスローテン フエンノートシャップ リソグラフィに使用するためのマスク、マスクを作成する方法、リソグラフィ装置、およびデバイス製造方法
US7061627B2 (en) 2002-03-13 2006-06-13 Therma-Wave, Inc. Optical scatterometry of asymmetric lines and structures
US6721691B2 (en) 2002-03-26 2004-04-13 Timbre Technologies, Inc. Metrology hardware specification using a hardware simulator
US6928628B2 (en) 2002-06-05 2005-08-09 Kla-Tencor Technologies Corporation Use of overlay diagnostics for enhanced automatic process control
US7046376B2 (en) 2002-07-05 2006-05-16 Therma-Wave, Inc. Overlay targets with isolated, critical-dimension features and apparatus to measure overlay
US6919964B2 (en) 2002-07-09 2005-07-19 Therma-Wave, Inc. CD metrology analysis using a finite difference method
TWI227814B (en) * 2002-09-20 2005-02-11 Asml Netherlands Bv Alignment system and methods for lithographic systems using at least two wavelengths
US7148959B2 (en) 2002-11-01 2006-12-12 Asml Netherlands B.V. Test pattern, inspection method, and device manufacturing method
US7440105B2 (en) * 2002-12-05 2008-10-21 Kla-Tencor Technologies Corporation Continuously varying offset mark and methods of determining overlay
CN1795536A (zh) * 2003-05-28 2006-06-28 株式会社尼康 位置信息测量方法及装置、和曝光方法及装置
JP2004363313A (ja) * 2003-06-04 2004-12-24 Nikon Corp 位置ずれ計測方法および装置、並びに位置ずれ計測用レチクル
US7068363B2 (en) 2003-06-06 2006-06-27 Kla-Tencor Technologies Corp. Systems for inspection of patterned or unpatterned wafers and other specimen
US7061623B2 (en) 2003-08-25 2006-06-13 Spectel Research Corporation Interferometric back focal plane scatterometry with Koehler illumination
JP4331092B2 (ja) * 2003-10-17 2009-09-16 エーエスエムエル ネザーランズ ビー.ブイ. リソグラフィ処理セル、リソグラフィ装置、トラック、及びデバイス製造法
US7283236B2 (en) * 2004-07-02 2007-10-16 Asml Netherlands B.V. Alignment system and lithographic apparatus equipped with such an alignment system
US7791727B2 (en) 2004-08-16 2010-09-07 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US20060109463A1 (en) 2004-11-22 2006-05-25 Asml Netherlands B.V. Latent overlay metrology
US7453577B2 (en) 2004-12-14 2008-11-18 Asml Netherlands B.V. Apparatus and method for inspecting a patterned part of a sample
US7528931B2 (en) * 2004-12-20 2009-05-05 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method

Also Published As

Publication number Publication date
JP2008258593A (ja) 2008-10-23
US20080218767A1 (en) 2008-09-11
US7599064B2 (en) 2009-10-06

Similar Documents

Publication Publication Date Title
JP4751411B2 (ja) オーバーレイを測定する方法
JP4787232B2 (ja) 測定方法、検査装置、およびリソグラフィ装置
JP4778021B2 (ja) インスペクション方法および装置、リソグラフィ装置、リソグラフィ処理セル、ならびにデバイス製造方法
TWI551956B (zh) 檢查方法和裝置,微影裝置,微影製程單元及器件製造方法
JP4980264B2 (ja) 検査方法、デバイス製造方法、検査装置、基板、マスク、リソグラフィ装置、及びリソグラフィセル
JP4812712B2 (ja) 基板の特性を測定する方法及びデバイス測定方法
JP5288808B2 (ja) 測定方法、検査装置およびリソグラフィ装置
JP4672704B2 (ja) 基板のオーバーレイ誤差を測定する方法、基板製造方法、および検査装置
US7605907B2 (en) Method of forming a substrate for use in calibrating a metrology tool, calibration substrate and metrology tool calibration method
US20110141444A1 (en) Inspection Apparatus for Lithography
KR101702376B1 (ko) 패턴 내에 위치된 타겟을 검출하는 리소그래피용 검사 장치
JP2013034013A (ja) 検査装置、リソグラフィ装置、リソグラフィプロセシングセルおよび検査方法
US9255892B2 (en) Substrate, a method of measuring a property, an inspection apparatus and a lithographic apparatus
JP2008042200A (ja) 角度分解分光リソグラフィの特徴付けのための方法および装置
JP2009081436A (ja) オーバレイエラーの測定方法、検査装置及びリソグラフィ装置
US20110028004A1 (en) Inspection Method and Apparatus, Lithographic Apparatus, Lithographic Processing Cell and Device Manufacturing Method
JP5525547B2 (ja) 特性を求める方法
US20110102774A1 (en) Focus Sensor, Inspection Apparatus, Lithographic Apparatus and Control System

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20101115

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20101117

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110217

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20110420

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20110520

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140527

Year of fee payment: 3

LAPS Cancellation because of no payment of annual fees