DE112016001982T5 - Recheneffiziente auf röntgenstrahlgestützte messung des overlays - Google Patents

Recheneffiziente auf röntgenstrahlgestützte messung des overlays Download PDF

Info

Publication number
DE112016001982T5
DE112016001982T5 DE112016001982.3T DE112016001982T DE112016001982T5 DE 112016001982 T5 DE112016001982 T5 DE 112016001982T5 DE 112016001982 T DE112016001982 T DE 112016001982T DE 112016001982 T5 DE112016001982 T5 DE 112016001982T5
Authority
DE
Germany
Prior art keywords
overlay
ray
measurement object
incidence
value
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
DE112016001982.3T
Other languages
English (en)
Inventor
John J. Hench
Andrei Shchegrov
Michael Bakeman
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
KLA Corp
Original Assignee
KLA Tencor Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by KLA Tencor Corp filed Critical KLA Tencor Corp
Publication of DE112016001982T5 publication Critical patent/DE112016001982T5/de
Withdrawn legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N23/00Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00
    • G01N23/20Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by using diffraction of the radiation by the materials, e.g. for investigating crystal structure; by using scattering of the radiation by the materials, e.g. for investigating non-crystalline materials; by using reflection of the radiation by the materials
    • G01N23/207Diffractometry using detectors, e.g. using a probe in a central position and one or more displaceable detectors in circumferential positions
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N23/00Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00
    • G01N23/20Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by using diffraction of the radiation by the materials, e.g. for investigating crystal structure; by using scattering of the radiation by the materials, e.g. for investigating non-crystalline materials; by using reflection of the radiation by the materials
    • G01N23/20008Constructional details of analysers, e.g. characterised by X-ray source, detector or optical system; Accessories therefor; Preparing specimens therefor
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N23/00Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00
    • G01N23/20Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by using diffraction of the radiation by the materials, e.g. for investigating crystal structure; by using scattering of the radiation by the materials, e.g. for investigating non-crystalline materials; by using reflection of the radiation by the materials
    • G01N23/201Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by using diffraction of the radiation by the materials, e.g. for investigating crystal structure; by using scattering of the radiation by the materials, e.g. for investigating non-crystalline materials; by using reflection of the radiation by the materials by measuring small-angle scattering
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0035Multiple processes, e.g. applying a further resist layer on an already in a previously step, processed pattern or textured surface
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
    • GPHYSICS
    • G21NUCLEAR PHYSICS; NUCLEAR ENGINEERING
    • G21KTECHNIQUES FOR HANDLING PARTICLES OR IONISING RADIATION NOT OTHERWISE PROVIDED FOR; IRRADIATION DEVICES; GAMMA RAY OR X-RAY MICROSCOPES
    • G21K1/00Arrangements for handling particles or ionising radiation, e.g. focusing or moderating
    • G21K1/06Arrangements for handling particles or ionising radiation, e.g. focusing or moderating using diffraction, refraction or reflection, e.g. monochromators
    • G21K1/062Devices having a multilayer structure
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N2223/00Investigating materials by wave or particle radiation
    • G01N2223/60Specific applications or type of materials
    • G01N2223/611Specific applications or type of materials patterned objects; electronic devices

Landscapes

  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Pathology (AREA)
  • Analytical Chemistry (AREA)
  • Biochemistry (AREA)
  • General Health & Medical Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Immunology (AREA)
  • Health & Medical Sciences (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Engineering & Computer Science (AREA)
  • General Engineering & Computer Science (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Analysing Materials By The Use Of Radiation (AREA)
  • Length-Measuring Devices Using Wave Or Particle Radiation (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)

Abstract

Verfahren und Systeme zur Bestimmung von Overlay- und Kantenplatzierungsfehlern von Gerätestrukturen auf der Basis von Messdaten mittels Röntgenstrahlbeugung werden vorgestellt. Der Overlay-Fehler zwischen verschiedenen Schichten eines Messobjekts wird abgeschätzt basierend auf der Intensitätsänderung innerhalb jeder Röntgenstrahlbeugungsordnung, die unter mehreren, verschiedenen Einfallswinkeln und Azimutwinkeln gemessen wird. Das Abschätzen des Overlays umfasst eine Parametrisierung der Intensitätsmodulationen von gemeinsamen Ordnungen, so dass eine niederfrequente Formmodulation durch einen Satz von Basisfunktionen beschrieben wird und eine hochfrequente Overlay-Modulation durch eine affine kreisförmige Funktion beschrieben wird, die einen Parameter enthält, der einen Overlay anzeigt. Zusätzlich zum Overlay wird ein Formparameter des Messobjekts auf der Grundlage einer Anpassungsanalyse eines Messmodells für die Intensitäten der gemessenen Beugungsordnungen abgeschätzt. In einigen Beispielen werden das Abschätzen des Overlays und das Abschätzen eines oder mehrerer Formparameterwerte gleichzeitig durchgeführt.

Description

  • PRIORITÄTSANMELDUNGEN
  • Die vorliegende Anmeldung beansprucht die Priorität der provisorischen US-Patentanmeldung 62/154,108 mit dem Titel "Model-Free Method and Apparatus for Measuring Semiconductor Device Overlay Using X-ray Metrology Techniques", angemeldet am 28. April 2015, die durch Bezugnahme in ihrer Gesamtheit hierin aufgenommen ist.
  • TECHNISCHES GEBIET
  • Die beschriebenen Ausführungsformen beziehen sich auf Metrologiesysteme und Metrologieverfahren und insbesondere auf Verfahren und Systeme für eine verbesserte Messgenauigkeit.
  • HINTERGRUND DER ERFINDUNG
  • Halbleiteranordnungen, wie beispielsweise Logik- und Speicheranordnungen, werden typischerweise durch eine Sequenz von Verarbeitungsschritten hergestellt, die auf eine Probe angewendet werden. Die verschiedenen Merkmale und mehreren strukturellen Ebenen der Halbleiteranordnungen werden durch diese Verarbeitungsschritte gebildet. Beispielsweise ist die Lithographie, unter anderen Verfahren, ein Halbleiterherstellungsverfahren, bei dem eine Struktur (Muster) auf einem Halbleiterwafer erzeugt wird. Weitere Beispiele für Halbleiterherstellungsverfahren umfassen, sind jedoch nicht darauf beschränkt, chemisch-mechanisches Polieren, Ätzen, Abscheiden und Ionenimplantation. Mehrere Halbleiteranordnungen können auf einem einzigen Halbleiterwafer hergestellt und dann in einzelne Halbleiteranordnungen getrennt werden.
  • Metrologieverfahren werden in verschiedenen Schritten während eines Halbleiterherstellungsprozesses verwendet, um Defekte auf Wafern zu detektieren, um eine höhere Ausbeute zu fördern. Eine Reihe von Metrologie-basierten Techniken, einschließlich Scatterometrie- und Reflektometrie-Implementierungen und zugehörige Analysealgorithmen, werden üblicherweise verwendet, um kritische Dimensionen, Filmdicken, Zusammensetzung und andere Parameter von Strukturen im Nanobereich zu charakterisieren.
  • Die meisten hochentwickelten Logik- und Speicheranordnungen, die bei Herstellungsknoten für Halbleiteranordnungen von unter 20 Nanometern hergestellt werden, werden unter Verwendung mehrerer Strukturierungsprozesse aufgebaut. Beispielhafte mehrfache Strukturierungsprozesse umfassen eine sich selbstausrichtende doppelte Strukturierung (self-aligned double patterning, SADP), sich selbstausrichtende dreifache Strukturierung (self-aligned triple patterning, SATP) und sich selbstausrichtende vierfache Strukturierung (self-aligned quadruple patterning, SAQP) Techniken.
  • In einem Beispiel erreicht ein SAQP-Rippenbildungsprozess einen Sollabstand, der ein Viertel des Abstandmaßes (Pitch) ist, das mit einer herkömmlichen Lithographie mit einer einzigen Strukturierung erhalten werden kann. In einem Beispiel sind mindestens vierzehn Schritte erforderlich, um die Rippenstrukturen zu erzeugen. Diese Schritte umfassen Lithographie-, Ätz- und Abzugsschritte, die präzise gesteuert werden müssen, um die Rippenstrukturen mit dem gewünschten Abstandmaß und Profil zu realisieren. Die durch den SAQP-Rippenbildungsprozess erzielten finalen Abstandmaße und Rippenprofile (beispielsweise CD, SWA) werden durch strukturelle Parameterwerte aus früheren Schritten (beispielsweise Resistprofilparameter, Abstandsfilmdicken und andere) beeinflusst.
  • Derzeit werden Messungen des Overlays überwiegend unter Verwendung optischer Verfahren durchgeführt, basierend auf entweder optischer Bildgebung oder nicht-bildgebender Beugung (Scatterometrie). Allerdings genügen diese Ansätze nicht solch grundlegenden Herausforderungen, wie sie mit der Messung vieler hochentwickelter Sollvorgaben (beispielsweise komplexe 3D-Strukturen, Strukturen kleiner als 10 nm, Strukturen mit opaken Materialien) und Messanwendungen (beispielsweise Messungen der Linienkantenrauheit und Linienbreitenrauheit) verbunden sind.
  • Da Bauelemente (beispielsweise Logik- und Speicheranordnungen) sich in Richtung immer kleinerer Abmessungen im Nanometerbereich bewegen, wird die Charakterisierung immer schwieriger. Geräte mit komplexer dreidimensionaler Geometrie und Materialien mit unterschiedlichen physikalischen charakteristischen Merkmale tragen zur Schwierigkeit bei der Charakterisierung bei. Beispielsweise sind moderne Speicherstrukturen oft Strukturen mit einem hohen Aspektverhältnis und/oder dreidimensional, die es schwierig machen, dass optische Strahlung in die unteren Schichten eindringt. Optische Metrologiemaschinen, die Licht im Infrarot- bis sichtbaren Bereich verwenden, können viele Schichten von lichtdurchlässigen Materialien durchdringen, aber längere Wellenlängen, die eine gute Eindringtiefe bieten, bieten keine ausreichende Empfindlichkeit gegenüber kleinen Anomalien. Zusätzlich führt die zunehmende Anzahl von Parametern, die erforderlich sind, um komplexe Strukturen (beispielsweise FinFETs) zu charakterisieren, zu einer zunehmenden Parameterkorrelation. Im Ergebnis können die Parameter, die die Sollvorgabe charakterisieren, oftmals nicht mit den verfügbaren Messungen zuverlässig entkoppelt werden. Bei einigen strukturellen Parametern, wie beispielsweise dem Kantenplatzierungsfehler (edge placement error, EPE), gibt es derzeit keine Lösung für das Messen (beispielsweise optische Messung) mit einem hohen Durchsatz.
  • In einem anderen Beispiel werden in modernen Halbleiterstrukturen zunehmend opake, high-k Materialien eingesetzt. Optische Strahlung ist oft nicht in der Lage, Schichten aus diesen Materialien zu durchdringen. Als Ergebnis werden Messungen mit Dünnfilm-Scatterometrie-Maschinen, wie beispielsweise Ellipsometern oder Reflektometern immer schwieriger.
  • In einigen Beispielen wird auch eine optische Overlay-Metrologie verwendet. Allerdings erfordern optische Overlay-Messungen spezielle Messobjekte, um Strukturen zu charakterisieren, die durch mehrere Strukturierungstechniken hergestellt sind. In bestehenden Verfahren wird der Overlay-Fehler typischerweise auf der Grundlage von Messungen von speziellen Sollstrukturen ausgewertet, die an verschiedenen Stellen auf dem Wafer durch ein Lithographiewerkzeug gebildet werden. Die Sollstrukturen können viele Formen annehmen, wie beispielsweise eine Box-in-Box-Struktur. Bei dieser Form wird eine Box auf einer Schicht des Wafers erstellt und eine zweite, kleinere Box wird auf einer anderen Schicht erstellt. Der lokalisierte Overlay-Fehler wird gemessen, indem die Ausrichtung zwischen den Zentren der beiden Boxen verglichen wird. Solche Messungen werden an Stellen auf dem Wafer durchgeführt, wo Sollstrukturen zur Verfügung stehen.
  • Leider entsprechen diese spezialisierten Sollstrukturen oft nicht den Design-Sollvorgaben des jeweiligen Halbleiterherstellungsprozesses, der zur Erzeugung der elektronischen Anordnungen eingesetzt wird. Dies führt zu Fehlern bei der Bewertung von Overlay-Fehlern der tatsächlichen Strukturen der Anordnung, die gemäß den anzuwendenden Design-Sollvorgaben hergestellt werden.
  • In einem Beispiel ist die bildbasierte optische Overlay-Metrologie durch die Auflösung der Bildgebung bei optischen Wellenlängen stark eingeschränkt. So können nur Objekte mit Merkmalen, die viel größer als die Design-Sollvorgabe sind, gemessen werden. Bildbasierte optische Overlay-Metrologie erfordert oft, dass die Struktur mit einem optischen Mikroskop aufgelöst wird, das dicke Linien mit kritischen Dimensionen erfordert, die weit über die kritischen Dimensionen der Design-Sollvorgabe hinausgehen.
  • In einem anderen Beispiel weist die Scatterometrie-basierte optische Overlay-Metrologie auf der Basis der Beugung der 0. Ordnung eine sehr geringe Empfindlichkeit gegenüber kleinen Overlay-Fehlern auf, da die Empfindlichkeit mit dem Abstand der periodischen Objekte abnimmt. Dies führt zu einem Abstandmaß mit viel größeren Abmessungen als die Design-Sollvorgabe der Anordnung. Darüber hinaus verschlechtert sich die Genauigkeit dieses Messansatzes drastisch in Gegenwart irgendeiner Asymmetrie in irgendeiner der Schichten, in denen der Overlay gemessen wird. Darüber hinaus kann dieser Ansatz nicht zwischen positiven und negativen Overlay-Fehlern in einer einzigen Messung unterscheiden.
  • In einem anderen Beispiel erfordern die auf Scatterometrie basierte optische Overlay-Metrologie auf der Basis von Beugungsordnungen, die höher als Null sind, auch relativ große Abstandmaße der Objekte, um ein ausreichendes Signal bei der Ausbreitung der von Null verschiedenen Beugungsordnungen zu erzeugen. In einigen Beispielen können Abstandmaße im Bereich von 500–800 nm verwendet werden. Mittlerweile können tatsächliche Abstandmaße der Anordnungen für Logik- oder Speicheranwendungen (Design-Sollvorgabeabmessungen) viel kleiner sein, beispielsweise im Bereich von 100–400nm oder sogar unter 100 nm. Darüber hinaus verschlechtert sich die Genauigkeit dieses Ansatzes drastisch in Gegenwart irgendeiner Asymmetrie in irgendeiner der Schichten, in denen der Overlay gemessen wird.
  • Rasterkraftmikroskope (atomic force microscopes, AFM) und Rastertunnel-Mikroskope (scanning-tunneling microscopes, STM) sind in der Lage, eine atomare Auflösung zu erreichen, aber sie können nur die Oberfläche der Probe untersuchen. Darüber hinaus benötigen AFM- und STM-Mikroskope lange Abtastzeiten.
  • Rasterelektronenmikroskope (scanning electron microscopes, SEM) erreichen Zwischenauflösungsniveaus, sind jedoch nicht in der Lage, Strukturen in eine ausreichende Tiefe zu durchdringen, ohne die Probe zu zerstören. Somit werden Löcher mit hohem Aspektverhältnis nicht gut charakterisiert. Darüber hinaus hat die erforderliche Aufladung der Probe eine nachteilige Auswirkung auf die Abbildungsleistung.
  • Transmissionselektronenmikroskope (TEM) erreichen hohe Auflösungsniveaus und sind in der Lage, beliebige Tiefen zu untersuchen, aber TEM erfordern eine Teilung der Probe, die die Probe zerstört.
  • In einem anderen Beispiel basiert ein Röntgenstrahl-Overlay-Messverfahren auf der Identifizierung der gebeugten Röntgenenergieumverteilung zwischen den Beugungsordnungen („Lappen“) bei einem festen (senkrechten) Lichteinfall. Dieser Ansatz ist in dem US-Patent Nr. 7,481,579 von Yokhim et al. beschrieben und auf die Jordan Valley Applied Radiation, Ltd. übertragen worden. Diese Größe hat eine relativ geringe Empfindlichkeit gegenüber dem Overlay und korreliert stark mit den geometrischen CD-Parametern, da sie die Intensitätsverteilung innerhalb jeder Beugungsordnung nicht berücksichtigt. So ist entweder ein externes Metrologiesystem oder eine rechnerisch aufwändige Simulation erforderlich, um die Wirkung der CD zu kalibrieren. Jeder dieser Ansätze ist in der Genauigkeit und Präzision aufgrund der hohen Korrelation zwischen CD-Parametern (beispielsweise Asymmetrie) und Overlay eingeschränkt.
  • In einem anderen Beispiel basiert ein Röntgen-Overlay-Messverfahren auf einer Modulation des gemessenen Intensitätssignals, wenn der Wafer um eine Achse gedreht wird, die in der Ebene der Waferoberfläche liegt. Weitere Einzelheiten sind in der US-Patentveröffentlichung Nr. 2015/0117610 A1 von Veldman et al. beschrieben, deren Inhalt hierin durch Bezugnahme in ihrer Gesamtheit aufgenommen wird. In diesem Beispiel wird die gemessene Periodizität in die Dimension senkrecht zur Waferoberfläche projiziert, jedoch nicht in eine Richtung parallel zur Waferoberfläche und senkrecht zur periodischen Dimension.
  • Zusammenfassend ist die Produktion von Halbleiterbauelementen (Halbleiteranordnungen) mit Geräteherstellungsknoten unterhalb von 20 Nanometern für Logikanordnungen und hocheffizientem DRAM und vertikalen oder planaren NAND-Anordnungen eine komplexe Funktion mit vielen Parametern, einschließlich Filmdicken, Profilparameter von strukturierten (gemusterten) Linien, Overlay-Fehlern und Kantenplatzierungsfehlern (EPE). Von diesen hat EPE das anspruchsvollste Prozessfenster und erfordert eine Metrologie und Steuerung von CD und Overlay. Derzeit gibt es keine optische Metrologie-Lösung mit hohem Durchsatz für EPE-Messungen und viele On-Device-Overlay-Messanwendungen. Darüber hinaus macht das Fehlen einer adäquaten Metrologie es herausfordernd, Kontrollsysteme zu definieren, um die Ausbeute der Bauelemente zu verbessern.
  • Zukünftige Metrologie-Anwendungen stellen Herausforderungen für die Metrologie aufgrund Anforderungen an zunehmend kleinere Auflösungen, Multiparameter-Korrelationen, komplexer geometrischer Strukturen und zunehmendem Einsatz von opaken Materialien dar. Somit sind Verfahren und Systeme für verbesserte Overlay- und Formmessungen erwünscht.
  • ZUSAMMENFASSUNG DER ERFINDUNG
  • Verfahren und Systeme zum Bestimmen von Overlay- und Kantenplatzierungsfehlern bezüglich Strukturen und Materialien auf der Grundlage von Messdaten der Röntgenstrahlbeugung werden vorgestellt. Gemäß einem Aspekt werden Messungen der Röntgenstrahlbeugung eines Messobjekts unter einer Anzahl von verschiedenen Einfallswinkeln und Azimutwinkeln durchgeführt, gemessen mit Bezug auf ein Koordinatensystem, das dem Messobjekt zugeordnet ist. Der Overlay-Fehler zwischen verschiedenen Halbleiterschichten eines Messobjekts wird basierend auf der Intensitätsänderung innerhalb jeder Röntgenstrahlbeugungsordnung, die bei mehreren, verschiedenen Einfallswinkeln und mehreren, verschiedenen Azimutwinkeln gemessen wird, abgeschätzt.
  • Die vertikale Stapelung von zwei oder mehr Strukturen in verschiedenen Ebenen des Messobjekts beeinflusst das Röntgenstrahlbeugungssignal in einer starken und einzigartigen Weise, wenn Messungen bei mehreren, verschiedenen Einfallswinkeln und mehreren verschiedenen Azimutwinkeln durchgeführt werden. Somit werden die Werte der Overlay- und Formparameter auf der Grundlage der gemessenen Intensitäten abgeschätzt.
  • Gemäß einem weiteren Aspekt umfasst das Abschätzen des Overlays eine Parametrisierung der Intensitätsmodulationen von gemeinsamen Ordnungen, so dass eine niederfrequente Formmodulation durch einen Satz oder ein Verhältnis von Basisfunktionen beschrieben wird und eine hochfrequente Overlaymodulation durch eine affine kreisförmige Funktion beschrieben wird, die einen Parameter enthält, der den Overlay angibt. Durch die Anpassung von gemessenen Intensitätssignalen an phänomenologische, einfache Funktionen können Overlay-Offsets, die mehreren Schichten (Ebenen) zugeordnet sind, in einer rechnerisch effizienten Weise abgeschätzt werden. Infolgedessen werden die Messungen mit relativ geringen Rechenkosten und ohne externe Referenzmetrologie durchgeführt, wodurch die Einschränkungen der aktuellen Verfahren auf der Basis von SEM, optischer Metrologie oder anderen vorgeschlagenen Röntgen-Metrologie-Techniken überwunden werden.
  • Gemäß einem anderen Aspekt ist ein Satz von Einfallswinkeln und Azimutwinkeln derart gestaltet, dass die räumliche Frequenz der Overlaymodulation verbessert wird, so dass die Empfindlichkeit für den Overlay erhöht und die Korrelation zwischen den Overlay- und Formparametern minimiert wird.
  • Gemäß einem anderen Aspekt wird ein Soll-Overlay bereitgestellt, das eine Empfindlichkeit gegenüber dem Overlay in zwei verschiedenen Richtungen aufweist, basierend auf Messungen der Röntgenstrahlbeugung des Messobjekts, welche Messungen unter einer Anzahl von verschiedenen Einfallswinkeln und Azimutwinkeln durchgeführt werden. In einigen Ausführungsformen umfasst das Overlay-Messobjekt zwei beliebige Schichten einer 2D-periodischen Struktur mit einem Satz gleicher Gitterzahlen in einer Richtung (beispielsweise der x-Richtung) und in einer orthogonalen Richtung (beispielsweise der y-Richtung). In einigen anderen Ausführungsformen umfasst das Overlay-Messobjekt drei oder mehr Schichten. Die erste Schicht umfasst eine periodische 1D-Struktur.
  • Gemäß einem anderen Aspekt wird das Messobjekt zur Bestimmung des Overlays speziell optimiert, um die Unabhängigkeit von Overlaysignalen von jedem der konstituierenden Schichten zu erhöhen und die Präzision und Genauigkeit der Overlay-Messungen zu maximieren.
  • In einigen Ausführungsformen ist ein mehrschichtiges Messobjekt für den Overlay so konzipiert, dass der Satz von Abstandsparametern zwischen jeder Kombination von zwei Schichten unterschiedlich ist und der minimale Trennungsabstand zwischen allen Schichtkombinationen maximiert wird, abhängig von einer Einschränkung auf die Gesamthöhe des Messobjekts.
  • In einigen Ausführungsformen ist ein mehrschichtiges Messobjekt für den Overlay mit unterschiedlichem Abstandmaß (Pitch) an verschiedenen Schichten so konzipiert, dass eine Beugungsordnung, die aus einer Schicht entsteht, konstruktiv mit einer anderen Beugungsordnung einer anderen Schicht interferiert.
  • In einigen Ausführungsformen ist ein mehrschichtiges Messobjekt zur Bestimmung des Overlays mit verschiedenen Abstandsorientierungen an verschiedenen Schichten so konzipiert, dass eine aus einer Schicht entstehende Beugungsordnung konstruktiv mit einer unterschiedlichen Beugungsordnung einer anderen Schicht interferiert.
  • Gemäß einem noch weiteren Aspekt wird ein Wert eines Formparameters einer der Strukturen, die das Messobjekt umfasst, basierend auf einer Anpassungsanalyse der detektierten Intensitäten der Beugungsordnungen mit einem Messmodell abgeschätzt. In einigen Beispielen werden das Abschätzen des Overlays und das Abschätzen eines oder mehrerer Formparameterwerte gleichzeitig durchgeführt.
  • Das Vorstehende ist eine Zusammenfassung und enthält somit notwendigerweise Vereinfachungen, Verallgemeinerungen und Weglassen von Details. Folglich wird der Fachmann erkennen, dass die Zusammenfassung nur illustrativ ist und in keiner Weise beschränkend wirkt. Andere Aspekte, erfinderische Merkmale und Vorteile der hierin beschriebenen Anordnungen und/oder Verfahren werden in der hierin beschriebenen nicht beschränkenden detaillierten Beschreibung offensichtlich.
  • KURZE BESCHREIBUNG DER ZEICHNUNGEN
  • Die zahlreichen Vorteile der Offenbarung können von Fachleuten unter Bezugnahme auf die beigefügten Figuren besser verstanden werden, in denen:
  • 1 eine Perspektivansicht ist, die ein Hartmaskenmuster von Linienstrukturen 11 veranschaulicht, die in einem statischen RAM (SRAM) 10 eines mikroelektronischen Chips hergestellt sind;
  • 2 eine Perspektivansicht ist, die eine untere Schicht 12 einer Antireflexionsbeschichtung (BARC) und eine Resistschicht 13 darstellt, die oben auf dem Muster der in 1 gezeigten Linienstrukturen angeordnet sind;
  • 3 ein Diagramm ist, das eine Ausführungsform einer Metrologiemaschine 100 mit Röntgenstrahlung zum Messen charakteristischer Merkmale einer Probe gemäß den hier vorgestellten beispielhaften Verfahren veranschaulicht;
  • 4 ein Diagramm ist, das eine weitere Ausführungsform einer Metrologiemaschine 200 mit Röntgenstrahlung zum Messen charakteristischer Merkmale einer Probe gemäß den hier vorgestellten beispielhaften Verfahren darstellt;
  • 5 ein Diagramm ist, das eine noch weitere Ausführungsform einer Metrologiemaschine 300 mit Röntgenstrahlung zum Messen charakteristischer Merkmale einer Probe bei einem Modus des streifenden Einfalls gemäß den hier vorgestellten beispielhaften Verfahren darstellt;
  • 6 ein Diagramm ist, das einen Röntgenstrahldetektor 116 für Metrologiesysteme 100, 200 und 300 darstellt, der in einer Vakuumumgebung 162 enthalten ist, die von der Probe 101 getrennt ist;
  • 7 ein Diagramm ist, das einen Wafer 101 darstellt, der ein Messobjekt 120 umfasst, das durch einen Strahl einer Röntgenstrahlung unter einem Einfallswinkel und einem Azimutwinkel beleuchtet wird;
  • 8 ein mehrschichtiges Messobjekt 150 zeigt, das zwei periodische Arrays von Zeilen 151 beziehungsweise 152 in verschiedenen Schichten umfasst;
  • 9 eine Kurve 170 zeigt, die eine Simulation einer Anpassung eines vereinfachten Intensitätsmodells und entsprechender Messwerte der Beugungsordnungen –2 und +2 für einen Bereich von Einfallswinkeln für das in 8 dargestellte Messobjekt anzeigt;
  • 10 eine Draufsicht auf eine Struktur einer Anordnung 400 zeigt, die aktive Felder 401404, Tore 405408 und Kontakte 409421 umfasst;
  • 11 ein Diagramm ist, das ein Modellaufbau- und Analysegerät 180 darstellt, die konfiguriert sind, um einen Overlay basierend auf Modulationen von Intensitätsmessdaten abzuschätzen, wie hierin beschrieben; und
  • 12 ein Flussdiagramm ist, das ein beispielhaftes Verfahren 400 zur Abschätzung eines Overlays auf der Basis von Modulationen von Intensitätsmessdaten, wie hierin beschrieben, veranschaulicht.
  • DETAILLIERTE BESCHREIBUNG DER ZEICHNUNGEN
  • Es wird nun im Detail auf den offenbarten Gegenstand der Erfindung anhand von Hintergrundbeispielen und einigen Ausführungsformen Bezug genommen, die in den beigefügten Zeichnungen dargestellt sind.
  • 1 zeigt ein Hartmaskenmuster von Linienstrukturen 11, die in einem statischen RAM (static random access memory, SRAM) 10 eines mikroelektronischen Chips hergestellt sind. Das komplexe Layout des aktiven Bereichs entsteht durch die Kombination mehrerer Muster-/Strukturierungstechniken mit Schnittmasken. Schnittmasken entfernen selektiv Teile der Hartmaskenschicht, die verwendet wird, um das Substrat in aktive Bereiche zu strukturieren. 2 zeigt eine Antireflexionsbeschichtung (BARC) einer unteren Schicht 12 und eine Resistschicht 13, die oben auf dem Muster der in 1 gezeigten Linienstrukturen angeordnet sind. Die Resistschicht wird verwendet, um selektiv einen Teil des Hartmaskenmusters unterhalb der Öffnungen 14 der Resistschicht 13 zu entfernen. Wie in 1 gezeigt, ist das Hartmaskenmuster der Linienstrukturen 11 von der BARC-Schicht 12 vergraben und sogar auch innerhalb der Öffnungen 14 der Resistschicht 13.
  • Um eine ausreichende Ausbeute für das Schnittmaskenverfahren bereitzustellen, sind zuverlässige Messungen für Formparameter (beispielsweise kritische Dimension (critical dimension, CD), HT, Seitenwandwinkel (side wall angle, SWA), Filmdicken und Overlay erforderlich. Eine Berechnung des Overlays zeigt, dass sie eine Funktion von vielen strukturellen Parametern aus vorherigen Schritten eines Quadrupel-Strukturierungsprozesses ist. Die Verteilung der Lücke zwischen der Kante des Schnittes und der angrenzenden Linienstruktur und damit der Ausbeute des Prozesses hängt von einer komplexen Wechselwirkung aller Prozessparameter ab.
  • In einem anderen Beispiel ist der Kantenplatzierungsabstand (EPD) und der zugehörige Kantenplatzierungsfehler (EPE) ein wichtiger Parameter zum Überwachen und Steuern, nachdem elektrische Kontakte hergestellt sind. Die Differenz zwischen dem gewünschten und dem tatsächlichen EPD heißt EPE. EPD und EPE sind eine Funktion von Overlay- und CD-Fehlern.
  • Es werden Verfahren und Systeme zur Durchführung von Overlay- und Kantenplatzierungsfehlern von Strukturen und Materialien auf der Grundlage von Messdaten zur Röntgenstrahlbeugung vorgestellt. In einigen Ausführungsformen werden Overlay- und Formparameter(beispielsweise CD)-Messungen von Design-Sollvorgaben gleichzeitig durchgeführt. Die hier vorgestellten Verfahren und Systeme können auf zwei- und dreidimensionale Metrologie-Design-Sollvorgaben angewendet werden, die innerhalb oder außerhalb eines funktionalen Dies angeordnet sind. Die hier beschriebenen Messverfahren und Systeme können auf die 2x, 1x und 0x technologische Knoten und auf folgende angewendet werden. Zusätzlich zur Bereitstellung von Overlay-Metrologie-Fähigkeiten erhöhen die hierin beschriebenen Verfahren und Systeme die Präzision und Genauigkeit von Formparametermessungen durch starkes De-Korrelieren geometrischer Parameter der gemessenen Strukturen.
  • Die Verwendung von Röntgenstrahlung mit hoher Helligkeit ermöglicht ein Eindringen von Hochfluss-Röntgenstrahlung in opake Bereiche des Objekts. In einigen Beispielen werden Kleinwinkel-Röntgen-Scatterometrie-(small-angle X-ray scattering, SAXS-)Messungen entweder in Streifeinfall- oder Transmissionseinfall-Konfigurationen verwendet. Eine SAXS-Messung beinhaltet das Beleuchten einer Probe mit einem Röntgenstrahl und das Detektieren der Intensitäten der resultierenden Beugungsordnungen für mehrere Einfallswinkel in Bezug auf die Probe, mehrere Wellenlängen oder beides. Beispiele für messbare geometrische Parameter unter Verwendung von SAXS umfassen Porengröße, Porendichte, Linienkantenrauheit, Linienbreitenrauheit, Seitenwandwinkel, Profil, kritische Dimension, Overlay, Kantenplatzierungsfehler und Abstand (Pitch). Beispiele für messbare Materialparameter umfassen Elektronendichte, elementare Identifizierung und Zusammensetzung. In einigen Beispielen ermöglicht SAXS die Messung von Strukturen, die kleiner als 10 nm sind, sowie von hochentwickelten Halbleiterstrukturen wie Spin-Drehmomentübertragung-MRAM (Magnetoresistive Random Access Memory), bei denen Messungen von geometrischen Parametern und Materialparametern benötigt werden.
  • 3 veranschaulicht eine Ausführungsform einer Metrologiemaschine 100 zum Messen charakteristischer Merkmale einer Probe gemäß den hier vorgestellten beispielhaften Verfahren. Wie in 3 gezeigt, kann das System 100 verwendet werden, um Transmissions-SAXS-Messungen über einen Inspektionsbereich 102 einer Probe 101 durchzuführen, die auf einem Probenpositionierungssystem 140 angeordnet ist. In einigen Ausführungsformen weist der Inspektionsbereich 102 eine Spotgröße von 50 Mikrometern oder weniger auf.
  • In der dargestellten Ausführungsform umfasst die Metrologiemaschine 100 eine Beleuchtungsquelle 110 mit Röntgenstrahlen, die konfiguriert ist, um Röntgenstrahlung zu erzeugen, die für SAXS-Messungen geeignet ist. In einigen Ausführungsformen ist die Beleuchtungsquelle 110 mit Röntgenstrahlen so konfiguriert, dass sie Wellenlängen zwischen 0,01 Nanometern und 1 Nanometer erzeugt. Die Beleuchtungsquelle 110 mit Röntgenstrahlen erzeugt einen Röntgenstrahl 117, der auf den Inspektionsbereich 102 der Probe 101 auftrifft.
  • Im Allgemeinen kann jede geeignete Hochhelligkeits-Beleuchtungsquelle mit Röntgenstrahlen in Betracht gezogen werden, die in der Lage ist, Röntgenstrahlen mit hoher Helligkeit bei solchen Lichtstromniveaus zu erzeugen, die ausreichend sind, um einen hohen Durchsatz zu ermöglichen, wobei Inline-Metrologie in Erwägung gezogen werden kann, um eine Röntgenbeleuchtung für SAXS-Messungen zu liefern. In einigen Ausführungsformen umfasst eine Röntgenstrahlenquelle einen abstimmbaren Monochromator, der es der Röntgenstrahlenquelle ermöglicht, Röntgenstrahlung mit verschiedenen, auswählbaren Wellenlängen zu liefern.
  • In einigen Ausführungsformen werden eine oder mehrere Röntgenstrahlquellen, die Strahlung mit einer Photonenenergie von mehr als 15 keV emittieren, verwendet. Als nicht beschränkendes Beispiel kann jede beliebige Teilchenbeschleunigerquelle, eine flüssige Anodenquelle, eine rotierende Anodenquelle, eine Mikrofokusquelle, eine Mikrofokusrotations-Anodenquelle und eine inverse Compton-Quelle als Röntgenstrahlenquelle 110 verwendet werden. In einem Beispiel kann eine inverse Compton-Quelle, die bei Lyncean Technologies, Inc., Palo Alto, Kalifornien (USA) erhältlich ist, in Betracht gezogen werden. Inverse Compton-Quellen haben einen zusätzlichen Vorteil, dass sie Röntgenstrahlen über einen Bereich von Photonenenergien erzeugen können, wodurch es der Röntgenstrahlenquelle ermöglicht wird, Röntgenstrahlung mit verschiedenen, auswählbaren Wellenlängen zu liefern.
  • Beispielhafte Röntgenstrahlquellen umfassen Elektronenstrahlquellen, die dazu konfiguriert sind, feste oder flüssige Objekte zu bombardieren, um Röntgenstrahlung zu stimulieren. 4 zeigt eine Metrologiemaschine 200 zum Messen charakteristischer Merkmale einer Probe gemäß den hier dargestellten beispielhaften Verfahren. Gleichbezifferte Elemente der Metrologiemaschinen 100 und 200 sind analog. In der Ausführungsform nach 4 ist die Beleuchtungsquelle 110 mit Röntgenstrahlen jedoch ein Röntgenstrahl-Beleuchtungssystem auf der Basis von Flüssigmetall. Ein Flüssigmetallstrahl 119 wird aus einem Behälter 111 für flüssiges Metall erzeugt und in einem Kollektor 112 für flüssiges Metall gesammelt. Ein Zirkulationssystem (nicht gezeigt) für Flüssigmetall gibt flüssiges Metall, das von dem Kollektor 112 gesammelt wurde, an den Behälter 111 für flüssiges Metall zurück. Der Flüssigmetallstrahl 119 enthält ein oder mehrere Elemente. Als nicht einschränkendes Beispiel enthält der Flüssigmetallstrahl 119 irgendein Element von Aluminium, Gallium, Indium, Zinn, Thallium und Bismut. Auf diese Weise erzeugt der Flüssigmetallstrahl 119 Röntgenstrahllinien, die seinen Bestandteilen entsprechen. In einer Ausführungsform umfasst der Flüssigmetallstrahl eine Gallium- und Indium-Legierung. In einigen Ausführungsformen ist das Röntgenstrahl-Beleuchtungssystem 110 so konfiguriert, dass es Wellenlängen zwischen 0,01 Nanometern und 1 Nanometer erzeugt. Eine Elektronenstrahlquelle 113 (beispielsweise Elektronenkanone) erzeugt einen Elektronenstrom 118, der durch eine Elektronenoptik 114 zum Flüssigmetallstrahl 119 gerichtet ist. Eine geeignete Elektronenoptik 114 umfasst Elektromagneten, Permanentmagnete oder eine Kombination von Elektromagneten und Permanentmagneten zum Fokussieren des Elektronenstrahls und zum Richten des Strahls auf den Flüssigmetallstrahl. Die Koinzidenz des Flüssigmetallstrahls 119 und des Elektronenstroms 118 erzeugt einen Röntgenstrahl 117, der auf den Inspektionsbereich 102 der Probe 101 auftrifft.
  • In einer Ausführungsform befindet sich der einfallende Röntgenstrahl 117 an der Indium-Kα-Linie von 24,2 keV. Der Röntgenstrahl wird auf eine Divergenz von bis zu weniger als einem Milliradian unter Verwendung von Mehrschicht-Röntgenoptiken zur Transmission von SAXS-Messungen kollimiert.
  • Verfahren und Systeme zur Erzeugung von Röntgenstrahl-Beleuchtung mit hoher Helligkeit auf Basis von Flüssigmetallen werden in dem US-Patent Nr. 7,929,667 , erteilt am 19. April 2011, an KLA-Tencor Corp., beschrieben, wobei dessen Inhalt hierin durch Bezugnahme in seiner Gesamtheit aufgenommen ist.
  • Wie in 3 dargestellt, formt und richtet eine Röntgenoptik 115 den Röntgenstrahl 117 auf die Probe 101. In einigen Beispielen umfasst die Röntgenoptik 115 einen Röntgenstrahl-Monochromator, um den Röntgenstrahl, der auf die Probe 101 einfällt, zu monochromatisieren. In einem Beispiel wird ein Kristall-Monochromator, wie ein Loxley-Tanner-Bowen-Monochromator, verwendet, um den Strahl der Röntgenstrahlung zu monochromatisieren. In einigen Beispielen kollimiert oder fokussiert die Röntgenoptik 115 den Röntgenstrahl 117 auf den Inspektionsbereich 102 der Probe 101 auf eine Divergenz von weniger als 1 Milliradian unter Verwendung von mehrschichtiger Röntgenoptik. In einigen Ausführungsformen umfasst die Röntgenoptik 115 einen oder mehrere Röntgenstrahl-Kollimationsspiegel, Röntgenstrahlenöffnungen, Röntgenstrahlstopps, refraktive Röntgenstrahloptiken, diffraktive Optiken, wie beispielsweise Zonenplatten, spiegelnde Röntgenstrahloptiken, wie beispielsweise Ellipsoidspiegel mit streifendem Lichteinfall, Polykapillaroptiken wie hohle kapillare Röntgenwellenleiter, mehrschichtige Optiken oder Systeme oder eine beliebige Kombination davon.
  • Der Röntgenstrahldetektor 116 sammelt Röntgenstrahlung 125, die von der Probe 101 gestreut wird, und erzeugt ein Ausgangssignal 126, das die charakteristischen Merkmale der Probe 101 anzeigt, die für die einfallende Röntgenstrahlung gemäß einer SAXS-Messmodalität empfindlich sind. In einigen Ausführungsformen werden gestreute Röntgenstrahlen 125 durch den Röntgenstrahldetektor 116 gesammelt, während das Probenpositionierungssystem 140 die Probe 101 lokalisiert und ausrichtet, um winklig aufgelöste gestreute Röntgenstrahlen zu erzeugen. In einigen Ausführungsformen ist der Röntgenstrahldetektor 116 in der Lage, eine oder mehrere Röntgenphotonenenergien aufzulösen und erzeugt Signale für jede Röntgenstrahlenergiekomponente, die die charakteristischen Merkmale der Probe anzeigt. In einigen Ausführungsformen umfasst der Röntgenstrahldetektor 116 irgendeines von einem CCD-Array, einer Mikrokanalplatte, einer Photodiodenanordnung, einem Mikrostreifen-Proportionalzähler, einem gasgefüllten Proportionalzähler, einem Szintillator oder einem fluoreszierenden Material. In einigen Ausführungsformen umfasst der Röntgenstrahldetektor 116 einen einzigen Photonenzähldetektor, der die Position und die Anzahl der detektierten Photonen erfasst.
  • In einigen Ausführungsformen wird der Röntgenstrahldetektor 116 in der gleichen atmosphärischen Umgebung wie die Probe 101 gehalten, beispielsweise in einer Gasreinigungsumgebung. Jedoch ist in einigen Ausführungsformen der Abstand zwischen der Probe 101 und dem Röntgenstrahldetektor 116 übermäßig lang (beispielsweise größer als ein Meter). Bei diesen Ausführungsformen tragen Umgebungsstörungen (beispielsweise Luftturbulenz) zu einem Rauschen hinsichtlich der detektierten Signale bei. Daher wird in einigen Ausführungsformen ein oder mehrere der Röntgenstrahldetektoren in einer lokalisierten Vakuumumgebung gehalten, die von der Probe (beispielsweise Probe 101) durch ein Vakuumfenster getrennt ist.
  • 6 ist ein Diagramm, das eine Vakuumkammer 160 zeigt, die in einer Ausführungsform einen Röntgenstrahldetektor 116 umfasst. In einer bevorzugten Ausführungsform umfasst die Vakuumkammer 160 einen wesentlichen Abschnitt des Pfades zwischen der Probe 101 und dem Röntgenstrahldetektor 116. Eine Öffnung der Vakuumkammer 160 ist durch ein Vakuumfenster 161 bedeckt. Das Vakuumfenster 161 kann aus einem beliebigen geeigneten Material hergestellt sein, das im Wesentlichen transparent für Röntgenstrahlung ist (beispielsweise Beryllium). Die gestreute Röntgenstrahlung 125 verläuft durch das Vakuumfenster 161, tritt in die Vakuumkammer 160 ein und tritt auf den Röntgenstrahldetektor 116 auf. Eine geeignete Vakuumumgebung 162 wird in der Vakuumkammer 160 gehalten, um Störungen der gestreuten Röntgenstrahlung 125 zu minimieren.
  • 5 veranschaulicht ein Metrologiesystem mit Röntgenstrahlung 300 zum Durchführen von Metrologie-Messungen von Halbleitern gemäß den hierin beschriebenen Verfahren. Wie in 5 dargestellt, umfasst das Röntgenstrahl-Metrologiesystem 300 ähnliche, gleichbezifferte Elemente, die bereits unter Bezugnahme auf 3 und 4 beschrieben wurden. Das Röntgenstrahl-Metrologiesystem 300 arbeitet jedoch in einem Modus des streifenden Einfalls. Genauer gesagt, ist das Röntgenstrahl-Metrologiesystem 300 als ein Messsystem mit Röntgenkleinwinkelstreuung unter streifendem Einfall (grazing-incidence small-angle X-ray scattering, GISAXS) konfiguriert. Typische Einfalls- und Sammelwinkel sind etwa ein Grad, gemessen von der Oberfläche der Probe oder etwa neunundachtzig Grad von einer Achse, die senkrecht zur Oberfläche der Probe ist. Das Röntgenstrahl-Metrologiesystem 300 ist so konfiguriert, dass Röntgenstrahlen, die von der Probe gestreut werden, durch einen Detektor gesammelt werden, während ein Probenhalter (nicht gezeigt) die Probe positioniert. Zusätzlich können beliebige andere Partikel, die während der Interaktion erzeugt werden, wie Photoelektronen, durch Fluoreszenz erzeugte Röntgenstrahlen oder Ionen detektiert werden. Metrologiesysteme, die für die Durchführung von GISAXS-Messungen konfiguriert sind, erfordern eine Röntgenstrahlquelle mit hoher Helligkeit, um eine ausreichende Helligkeit über die relativ große Probenfläche zu erhalten, die unter kleinen Winkeln beleuchtet wird. Aus diesem Grund ist eine Beleuchtungsquelle 110 mit Röntgenstrahlen auf Basis von Flüssigmetall besonders gut für GISAXS-Messungen geeignet, wie sie unter Bezugnahme auf 4 beschrieben wird.
  • Als nicht einschränkendes Beispiel werden die in den 2 und 4 dargestellten Röntgenstrahl-Metrologiesysteme 100 und 200 jeweils als Messgerät mit Röntgenstrahlstreuung unter Transmissions-Kleinwinkel (transmission small-angle X-ray scatterometer, TSAXS) und das in 5 dargestellte Röntgenstrahl-Metrologiesystem 300 als ein GISAXS-Scatterometer konfiguriert. Jedoch kann im Allgemeinen ein Röntgenstrahl-Metrologiesystem, das konfiguriert ist, um diffraktionsbasierte Overlay-Messungen und Formparametermessungen durchzuführen, wie hierin beschrieben, eine beliebige oder mehrere beliebige der folgenden Metrologietechniken verwenden: Röntgenstrahlstreuung unter Transmissions-Kleinwinkel (transmission small-angle X-ray scattering, TSAXS), Röntgenkleinwinkelstreuung unter streifendem Einfall (grazing-incidence small-angle X-ray scattering, GISAXS), Röntgenstrahlstreuung unter Weitwinkel (wide-angle X-ray scattering, WAXS), Röntendiffraktometrie (XRD, Röntgenstrahlbeugungsanalyse), streifendem Einfall (grazing-incidence X-ray diffraction, GIXRD) und Röntgenstrahlbeugung unter hoher Auflösung (high resolution X-ray diffraction, HRXRD).
  • Die Metrologiemaschine 100 umfasst auch ein Computersystem 130, das verwendet wird, um Signale 126 zu erfassen, die durch den SAXS-Detektor 116 erzeugt werden, und um die charakteristischen Merkmale der Probe, zumindest teilweise auf den erfassten Signalen basierend, zu bestimmen. Wie in 3 dargestellt, ist das Computersystem 130 kommunikativ mit dem SAXS-Detektor 116 gekoppelt.
  • In einer weiteren Ausführungsform ist das Computersystem 130 so konfiguriert, dass es auf Modellparameter in Echtzeit zugreift, indem es Real Time Critical Dimensioning (RTCD) verwendet, oder es kann auf Bibliotheken von vorberechneten Modellen zur Bestimmung eines Wertes von mindestens einem Parameterwert für die Probe zugreifen, welcher Parameterwert der Probe 101 zugeordnet ist. Im Allgemeinen kann eine beliebige Form einer CD-Engine verwendet werden, um die Differenz zwischen zugeordneten CD-Parametern einer Probe und CD-Parametern, die der gemessenen Probe zugeordnet sind, zu bewerten. Beispielhafte Verfahren und Systeme zum Berechnen von Probenparameterwerten sind in dem US-Patent Nr. 7,826,071 , erteilt am 2. November 2010, an KLA-Tencor Corp., beschrieben, dessen Inhalt hierin durch Bezugnahme in seiner Gesamtheit aufgenommen ist. Zusätzliche Details bezüglich röntgenbasierter Messungen von Halbleiterstrukturen sind in der US-Patentveröffentlichung Nr. 2013/0304424 und der US-Patentveröffentlichung Nr. 2015/0110249 vorgesehen, deren Inhalte hierin durch Bezugnahme in ihrer Gesamtheit aufgenommen sind.
  • Gemäß einem weiteren Aspekt umfasst die Metrologiemaschine 100 ein Computersystem (beispielsweise ein Computersystem 130), das so konfiguriert ist, dass es eine Strahlsteuerungsfunktionalität implementiert, wie hierin beschrieben. Bei der in 3 gezeigten Ausführungsform ist das Computersystem 130 als eine Strahlsteuerung konfiguriert, die betreibbar ist, um eine beliebige Beleuchtungseigenschaft wie Intensität, Divergenz, Spotgröße, Polarisation, Spektrum und Positionierung des einfallenden SAXS-Beleuchtungsstrahls 117 zu steuern.
  • Wie in 3 gezeigt ist, ist das Computersystem 130 kommunikativ mit dem SAXS-Detektor 116 gekoppelt. Das Computersystem 130 ist so konfiguriert, dass es Messdaten 126 vom SAXS-Detektor 116 empfängt. In einem Beispiel enthalten die Messdaten 126 eine Angabe der gemessenen SAXS-Antwort der Probe (das heißt Intensitäten der Beugungsordnungen). Basierend auf der Verteilung der gemessenen SAXS-Antwort auf der Oberfläche des Detektors 116 wird die Position und der Bereich des Auftretens des SAXS-Beleuchtungsstrahls 117 auf der Probe 101 durch das Computersystem 130 bestimmt. In einem Beispiel werden Erkennungstechniken für Strukturen durch das Computersystem 130 angewendet, um den Ort und den Bereich des Auftretens des SAXS-Beleuchtungsstrahls 117 auf der Probe 101 auf Basis der Messdaten 126 zu bestimmen. In einigen Beispielen kommuniziert das Computersystem 130 das Befehlssignal 137 an die Beleuchtungsoptik 115, um die gewünschte Beleuchtungswellenlänge auszuwählen und den SAXS-Beleuchtungsstrahl 117 umzuleiten und neu zu gestalten, so dass der einfallende SAXS-Beleuchtungsstrahl 117 an der gewünschten Stelle mit der gewünschten Winkelorientierung in Bezug auf die Probe 101 ankommt. In einigen anderen Beispielen kommuniziert das Computersystem 130 ein Befehlssignal an das Waferpositionierungssystem 140, um die Probe 101 so zu positionieren und zu orientieren, dass ein einfallender SAXS-Beleuchtungsstrahl 117 an der gewünschten Stelle mit der gewünschten Winkelorientierung in Bezug auf die Probe 101 ankommt. In einigen anderen Beispielen kommuniziert das Computersystem 130 ein Befehlssignal 137 an die Beleuchtungsquelle 110 mit Röntgenstrahlen, um die gewünschte Beleuchtungswellenlänge auszuwählen und den SAXS-Beleuchtungsstrahl 117 umzuleiten und neu zu formen, so dass ein einfallender SAXS-Beleuchtungsstrahl 117 an der gewünschten Stelle mit der gewünschten Winkelorientierung in Bezug auf die Probe 101 ankommt.
  • Gemäß einem Aspekt werden Messungen zur Röntgenstrahlbeugung eines Messobjekts (Metrologieziels, Metrologie-Sollvorgabe) bei einer Anzahl von verschiedenen Einfallswinkeln und Azimutwinkeln durchgeführt. 7 zeigt einen Wafer 101 mit einem Messobjekt 120. Eine Beleuchtungsquelle mit Röntgenstrahlen beleuchtet das Messobjekt 120 mit einem Strahl einer Röntgenstrahlung 117 unter einem Einfallswinkel AOI und einem Azimutwinkel Az. Der Einfallswinkel und der Azimutwinkel des Strahls einfallender Röntgenstrahlung sind in Bezug auf ein der Probe 101 fest zugeordnetes Koordinatensystem {BX, BY, BZ} definiert. Wie in 7 dargestellt, umfasst das Messobjekt 120 eine Gitterstruktur, die sich in der BY-Richtung erstreckt und in der BX-Richtung periodisch ist. Der Einfallswinkel ist definiert als der Winkel der Projektion des einfallenden Strahls auf die BX-BZ-Ebene in Bezug auf die BZ-Achse. In diesem Sinne können Änderungen des Einfallswinkels als eine Drehung des Wafers 101 um die BY-Achse in der Ebene mit dem Wafer 101 angesehen werden. In ähnlicher Weise ist der Azimutwinkel als der Winkel der Projektion des einfallenden Strahls auf die BX-BY-Ebene in Bezug auf die BX-Achse definiert. In diesem Sinne können Änderungen des Azimutwinkels als eine Drehung des Wafers 101 um die BZ-Achse, die senkrecht zum Wafer 101 ist, betrachtet werden.
  • Wie in 3 gezeigt ist, umfasst die Metrologiemaschine 100 ein Probenpositionierungssystem 140, das so konfiguriert ist, dass es die Probe 101 ausrichtet und die Probe 101 über einen großen Bereich von außerhalb der ebenen Winkelorientierungen in Bezug auf das SAXS-Scatterometer orientiert. Mit anderen Worten ist das Probenpositionierungssystem 140 konfiguriert, um die Probe 101 über einen großen Winkelbereich um eine oder mehrere in der Ebene und senkrecht zur Oberfläche der Probe 101 ausgerichtete Drehachsen zu drehen. In einigen Ausführungsformen ist das Probenpositionierungssystem 140 so konfiguriert, die Probe 101 in einem Bereich von mindestens 90 Grad um eine oder mehrere in der Ebene ausgerichtete und senkrecht zur Oberfläche der Probe 101 ausgerichtete Drehachsen drehen. Auf diese Weise werden winkelaufgelöste Messungen der Probe 101 durch das Metrologiesystem 100 über eine beliebige Anzahl von Stellen auf der Oberfläche der Probe 101 gesammelt. In einem Beispiel kommuniziert das Computersystem 130 Befehlssignale an eine Bewegungssteuerung 145 des Probenpositionierungssystems 140, die die gewünschte Position der Probe 101 angeben. Als Reaktion erzeugt die Bewegungssteuerung 145 Befehlssignale für die verschiedenen Aktuatoren des Probenpositionierungssystems 140, um die gewünschte Positionierung der Probe 101 zu erreichen.
  • Wie in 3 gezeigt, umfasst in einem nicht einschränkenden Beispiel das Probenpositionierungssystem 140 eine Kantengriff-Spanneinrichtung 141, um die Probe 101 fest an dem Probenpositionierungssystem 140 zu befestigen. Ein Drehaktuator 142 ist konfiguriert, um die Kantengriff-Spanneinrichtung 141 und die befestigte Probe 101 in Bezug auf einen Perimeterrahmen 143 zu drehen. Bei der dargestellten Ausführungsform ist der Drehaktuator 142 so konfiguriert, dass er die Probe 101 um die x-Achse des in 3 dargestellten Koordinatensystems 146 dreht. Wie in 3 dargestellt, ist eine Drehung der Probe 101 um die z-Achse eine Drehung um die Oberflächennormale der Probe 101. Drehungen um die x-Achse und die y-Achse (nicht gezeigt) sind Drehungen außerhalb der Ebene der Probe 101 und kippen tatsächlich die Oberfläche der Probe in Bezug auf die Metrologieelemente des Metrologiesystems 100. Obwohl nicht dargestellt, ist ein zweiter Drehaktuator konfiguriert, um die Probe 101 um die y-Achse zu drehen. Ein linearer Aktuator 144 ist konfiguriert, um den Perimeterrahmen 143 in der x-Richtung zu verschieben. Ein weiterer linearer Aktuator (nicht gezeigt) ist konfiguriert, um den Perimeterrahmen 143 in der y-Richtung zu verschieben. Auf diese Weise ist jede Stelle auf der Oberfläche der Probe 101 zur Messung über einen Rotationsbereich um die Achsen des Koordinatensystems {BX, BY, BZ}, das der Probe 101 fest zugeordnet ist, verfügbar.
  • Im Allgemeinen kann das Probenpositionierungssystem 140 jede geeignete Kombination mechanischer Elemente umfassen, um die gewünschte lineare Positionierungsleistung und gewünschte Winkel-Positionierungsleistung zu erreichen, einschließlich, jedoch nicht darauf beschränkt, Goniometerstufen, Hexapodstufen, Winkelstufen und lineare Stufen.
  • Bei den in 35 dargestellten Ausführungsformen ist ein einziger Strahl einfallender Röntgenstrahlung dargestellt. Die Orientierung des einzelnen Strahls in Bezug auf den Wafer ist durch einen einzigen Einfallswinkel und Azimutwinkel definiert. Bei Ausführungsformen, die einen einzigen Beleuchtungsstrahl verwenden, werden Messungen zur Röntgenstrahlbeugung, die mehreren verschiedenen Einfallswinkeln und Azimuthwinkeln zugeordnet sind, nacheinander durchgeführt. Allerdings können im Allgemeinen Röntgenstrahlbeugungsmessungen, die mehreren, verschiedenen Einfallswinkeln und Azimutwinkeln zugeordnet sind, gleichzeitig durchgeführt werden. In einigen Ausführungsformen können eine oder mehrere Röntgenstrahlquellen und ein oder mehrere Sätze von Röntgenoptiken verwendet werden, so dass das Messobjekt gleichzeitig aus mehreren Richtungen beleuchtet wird, entweder diskret oder kontinuierlich im Einfallswinkel und im Azimutwinkel.
  • Wie oben beschrieben, werden Röntgenstrahlbeugungsmessungen eines Messobjekts bei einer Anzahl von verschiedenen Einfallswinkeln und Azimutwinkeln durchgeführt. Gemäß einem weiteren Aspekt wird der Overlay-Fehler zwischen verschiedenen Halbleiterschichten eines Messobjekts basierend auf der Intensitätsänderung innerhalb jeder Röntgenstrahlbeugungsordnung, die bei mehreren, verschiedenen Einfallswinkeln und mehreren, verschiedenen Azimutwinkeln gemessen wird, abgeschätzt.
  • Die vertikale Stapelung von zwei oder mehr Strukturen in verschiedenen Schichten des Messobjekts beeinflusst das Röntgenstrahlbeugungssignal in einer starken und einzigartigen Weise, wenn Messungen bei mehreren, verschiedenen Einfallswinkeln und mehreren verschiedenen Azimutwinkeln durchgeführt werden. Somit können die Werte der Overlay- und Formparameter auf der Grundlage der gemessenen Intensitäten abgeschätzt werden.
  • Gemäß einem weiteren Aspekt umfasst das Abschätzen des Overlays eine Parametrisierung der Intensitätsmodulationen von gemeinsamen Ordnungen, so dass eine niederfrequente Formmodulation durch einen Satz oder ein Verhältnis von Basisfunktionen beschrieben wird und eine hochfrequente Overlaymodulation durch eine affine kreisförmige Funktion beschrieben wird, die einen Parameter enthält, der den Overlay angibt.
  • In einem Beispiel wird die Parametrisierung aus einer Analyse eines kanonischen Overlay-Problems abgeleitet. 8 zeigt ein geschichtetes Messobjekt 150, das zwei periodische Arrays von Zeilen 151 beziehungsweise 152 umfasst, die jeweils eine Periodizität P haben. Die Zeilen-Arrays sind vertikal um einen Abstand S voneinander getrennt, und die Zeilen-Arrays sind um einen Overlay-Abstand D versetzt. Die Höhe und Breite jedes der Zeilen-Arrays sind durch die Parameter H beziehungsweise W gegeben.
  • Zusätzlich ist die Elektronendichte der oberen Zeile durch den Parameter δ0 und die der unteren Zeile durch δ1 gegeben. Das Messobjekt 150 wird durch einen Strahl einer Röntgenstrahlung mit einer Wellenlänge λ beleuchtet. Der Strahl der einfallenden Röntgenstrahlung trifft auf das Messobjekt unter einem Einfallswinkel θ und einem Azimutwinkel φ, wobei φ = 0 ist, wenn die Projektion des Lichtstrahls senkrecht zur Periodizität des Gitters ist. Für ein solches Gitter definieren wir die Wellenlängenzahl als k0 = 2π/λ und die Gitterzahl in der 1D-periodischen Richtung als kx = 2π/P, wobei m die Ordnungszahl ist. Der Einfallswinkel wird von der Achse gemessen, die senkrecht zum Wafer ist.
  • Eine Analyse der Beugungsintensität liefert eine Annäherung für die Intensität jeder Ordnung, wie in Gleichung (1) dargestellt.
    Figure DE112016001982T5_0002
  • Gleichung (1) zeigt, dass es nicht nur eine Modulation der Ordnungsintensität durch Ändern des Einfallswinkels θ, sondern auch durch Ändern des Azimutwinkels φ gibt. Anders gesagt, können wir von der Projektion der gitterperiodischen Dimension, die mit BX ausgerichtet ist, in die Richtung, die mit BZ ausgerichtet ist, durch Ändern des Einfallswinkels eine Modulation der Beugungsordnungen erwarten. Darüber hinaus können wir von der Projektion der gitterperiodischen Dimension, die mit BX ausgerichtet ist, in die Richtung, die mit BY ausgerichtet ist, durch Ändern des Azimutwinkels eine Modulation der Beugungsordnungen erwarten. Zusätzlich können Änderungen des Einfallswinkels und des Azimutwinkels koordiniert werden, um das Overlaysignal zu akzentuieren. Zum Beispiel kann die Änderung des Azimutwinkels die Form- und Overlaymodulation aufgrund von Änderungen des Einfallswinkels durch den Skalierungsfaktor cos (φ) verlangsamen. Schließlich zeigt die Gleichung (1) auch, dass die Modulation der Ordnungsintensität aufgrund der Formparameter W und H typischerweise eine niedrige räumliche Frequenz relativ zu der durch den letzten Term der Gleichung (1) beschriebenen Overlaymodulation aufweist.
  • Aufgrund der relativ geringen räumlichen Frequenzmodulation aufgrund der Form kann diese Modulation durch ein Polynom niedriger Ordnung, beispielsweise eine lineare oder quadratische Funktion, modelliert werden. Die Modulation aufgrund des Trennungsabstands S und Overlay-Abstands D kann dann durch den in Gleichung (1) dargestellten Cosinus-Term dargestellt werden. Daher nimmt ein vereinfachtes Modell der Intensität für jede Ordnung eine additive oder multiplikative Form an, wie durch die Gleichungen (2a) beziehungsweise (2b) dargestellt.
    Figure DE112016001982T5_0003
  • Die durch den ersten Term der Gleichung (2a) definierte Formfunktion und der erste Faktor der Gleichung (2b) modellieren die Formmodulation als eine lineare Kombination von Basisfunktionen θj, gewichtet nach den Parametern aj, ohne explizite Kenntnis der Form. Wie in den Gleichungen (2a) und (2b) dargestellt, wird eine monomische Basis verwendet, um die Formänderung zu beschreiben. Im Allgemeinen kann jedoch eine beliebige Zusammenstellung von Polynomen, rationalen Termen oder ein Basissatz beliebiger Art verwendet werden.
  • Der Parameter b definiert die Modulationstiefe. Die Parameter D und S definieren das Overlay. Durch Ändern des Einfallswinkels, des Azimutwinkels oder dieser beiden Winkel können die resultierenden Daten für eine beliebige Ordnung an die Parameter aj, b, D und S unter Verwendung einer beliebigen geeigneten Kurvenanpassungsroutine angepasst werden. Der Overlay erfolgt durch die Anpassung des Parameters D.
  • Das vorstehend beschriebene vereinfachte Modell für die Overlaymessung veranschaulicht einen phänomenologischen Ansatz zur Modellierung der Intensitätsänderungen von Beugungsordnungen auf der Grundlage von Änderungen des Einfallswinkels und des Azimutwinkels. Im Allgemeinen kann das Modell auf anderen Wellenformen und nicht-polynomischen Basisfunktionen basieren.
  • Durch Anpassen von gemessenen Intensitätssignalen an phänomenologische, einfache Funktionen können Overlayverschiebungen, die mehreren Schichten zugeordnet sind, in einer rechnerisch effizienten Weise abgeschätzt werden. Infolgedessen werden die Messungen mit relativ geringen Rechenkosten und ohne externe Referenzmesstechnik durchgeführt, wodurch die Beschränkungen der aktuellen Verfahren auf der Basis von SEM, optischer Metrologie oder anderen vorgeschlagenen Röntgen-Metrologie-Techniken überwunden werden.
  • 9 zeigt eine Kurve 170, die eine Simulation der Anpassungsergebnisse für das in 8 dargestellte Messobjekt 150 anzeigt. Die Kurvenlinie 171 stellt eine Simulation der normalisierten Intensität der Beugungsordnung –2 für einen Bereich von Einfallswinkeln dar. Die Kurvenlinie 172 stellt eine Simulation der normierten Intensität der Beugungsordnung +2 für den gleichen Bereich von Einfallswinkeln dar. Die Kurvenlinie 173 stellt die Ergebnisse einer Anpassung der simulierten Beugungsintensitäten durch ein Modell vom Typ gemäß der Gleichung (2) dar. Wie in 9 gezeigt, liefert das mit Bezug auf Gleichung (2) beschriebene vereinfachte Modell eine nahe Anpassung an die simulierten Intensitätswerte.
  • Wie durch Gleichung (2) veranschaulicht, ist die Overlaymodulation eine gerade Funktion bezüglich der Beugungsordnung. So können Daten aus positiven und negativen Ordnungen gemittelt oder gemeinsam angepasst werden. Darüber hinaus können mehrere Ordnungen gemeinsam angepasst werden. In einigen Beispielen können verschiedene Bereiche im Winkelraum für jede unterschiedliche Beugungsordnung verwendet werden.
  • Bei dem in 1 gezeigten Computersystem 130 ist dieses als ein Modellaufbau- und Analysegerät 180 konfiguriert und betreibbar, um die Modellaufbau- und -analysefunktionalität, wie hierin beschrieben, zu implementieren.
  • 11 ist ein Diagramm, das ein Modellaufbau- und Analysegerät 180 darstellt, die konfiguriert ist, um einen Overlay basierend auf Modulationen von Intensitätsmessdaten, wie hierin beschrieben, abzuschätzen. Wie in 11 gezeigt, umfasst das Modellaufbau- und Analysegerät 180 ein Overlaymodellaufbau-Modul 181, das ein vereinfachtes Modell 122 für Overlaymessungen erzeugt, wie beispielsweise das unter Bezugnahme auf die Gleichung (2a) oder (2b) beschriebene Modell. Das Modell 122 erfasst die Intensitätsvariationen verschiedener Beugungsordnungen auf der Grundlage von Änderungen des Einfallswinkels und des Azimutwinkels.
  • Ein Anpassungsanalysemodul 183 empfängt die Messsignale 126, die die gemessenen Intensitäten der Beugungsordnungen angeben, und schätzt den Overlay des gemessenen Messobjekts auf der Grundlage der gemessenen Daten ab. In einigen Beispielen ist die Anpassungsanalyse eine iterative Optimierung, die die Minimierung der Unterschiede zwischen den gemessenen Intensitäten der Beugungsordnungen und den berechneten Intensitäten umfasst.
  • Nach der Konvergenz der iterativen Optimierung wird der abgeschätzte Overlaywert s des gemessenen Messobjekts für den Overlay 185 in einem Speicher 190 gespeichert.
  • Gemäß einem weiteren Aspekt ist ein Satz von Einfallswinkeln und Azimutwinkeln derart gestaltet, dass die räumliche Frequenz der Overlaymodulation verbessert wird, so dass die Empfindlichkeit gegenüber dem Overlay erhöht und die Korrelation zwischen den Overlay- und Formparametern minimiert wird. In einigen Beispielen werden die Intensitätssignale gesammelt und mit einer begrenzten Anzahl von Einfallswinkeln und Azimutwinkeln analysiert.
  • In einigen Ausführungsformen wird eine rekursive Optimierung eines physikalischen Modells der Röntgenstrahlbeugungsmessung verwendet, um zu einem Satz von Einfallswinkeln und Azimutwinkeln zu gelangen, die eine maximale Empfindlichkeit gegenüber dem Overlay erreichen und die Korrelation zwischen den Overlay- und Formparametern minimieren.
  • Gemäß einem noch weiteren Aspekt wird ein Soll-Overlay bereitgestellt, das eine Empfindlichkeit gegenüber Overlay in zwei verschiedenen Richtungen aufweist, basierend auf Röntgenstrahlbeugungsmessungen des Messobjekts, das bei einer Anzahl von verschiedenen Einfallswinkeln und Azimutwinkeln durchgeführt wird. Für eine einfache orthogonale 2D-periodische Struktur kann die Gleichung (2) um zwei unabhängige Modulationsfaktoren erweitert werden, wie in Gleichung (3) dargestellt. I = M(θ, φ) + (1 + bcos(mkx(Dx + Stanθcosφ))cos(mky(Dy + Stanθsinφ))) (3a) I = M(θ, φ)·(1 + bcos(mkx(Dx + Stanθcosφ))cos(mky(Dy + Stanθsinφ))) (3b)
  • In einigen Ausführungsformen umfasst das Messobjekt für den Overlay zwei beliebige Schichten einer 2D-periodischen Struktur mit einem Satz gleicher Gitterzahlen in einer Richtung (beispielsweise der x-Richtung) und in einer orthogonalen Richtung (beispielsweise der y-Richtung).
  • In einigen anderen Ausführungsformen umfasst das Messobjekt für den Overlay drei Schichten. Die erste Schicht umfasst eine 1D-periodische Struktur. Die zweite Schicht umfasst eine weitere 1D-periodische Struktur, die in einem Winkel (beispielsweise orthogonal) in Bezug auf die in der ersten Schicht befindliche 1D-periodische Struktur orientiert ist. Die dritte Schicht umfasst eine 2D-periodische Struktur (beispielsweise ein Array von Posts). Die Periodizität des 2D-Gitters weist Wellenvektoren auf, die mit den darunter liegenden 1D-periodischen Strukturen übereinstimmen. Auf diese Weise wird der Overlay, der den zwei verschiedenen Richtungen (beispielsweise x- und y-Richtungen) zugeordnet ist, unabhängig abgeschätzt. Zum Beispiel werden in einer Richtung die Ordnungen mit gemeinsamen Gitterzahlen zwischen den ersten und dritten Schichten analysiert, als ob sie mit einem einzigen Modulationsfaktor moduliert würden. Für die andere Richtung werden die Ordnungen mit gemeinsamen Gitterzahlen zwischen der zweiten und der dritten Schicht analysiert, als ob sie mit einem anderen Modulationsfaktor moduliert würden. In einem anderen Beispiel können die Overlays gemeinsam abgeschätzt werden, da beide Modulationsfaktoren den Parameter S enthalten. In einem anderen Beispiel können beide Overlay-Werte durch eine einzige Änderung des Einfallswinkels abgeschätzt werden, wenn überkreuzte Gitter in der ersten und zweiten Schicht verwendet werden, vorausgesetzt, dass der Azimutwinkel weder null noch neunzig Grad ist.
  • In einigen anderen Ausführungsformen umfasst das Messobjekt für den Overlay vier Schichten, die zwei Sätze von 1D-periodischen Gittern umfassen, von denen der Overlay in beiden Richtungen gemessen wird.
  • Im Allgemeinen gibt es keine theoretische Grenze für die Anzahl von Schichten und Overlayparametern, die auf der Grundlage von Röntgenstrahlbeugungsmessungen, die bei mehreren, verschiedenen Einfallswinkeln und Azimutwinkeln durchgeführt werden, abgeschätzt werden können. Die in den Gleichungen (2) und (3) dargestellte Interferenzmodulation zeigt an, dass bei einer Eindeutigkeit des Abstandparameters S zwischen zwei beliebigen Schichten das Intensitätssignal als eine Funktion von AOI, Az oder beidem unabhängig ist und der Overlayparameter messbar ist. Somit ist es wünschenswert, ein mehrschichtiges Messobjekt für den Overlay so zu gestalten, dass der Satz von Abstandsparametern zwischen jeder Kombination von zwei Schichten unterschiedlich ist. Jedoch kommt es in der Praxis vor, dass wenn die Anzahl der Schichten wächst, auch die Anzahl der Kombinationen von zwei Schichten ansteigt, die zu einem Zeitpunkt genommen werden. Als Ergebnis wird es eine Herausforderung, ein Messobjekt mit einem eindeutigen oder nahezu eindeutigen Satz von Trennungsabständen zwischen jeder der Kombinationen von zwei Schichten zu entwerfen.
  • In einigen Ausführungsformen ist das Messobjekt für den Overlay speziell optimiert, um die Unabhängigkeit von Overlaysignalen von jeder der konstituierenden Schichten zu erhöhen und die Präzision und Genauigkeit der Overlaymessungen zu maximieren.
  • In einigen Ausführungsformen ist ein mehrschichtiges Messobjekt für den Overlay so konzipiert, dass der Satz von Abstandsparametern zwischen jeder Kombination von zwei Schichten unterschiedlich ist und der minimale Trennungsabstand zwischen allen Schichtkombinationen maximiert wird, in Abhängigkeit von einer Einschränkung auf die Gesamthöhe des Messobjekts.
  • In einigen Ausführungsformen ist ein mehrschichtiges Messobjekt für den Overlay mit unterschiedlichen Abstandmaßen zwischen verschiedenen Schichten so konzipiert, dass eine Beugungsordnung, die aus einer Schicht entsteht, konstruktiv mit einer anderen Beugungsordnung einer anderen Schicht interferiert. In einer Ausführungsform hat eine periodische Gitterstruktur, die in einer ersten Schicht angeordnet ist, einen Abstand gleich 2A, wobei A ein beliebiger, positiver konstanter Wert ist. Eine andere periodische Struktur, die in einer anderen Schicht angeordnet ist, hat einen Abstand von 3A. In diesem Beispiel interferiert die zweite Beugungsordnung der ersten Schicht konstruktiv mit der dritten Beugungsordnung der zweiten Schicht. Somit werden die an diesen Ordnungspaaren erfassten Intensitätsmessungen durch einen Overlay zwischen den beiden Schichten dominiert. Umgekehrt werden Intensitätsmessungen, die bei verschiedenen Ordnungszahlpaaren detektiert werden, die keiner konstruktiven Interferenz im Overlay unterliegen, von Formparametern dominiert. Somit wird in einigen Ausführungsformen ein Soll-Overlay mit spezifischen Gitterstrukturen gestaltet, um die Empfindlichkeit für den Overlay bei bestimmten Gitterordnungspaaren zu erhöhen und auch Intensitätsdaten bereitzustellen, die für das Abschätzen von Formparameterwerten nützlich sind.
  • In ähnlicher Weise ist ein mehrschichtiges Messobjekt für den Overlay mit unterschiedlichen Abstandsorientierungen an verschiedenen Schichten so konzipiert, dass eine aus einer Schicht entstehende Beugungsordnung konstruktiv mit einer unterschiedlichen Beugungsordnung einer anderen Schicht interferiert. Im Allgemeinen führt ein Satz von Schichten mit unterschiedlichen Periodizitäten (beispielsweise unterschiedliche Gitterabstände), unterschiedlichen Abstandsorientierungen oder eine beliebige Kombination davon zu einem Satz von Streuvektoren, die jeweils einer anderen Schicht zugeordnet sind. Das Messobjekt für den Overlay ist so konzipiert, dass eine vorbestimmte Teilmenge der Streuvektoren ausgerichtet ist. Auf diese Weise wird die Empfindlichkeit gegenüber dem Overlay unter den Schichten, die der vorbestimmten Untermenge von Streuvektoren entsprechen, verstärkt.
  • Im Allgemeinen kann ein Messobjekt für den Overlay 1D-periodische Strukturen umfassen, das heißt mit einer Periodizität in einer Richtung und konstant in der anderen Richtung, periodische 2D-Strukturen, das heißt periodisch in zwei Richtungen, oder eine beliebige Kombination davon. Bei 2D-periodischen Objekten können die zwei Periodizitätsrichtungen zueinander senkrecht sein oder nicht. Darüber hinaus kann der Abstand (Pitch) jeder der konstituierenden Strukturen gleich oder verschieden sein.
  • Durch Abschätzen des Overlays basierend auf den Variationen der gemessenen Intensität, die jeder Beugungsordnung zugeordnet ist, besteht keine Notwendigkeit für irgendeine spezifische Periodizität oder Symmetrie unter den Overlaystrukturen, die das Messobjekt für den Overlay umfassen. Daher können einige oder alle der Overlaystrukturen nicht periodisch sein. Zum Beispiel kann das Messobjekt für den Overlay 1D-periodisch in einer Richtung und variabel aber aperiodisch in einer anderen Richtung sein. In einem anderen Beispiel können die einigen oder alle Overlaystrukturen asymmetrisch sein.
  • Gemäß einem noch weiteren Aspekt wird ein Wert für einen Formparameter irgendeiner der Strukturen, die das Messobjekt umfassen, basierend auf einer Anpassungsanalyse der detektierten Intensitäten der Beugungsordnungen mit einem Messmodell abgeschätzt. In einigen Beispielen werden das Abschätzen des Overlays und das Abschätzen eines oder mehrerer Formparameterwerte gleichzeitig durchgeführt.
  • In einigen Beispielen ist das Messmodell ein physikalisch basiertes Modell der Geometrie und der Streuung von Röntgenstrahlung durch das Messobjekt für den Overlay. Bei diesem Ansatz wird ein parametrisches Modell entwickelt, um die Strukturen und Materialien zu beschreiben, die das Messobjekt umfassen, einschließlich der zu untersuchenden Parameter. Die Werte dieser Parameter werden abgeschätzt, indem die beste Anpassung von simulierten Daten an experimentelle Daten gefunden wird.
  • Eine Modellaufbau- und -analysemaschine, die in der US-Patentveröffentlichung Nr. 2014/0019097 von Bakeman et al. beschrieben ist, deren Inhalt hierin durch Bezugnahme in ihrer Gesamtheit aufgenommen wird, kann verwendet werden, um Modelle von Proben zu erzeugen, die geometrische und Materialeigenschaften umfassen. Die Modelle können verwendet werden, um optische und Röntgensimulationen herzustellen. In einigen Beispielen basieren optische Simulationen auf einer Analyse rigoroser gekoppelter Wellen (rigorous coupled-wave analysis, RCWA), bei der Maxwell-Gleichungen gelöst werden, um optische Signale wie etwa Reflektivitäten für unterschiedliche Polarisationen, ellipsometrische Parameter, Phasenänderungen usw. zu berechnen. Simulationen von Röntgenstrahlstreuung können auf der Grundlage von Röntgenstrahlformfaktoren vorgenommen werden, die in Gleichung (4) dargestellt sind,
    Figure DE112016001982T5_0004
    wobei F der Formfaktor ist, q der Streuvektor ist und ρ(r) die Elektronendichte der Probe ist. Die Intensität der Röntgenstrahlstreuung ergibt sich dann aus Gleichung (5), I(q →) = F·F (5) wie von R.L. Jones et. al., in "Cross Section and Critical Dimension Metrology in Dense High Aspect Ratio Patterns with CD-SAXS", AIP Conference Proceedings, Band 788, S. 403–406 (2005), beschreiben, dessen Inhalt hierin durch Bezugnahme in seiner Gesamtheit aufgenommen wird. In einigen anderen Beispielen wird eine Distorted-Wave Born Approximation (DWBA) verwendet.
  • In einigen anderen Beispielen ist das Messmodell ein Eingabe-Ausgabe-Messmodell, wie beispielsweise ein neuronales Netzwerkmodell, ein Stützvektormaschinen-Modell (support vector machine model, SVM-Modell), ein Modell mit Hauptkomponentenanalyse (Principal Component Analysis, PCA), usw.. Zusätzliche Details bezüglich der Eingabe-Ausgabe-Messung Modelle sind in der US-Patentveröffentlichung Nr. 2016/0003609 von Shchegrov et al. beschrieben, deren Inhalt hierin durch Bezugnahme in ihrer Gesamtheit aufgenommen wird.
  • In einem Beispiel wird ein Kantenplatzierungsfehler zwischen Schichten abgeschätzt basierend auf einer Messung des Overlays, wie hierin beschrieben, und einer Messung eines Formparameters basierend auf den Intensitätsmessungen innerhalb jeder Röntgenstrahlbeugungsordnung, die bei mehreren verschiedenen Einfallswinkeln und mehreren verschiedenen Azimutwinkeln gemessen werden. Kantenplatzierungsfehler (Edge Placement Errors, EPE) kombinieren Overlay- und Formparameter(beispielsweise CD)-Fehler. In einem Beispiel ist EPE eine Differenz zwischen einem CD-Wert (beispielsweise Breite W, wie in 8 dargestellt) und einem Overlaywert (beispielsweise Overlay D, wie in 8 dargestellt). Somit wird eine Messung des EPE durch Verwendung der hierin beschriebenen rechnerisch effizienten Overlaymessung gestrafft und unter Verwendung der gleichen Intensitätsmessdaten verwendet, um den CD-Parameterwert zu abschätzen.
  • 10 zeigt eine Draufsicht einer Anordnungsstruktur 400, die aktive Felder 401404, Tore 405408 (Gates) und Kontakte 409421 umfasst. 10 zeigt den Kantenplatzierungsabstand EPD1 zwischen Tor 407 und Kontakt 418. 10 zeigt auch den Kantenplatzierungsabstand EPD2 zwischen Tor 408 und Kontakt 418 und den Kantenplatzierungsabstand EPD3 zwischen Tor 406 und Kontakt 414. Die Kantenplatzierungsabstände müssen sorgfältig gesteuert werden, um eine hohe Geräteausbeute zu gewährleisten. Wenn der Kantenplatzierungsfehler, der einem dieser Kantenplatzierungsabstände zugeordnet ist, zu groß ist, schlägt das Gerät fehl. Wie in 10 gezeigt, tragen beide Overlay-Fehler und CD-Fehler zur EPE bei. Beispielsweise ergibt sich ein EPE, wenn die dem Kontakt zugeordneten Schichten mit den den Toren zugeordneten Schichten fehlausgerichtet sind. Ähnlich ergibt sich ein EPE, wenn die den Kontaktstrukturen zugeordnete CD von Nennmaßen abweicht. Beispielsweise sind die Kontakte 413 und 416 zu groß. Das Ergebnis ist eine Überlappung zwischen jeder Kontakt- und entsprechenden Torstruktur und ein Gerätefehler.
  • Weitere Einzelheiten bezüglich EPE-Messungen sind in der US-Patentveröffentlichung Nr. 2016/0003609 von Shchegrov et al. beschrieben, deren Inhalt hierin durch Bezugnahme in ihrer Gesamtheit aufgenommen wird.
  • Im Allgemeinen können die hierin beschriebenen Verfahren auf viele verschiedene Techniken angewendet werden, die auf Röntgenstrahlbeugung basieren, wie beispielsweise Röntgenstrahlstreuung unter Transmissions-Kleinwinkel (transmission small-angle X-ray scattering, TSAXS), Röntgenkleinwinkelstreuung unter streifendem Einfall (grazing-incidence small-angle X-ray scattering, GISAXS), Röntgenstrahlstreuung unter Weitwinkel (wide-angle X-ray scattering, WAXS), Röntendiffraktometrie (XRD), Röntgenstrahlbeugung unter streifendem Einfall (grazing incidence x-ray diffraction, GIXRD) und Röntgenstrahlbeugung unter hoher Auflösung (high resolution X-ray diffraction, HRXRD). In diesen Systemen werden alle Beugungsordnungen, die auf den Detektor fallen, sofort gesammelt.
  • Für Implementierungen von SAXS unter streifendem Einfall wird der Streifeinfallswinkel eingestellt, um Interferenzstreifen von Röntgenstrahlfeldern zu ermöglichen, die durch Gitter an verschiedenen Schichten gebeugt werden, und um die Eindringtiefe zu optimieren. Die Eindringtiefe kann durch die innere Totalreflexion für Streifeinfallswinkel unterhalb des kritischen Winkels begrenzt werden.
  • Gemäß einem noch weiteren Aspekt kann jedes der hierin beschriebenen Systeme eine Bonse-Hart-Kamera verwenden, um einen spezifischen Bereich von Photonenenergien (Q) auszuwählen. In einigen Ausführungsformen umfasst eine Bonse-Hart-Kamera einen Analysator-Kristall zwischen der Probe und dem Detektor. Der Analysator-Kristall hat einen spezifischen schrägen Akzeptanzwinkel, der die Bragg-Bedingung erfüllt. Durch Einstellen des Kristallwinkels wird der schräge Akzeptanzwinkel gewählt. In einem Beispiel wird ein Bereich für Q ausgewählt, um einen Beugungspeak höherer Ordnung zu messen, zum Beispiel, wo die Intensitätsmodulationen eine höhere Winkelfrequenz aufweisen als die Peaks niedrigerer Ordnung. Dies ermöglicht eine Overlaymessung mit einer kleinen Anzahl von Stichprobenwinkeln. In einem anderen Beispiel wird ein Bereich für Q ausgewählt, um einen Beugungspeak niedriger Ordnung zu messen, wo die Gesamtintensität der Ordnung größer ist, um die Messzeit zu reduzieren.
  • Im Allgemeinen kann die Bonse-Hart-Kamera jedes geeignete auswählbare Analysatorelement umfassen, das die Transmission der gestreuten Strahlung innerhalb eines auswählbaren Energiebereichs begrenzt. In einem Beispiel ist das auswählbare Analysatorelement ein sich wiederholender Mehrschichtfilm, der die Bragg-Bedingung unter einem bestimmten Akzeptanzwinkel erfüllt.
  • In einigen Ausführungsformen arbeitet das Probenpositionierungssystem, das die Position und Orientierung der Probe 101 relativ zu dem Strahl der einfallenden Röntgenstrahlung steuert, in Koordination mit einem Stufensystem, das den Winkel des Analysatorkristalls so steuert, dass der Analysatorkristall und die Probe in einer Tandemanordnung ausgerichtet werden.
  • Gemäß einem weiteren Aspekt ist das Messobjekt für den Overlay eine Design-Sollvorgabe, die einen Abstand (Pitch) und eine CD bei der Design-Sollvorgabe der Anordnung enthält. In einigen Ausführungsformen befindet sich das Messobjekt für den Overlay im Die anstatt dass sich ein spezialisiertes Messobjekt für den Overlay in einem Bereich des Ritzrahmens befindet. Die hier beschriebenen Verfahren und Systeme erlauben eine Messung bei der Design-Sollvorgabe für das Abstandmaß. Dies reflektiert einen echten Geräte-Overlay genauer als bestehende Verfahren.
  • Es sollte verstanden werden, dass die verschiedenen Schritte, die in der gesamten vorliegenden Offenbarung beschrieben sind, durch ein einzelnes Computersystem 130 oder alternativ durch ein Mehrfach-Computersystem 130 ausgeführt werden können. Darüber hinaus können verschiedene Subsysteme des Systems 100, wie beispielsweise das Probenpositionierungssystem 140, ein Computersystem umfassen, das geeignet ist, mindestens einen Teil der hier beschriebenen Schritte auszuführen. Daher sollte die vorgenannte Beschreibung nicht als eine Beschränkung der vorliegenden Erfindung interpretiert werden, sondern lediglich als eine Veranschaulichung. Ferner können das eine oder die mehreren Computersysteme 130 so konfiguriert sein, dass sie einen oder mehrere beliebige andere Schritte S einer beliebigen der hier beschriebenen Ausführungsformen des Verfahrens ausführen.
  • Zusätzlich kann das Computersystem 130 kommunikativ mit dem SAXS-Detektor 116 und der SAXS-Beleuchtungsoptik 115 in einer beliebigen Weise, die in der Technik bekannt ist, gekoppelt sein. Beispielsweise können das eine oder die mehreren Computersysteme 130 mit Computersystemen gekoppelt sein, die dem SAXS-Detektor 116 beziehungsweise der SAXS-Beleuchtungsoptik 115 zugeordnet sind. In einem anderen Beispiel kann jeder der SAXS-Detektoren 116 und die SAXS-Beleuchtungsoptik 115 direkt durch ein einziges Computersystem gesteuert werden, das mit dem Computersystem 130 gekoppelt ist.
  • Das Computersystem 130 kann konfiguriert sein, um Daten oder Informationen von den Subsystemen des Systems (beispielsweise SAXS-Detektor 116 und SAXS-Beleuchtungsoptik 115 und dergleichen) durch ein Übertragungsmedium zu empfangen und/oder zu erfassen, das eine drahtgebundene Leitung und/oder drahtlose Abschnitte umfassen kann. Auf diese Weise kann das Übertragungsmedium als eine Datenverbindung zwischen dem Computersystem 130 und anderen Subsystemen der Metrologiemaschine 100 dienen.
  • Das Computersystem 130 der Metrologiemaschine 100 kann konfiguriert sein, um Daten oder Informationen (beispielsweise Messergebnisse, Modellierungseingaben, Modellierungsergebnisse usw.) von anderen Systemen durch ein Übertragungsmedium zu empfangen und/oder zu erfassen, das eine drahtgebundene Leitung und/oder drahtlose Abschnitte umfassen kann. Auf diese Weise kann das Übertragungsmedium als eine Datenverbindung zwischen dem Computersystem 130 und anderen Systemen (beispielsweise einer Metrologiemaschine 100 mit einem On-Board-Speicher, einem externen Speicher oder externen Systemen) dienen. Beispielsweise kann das Computersystem 130 konfiguriert sein, um Messdaten (beispielsweise Signale 126) von einem Speichermedium (das heißt Speicher 132 oder 190) über eine Datenverbindung zu empfangen. Zum Beispiel können spektrale Ergebnisse, die unter Verwendung eines Spektrometers eines beliebigen SAXS-Detektors 116 erhalten werden, in einer permanenten oder semi-permanenten Speicheranordnung (beispielsweise Speicher 132 oder 190) gespeichert werden. In dieser Hinsicht können die Messergebnisse von dem On-Board-Speicher oder aus einem externen Speichersystem importiert werden. Darüber hinaus kann das Computersystem 130 Daten über ein Übertragungsmedium an andere Systeme senden. Zum Beispiel können die Overlaywerte 185, die durch das Computersystem 130 bestimmt werden, in einer permanenten oder semi-permanenten Speicheranordnung (beispielsweise Speicher 190) gespeichert werden. In dieser Hinsicht können die Messergebnisse in ein anderes System exportiert werden.
  • Das Computersystem 130 kann ein Personalcomputersystem, ein Mainframe-Computersystem, eine Workstation, einen Bildcomputer, einen Parallelprozessor oder jede andere auf dem Fachgebiet bekannte Einrichtung oder Anordnung umfassen, ist aber nicht darauf beschränkt. Im Allgemeinen kann der Begriff „Computersystem“ breit aufgefasst werden, um jede Einrichtung oder Anordnung mit einem oder mehreren Prozessoren zu umfassen, die Befehle aus einem Speichermedium ausführen.
  • Programmbefehle 134, die Verfahren implementieren, wie die hier beschriebenen, können über ein Übertragungsmedium, wie beispielsweise eine Draht-, Kabel- oder drahtlose Übertragungsverbindung, übertragen werden. Beispielsweise werden, wie in 3 dargestellt, in dem Speicher 132 gespeicherte Programmbefehle über einen Bus 133 zum Prozessor 131 übertragen. Die Programmbefehle 134 werden in einem computerlesbaren Medium (beispielsweise Speicher 132) gespeichert. Beispielhafte computerlesbare Medien umfassen einen Festspeicher (read-only memory, ROM), einen RAM(random access memory)-Speicher, eine magnetische oder optische Platte oder ein Magnetband.
  • In einigen Ausführungsformen ist eine hier beschriebene Scatterometrieanalyse als Teil einer Maschine für das Herstellungsverfahren implementiert. Beispiele für Maschinen für den Herstellungsprozess umfassen, jedoch nicht darauf beschränkt, lithographische Belichtungsanlagen, Anordnungen für die Filmbeschichtung, Implantatmaschinen und Ätzwerkzeuge. Auf diese Weise werden die Ergebnisse einer SAXS-Analyse zur Steuerung eines Herstellungsprozesses verwendet. In einem Beispiel werden SAXS-Messdaten, die von einem oder mehreren Zielen (Targets) gesammelt wurden, an eine Maschine für das Herstellungsverfahren gesendet. Die SAXS-Messdaten werden, wie hier beschrieben, analysiert und die Ergebnisse werden verwendet, um den Betrieb der Maschine für das Herstellungsverfahren anzupassen.
  • Scatterometrie-Messungen, wie sie hierin beschrieben sind, können verwendet werden, um die charakteristischen Merkmale einer Vielzahl von Halbleiterstrukturen zu bestimmen. Beispielhafte Strukturen umfassen, sind aber nicht darauf beschränkt, FinFETs, niedrigdimensionale Strukturen wie Nanodrähte oder Graphen, Strukturen mit einer Struktur von weniger als 10 nm, lithographische Strukturen, Substrat-Durchkontaktierungen (through substrate vias, TSVs), Speicherstrukturen wie DRAM, DRAM 4F2, FLASH, MRAM und Speicherstrukturen mit hohem Aspektverhältnis. Beispielhafte strukturelle charakteristische Merkmale umfassen, sind jedoch nicht darauf beschränkt, geometrische Parameter wie Linienkantenrauheit, Linienbreitenrauheit, Porengröße, Porendichte, Seitenwandwinkel (SWA), Profil, kritische Dimension (CD), Abstand (Pitch) und Materialparameter wie Elektronendichte, Zusammensetzung, Kornstruktur, Morphologie, (Beanspruchung) Stress, Dehnung und elementare Identifikation.
  • 12 veranschaulicht ein Verfahren 400, das für die Implementierung durch die Metrologiemaschine 100 der vorliegenden Erfindung geeignet ist. Gemäß einem Aspekt wird erkannt, dass Datenverarbeitungsblöcke des Verfahrens 400 über einen vorprogrammierten Algorithmus ausgeführt werden können, der von einem oder mehreren Prozessoren des Computersystems 130 ausgeführt wird. Während die folgende Beschreibung im Zusammenhang mit den Metrologiesystemen 100, 200 und 300 dargestellt ist, wird hier anerkannt, dass die besonderen strukturellen Aspekte der Metrologiesysteme 100, 200 und 300 keine Einschränkungen darstellen und lediglich als illustrativ interpretiert werden sollten.
  • In Block 401 wird ein auf einem planaren Substrat angeordnetes Messobjekt mit einem Strahl einer Röntgenstrahlung bei mehreren, verschiedenen Einfallswinkeln und bei mehreren, verschiedenen Azimutwinkeln beleuchtet. Das Messobjekt umfasst eine erste Struktur, die in einer ersten Schicht angeordnet ist, die in einer ersten Höhe über dem planaren Substrat hergestellt ist, und eine zweite Struktur, die in einer zweiten Schicht angeordnet ist, die in einer zweiten Höhe über dem planaren Substrat hergestellt ist.
  • In Block 402 wird eine Vielzahl von Intensitäten erfasst. Jede der detektierten Intensitäten ist einer oder mehreren Beugungsordnungen einer Strahlungsmenge zugeordnet, die von dem Messobjekt als Reaktion auf den einfallenden Strahl der Röntgenstrahlung gestreut wird, und jede der detektierten Intensitäten ist einem unterschiedlichen Einfallswinkel und Azimutwinkel zugeordnet.
  • In Block 403 wird ein Wert des Overlays zwischen der ersten und der zweiten Struktur basierend auf Modulationen in der Vielzahl von Intensitäten innerhalb jeder der einen oder mehreren Röntgenstrahlbeugungsordnungen bei den mehreren, verschiedenen Einfallswinkeln und den mehreren verschiedenen Azimutwinkeln abgeschätzt.
  • Wie hierin beschrieben, umfasst der Begriff „kritische Dimension“ jede kritische Dimension einer Struktur (beispielsweise eine untere kritische Dimension, eine mittlere kritische Dimension, eine obere kritische Dimension, einen Seitenwandwinkel, eine Gitterhöhe usw.), eine kritische Dimension zwischen beliebigen zwei oder mehreren Strukturen (beispielsweise Abstand zwischen zwei Strukturen) und eine Verschiebung zwischen zwei oder mehreren Strukturen (beispielsweise Overlayverschiebung zwischen überlagernden Gitterstrukturen usw.). Strukturen können dreidimensionale Strukturen, gemusterte Strukturen, Overlaystrukturen usw. umfassen.
  • Wie hierin beschrieben, umfasst der Begriff „kritische Dimensionsanwendung“ oder „kritische Dimensionsmessanwendung“ jede kritische Dimensionsmessung.
  • Wie hierin beschrieben, umfasst der Begriff „Metrologiemaschine“ jedes System, das zumindest teilweise verwendet wird, um eine Probe bezüglich irgendeines Aspekts zu charakterisieren, einschließlich kritischer Dimensionsanwendungen und Overlay-Metrologieanwendungen. Diese Fachbegriffe beschränken jedoch nicht den Umfang des Begriffs „Metrologiemaschine“, wie hier beschrieben. Zusätzlich können die hierin beschriebenen Metrologiemaschinen für die Messung von strukturierten Wafern und/oder unstrukturierten Wafern konfiguriert sein. Die Metrologiemaschine kann konfiguriert sein als LED-Inspektionswerkzeug, Kanteninspektionswerkzeug, Rückseiteninspektionswerkzeug, Makroinspektionswerkzeug oder Multimode-Inspektionswerkzeug (mit Daten von einer oder mehreren Plattformen gleichzeitig) und jeder anderen Metrologie-Mess- oder Inspektionsmaschine konfiguriert werden, die von den Vorteilen bei der Bildgebung oder zu messenden Strukturen profitieren.
  • Verschiedene Ausführungsformen werden hierin für ein Halbleiterverarbeitungssystem (beispielsweise ein Metrologiesystem oder ein Lithographiesystem) beschrieben, das zur Verarbeitung einer Probe verwendet werden kann. Der Begriff „Probe "wird hierin verwendet, um sich auf einen Wafer, ein Retikel oder jede andere Probe zu beziehen, die durch in der Technik bekannte Mittel verarbeitet (beispielsweise gedruckt oder auf Fehler untersucht) werden kann.
  • Der Begriff „Wafer“, wie er hierin verwendet wird, bezieht sich allgemein auf Substrate, die aus einem Halbleiter- oder Nicht-Halbleitermaterial gebildet sind. Beispiele umfassen, sind aber nicht darauf beschränkt, monokristallines Silizium, Galliumarsenid und Indiumphosphid. Solche Substrate können üblicherweise in Halbleiterherstellungseinrichtungen gefunden und/oder verarbeitet werden. In einigen Fällen kann ein Wafer nur das Substrat (das heißt, den nackten Wafer) enthalten. Alternativ kann ein Wafer eine oder mehrere Schichten aus unterschiedlichen Materialien umfassen, die auf einem Substrat ausgebildet sind. Eine oder mehrere auf einem Wafer gebildete Schichten können "strukturiert" oder "unstrukturiert" sein. Beispielsweise kann ein Wafer eine Vielzahl von Dies mit wiederholbaren Muster-/Strukturmerkmalen umfassen.
  • Ein „Retikel“ kann ein Retikel in jedem Stadium eines Retikel-Herstellungsprozesses oder ein fertiggestelltes Retikel sein, das zur Verwendung in einer Halbleiterherstellungseinrichtung freigegeben werden kann oder nicht. Ein Retikel, oder eine „Maske“, ist allgemein definiert als ein im Wesentlichen transparentes Substrat mit im Wesentlichen opaken Bereichen, die darauf ausgebildet und in einem Muster ausgebildet sind. Das Substrat kann beispielsweise ein Glasmaterial, wie beispielsweise amorphes SiO2, umfassen. Ein Retikel kann oberhalb eines Resist-bedeckten Wafers während eines Belichtungsschrittes eines Lithographieprozesses angeordnet werden, so dass die Struktur (Muster) auf dem Retikel auf den Resist übertragen werden kann.
  • Eine oder mehrere Schichten, die auf einem Wafer ausgebildet sind, können strukturiert oder unstrukturiert sein. Beispielsweise kann ein Wafer eine Vielzahl von Dies umfassen, die jeweils wiederholbare Strukturmerkmale aufweisen. Die Bildung und Verarbeitung solcher Materialschichten kann letztlich zu fertiggestellten Geräten führen. Viele verschiedene Arten von Anordnungen können auf einem Wafer ausgebildet sein, und der Begriff Wafer, wie er hier verwendet wird, soll einen Wafer umfassen, auf dem jede Art von Anordnung, die aus dem Stand der Technik bekannt ist, hergestellt wird.
  • In einer oder mehreren beispielhaften Ausführungsformen können die beschriebenen Funktionen in Hardware, Software, Firmware oder einer beliebigen Kombination davon implementiert werden. Wenn sie in Software implementiert sind, können die Funktionen als eine oder mehrere Anweisungen oder Code auf einem computerlesbaren Medium gespeichert oder übertragen werden. Computerlesbare Medien umfassen sowohl Computer-Speichermedien als auch Kommunikationsmedien, einschließlich jedes Mediums, das die Übertragung eines Computerprogramms von einem Ort zum anderen erleichtert. Ein Speichermedium kann jedes verfügbare Medium sein, auf das ein Universal-/Standardrechner oder Spezialrechner zugreifen kann. Beispielsweise und nicht beschränkend können solche computerlesbaren Medien RAM, ROM, EEPROM, CD-ROM oder andere optische Plattenspeicher, Magnetplattenspeicher oder andere magnetische Speicheranordnungen oder jedes andere Medium umfassen, das zum Tragen oder Speichern von gewünschten Programmcode-Mitteln in Form von Instruktionen oder Datenstrukturen verwendet werden kann und auf das mit einem Universal-/Standardrechner oder Spezialrechner oder einem Universal-/Standardprozessor oder Spezialprozessor zugegriffen werden kann. Auch wird jede Verbindung als ein computerlesbares Medium ordnungsgemäß bezeichnet. Wenn zum Beispiel die Software von einer Website, einem Server oder einer anderen entfernten Quelle (Remote Source) über ein Koaxialkabel, ein Glasfaserkabel, ein verdrilltes Paar, eine digitale Teilnehmerleitung (digital subscriber line, DSL) oder drahtlose Technologien wie beispielsweise Infrarot, Radio und Mikrowelle übertragen wird, dann sind das Koaxialkabel, Glasfaserkabel, verdrillte Paar, DSL oder die drahtlosen Technologien wie beispielsweise Infrarot, Radio und Mikrowelle in der Definition von Medium enthalten. Diskette und Diskette, wie sie hierin verwendet wird, umfassen eine Compact Disc (CD), eine Laserscheibe, eine XRF-Disk, eine Digital Versatile Disc (DVD), eine Floppy-Diskette und eine Blu Rray Disc, wobei die Platten (Scheiben, Discs) Daten üblicherweise magnetisch wiedergeben, während die Platten (Scheiben, Discs) Daten optisch mit Lasern wiedergeben. Kombinationen der oben genannten Mittel sollten auch in den Umfang der computerlesbaren Medien aufgenommen werden.
  • Obwohl bestimmte spezifische Ausführungsformen oben für Lehrzwecke beschrieben sind, haben die Lehren dieses Patentdokuments eine allgemeine Anwendbarkeit und sind nicht auf die oben beschriebenen spezifischen Ausführungsformen beschränkt. Dementsprechend können verschiedene Modifikationen, Anpassungen und Kombinationen von verschiedenen Merkmalen der beschriebenen Ausführungsformen ausgeführt werden, ohne vom Schutzbereich der Erfindung, wie in den Ansprüchen dargelegt, abzuweichen.

Claims (24)

  1. Metrologiesystem umfassend: eine Beleuchtungsquelle mit Röntgenstrahlen, die so konfiguriert ist, dass sie ein auf einem planaren Substrat angeordnetes Messobjekt mit einem Strahl einer Röntgenstrahlung unter mehreren, verschiedenen Einfallswinkeln und unter mehreren verschiedenen Azimutwinkeln beleuchtet, wobei das Messobjekt eine erste Struktur umfasst, die in einer ersten Schicht angeordnet ist, die in einer ersten Höhe über dem planaren Substrat hergestellt ist, und eine zweite Struktur, die in einer zweiten Schicht angeordnet ist, die in einer zweiten Höhe über dem planaren Substrat hergestellt ist; einen Röntgenstrahldetektor, der konfiguriert ist, um eine Vielzahl von Intensitäten zu detektieren, die jeweils einer oder mehreren Beugungsordnungen einer Strahlungsmenge zugeordnet sind, die von dem Messobjekt als Reaktion auf den einfallenden Strahl der Röntgenstrahlung gestreut wird, und die jeweils einem anderen Einfallswinkel und Azimutwinkel zugeordnet sind; und ein Computersystem, das konfiguriert ist zum: Abschätzen eines Wertes für den Overlay zwischen der ersten und der zweiten Struktur auf der Grundlage von Modulationen in der Vielzahl der Intensitäten innerhalb jeder der einen oder mehreren Röntgenstrahlbeugungsordnungen bei den mehreren, verschiedenen Einfallswinkeln und den mehreren, verschiedenen Azimutwinkeln.
  2. Metrologiesystem nach Anspruch 1, wobei das Abschätzen des Wertes für den Overlay eine Parametrisierung der Intensitätsmodulationen von gemeinsamen Ordnungen umfasst, so dass eine niederfrequente Formmodulation durch einen Satz von Basisfunktionen beschrieben wird und eine hochfrequente Overlaymodulation durch eine affine kreisförmige Funktion beschrieben wird, die einen Parameter enthält, der den Overlay angibt.
  3. Metrologiesystem nach Anspruch 2, wobei das Abschätzen des Wertes für den Overlay ein Anpassen der Parametrisierung der Intensitätsmodulationen an die gemessene Vielzahl von Intensitäten umfasst.
  4. Metrologiesystem nach Anspruch 1, wobei das Computersystem ferner konfiguriert ist zum: Abschätzen eines Werts für einen Formparameter einer beliebigen der ersten und zweiten Strukturen basierend auf einer Anpassungsanalyse der detektierten Intensitäten der Beugungsordnungen mit einem Messmodell.
  5. Metrologiesystem nach Anspruch 4, wobei das Messmodell ein physikalisch basiertes Messmodell oder ein Signalantwort-Metrologie-Modell ist.
  6. Metrologiesystem nach Anspruch 1, wobei die erste Struktur räumlich periodisch in mindestens einer Richtung parallel zu einer planaren Oberfläche des planaren Substrats ist.
  7. Metrologiesystem nach Anspruch 1, wobei die -Beleuchtungsquelle mit Röntgenstrahlen das Messobjekt mit dem Strahl der Röntgenstrahlung unter den mehreren, verschiedenen Einfallswinkeln und den mehreren, verschiedenen Azimutwinkeln gleichzeitig beleuchtet.
  8. Metrologiesystem nach Anspruch 1, wobei die Beleuchtungsquelle mit Röntgenstrahlen und der Röntgenstrahldetektor als Elemente, eines Transmissionssystems für kleine Streuwinkel der Röntgenstrahlen (TSAXS), eines Streusystems für Röntgenstrahlen für kleine Streuwinkel bei streifenden Einfall (GISAXS), eines Weitwinkelstreusystems für Röntgenstrahlen (WAXS), eines Röntgenstrahlbeugungssystem (XRD), eines Röntgenstrahlbeugungssystem für streifenden Einfall(GIXRD)-Systems oder eines hochauflösenden Röntgenstrahlbeugungssystems (HRXRD) angeordnet sind.
  9. Metrologiesystem nach Anspruch 8, ferner umfassend: ein wählbares Analysatorelement, das in einem Pfad der vom Messobjekt gestreuten Strahlung vor dem Detektor angeordnet ist, wobei das wählbare Analysatorelement derart konfiguriert ist, dass die Transmission der gestreuten Strahlung innerhalb eines auswählbaren Energiebereichs begrenzt ist.
  10. Metrologiesystem nach Anspruch 1, wobei das Messobjekt eine Design-Sollvorgabe ist.
  11. Metrologiesystem nach Anspruch 1, wobei das Messobjekt im Die angeordnet ist.
  12. Metrologiesystem nach Anspruch 4, wobei das Abschätzen des Werts für den Overlay und das Abschätzen des Wertes des Formparameters gleichzeitig durchgeführt werden.
  13. Metrologiesystem nach Anspruch 1, wobei irgendeine der ersten Struktur und der zweiten Struktur asymmetrisch ist.
  14. Metrologiesystem nach Anspruch 1, wobei irgendeine der ersten Struktur und der zweiten Struktur nicht periodisch ist.
  15. Metrologiesystem nach Anspruch 1, wobei das Computersystem ferner konfiguriert ist zum: Bestimmen der mehreren, verschiedenen Einfallswinkel und der mehreren, verschiedenen Azimutwinkel, so dass eine Korrelation der Overlay- und Formparameter minimiert ist.
  16. Messobjekt zur Bestimmung des Overlays, umfassend: eine erste Struktur, die in einer ersten Schicht angeordnet ist, die in einer ersten Höhe über einer Oberfläche eines planaren Substrats hergestellt ist; und eine zweite Struktur, die in einer zweiten Schicht angeordnet ist, die in einer zweiten Höhe über der Oberfläche des planaren Substrats hergestellt ist, so dass die zweite Struktur bezüglich der ersten Struktur in einer ersten Richtung parallel zu der Oberfläche des planaren Substrats durch einen ersten Overlay-Parameterwert versetzt ist, wobei die erste Struktur, die zweite Struktur oder beide asymmetrisch sind und wobei das Messobjekt zur Bestimmung des Overlays die einfallende Röntgenstrahlung unter mehreren, verschiedenen Einfallswinkeln und mehreren, verschiedenen Azimutwinkeln beugt, so dass eine gemessene Intensität, die jeder Beugungsordnung der Röntgenstrahlung einer Vielzahl von Beugungsordnungen zugeordnet ist, moduliert wird.
  17. Messobjekt zur Bestimmung des Overlays nach Anspruch 16, zudem umfassend eine dritte Struktur, die in einer dritten Schicht angeordnet ist, die in einer dritten Höhe oberhalb der Oberfläche des planaren Substrats hergestellt ist, so dass die dritte Struktur bezüglich der ersten Struktur beziehungsweise der zweiten Struktur in der ersten Richtung parallel zur Oberfläche des planaren Substrats um einen zweiten Overlay-Parameterwert beziehungsweise um einen dritten Overlay-Parameterwert versetzt ist, wobei ein erster Trennungsabstand zwischen der ersten und der zweiten Höhe, ein zweiter Trennungsabstand zwischen der ersten und der dritten Höhe und ein dritter Trennungsabstand zwischen der zweiten und der dritten Höhe jeweils voneinander unabhängige unterschiedliche Abstände sind.
  18. Messobjekt zur Bestimmung des Overlays nach Anspruch 16, wobei die erste Struktur periodisch mit einer ersten Periodizität und die zweite Struktur periodisch mit einer zweiten Periodizität ist, wobei die erste Periodizität und die zweite Periodizität so gewählt sind, dass eine erste Zahl der Beugungsordnung, die der ersten Struktur zugeordnet ist, konstruktiv mit einer zweiten Zahl der Beugungsordnung interferiert, die der zweiten Struktur zugeordnet ist.
  19. Messobjekt zur Bestimmung des Overlays nach Anspruch 18, wobei eine Dimension der Periodizität der ersten Struktur zu der Periodizität der zweiten Struktur ausgerichtet ist.
  20. Ein Verfahren umfassend: Beleuchten eines auf einem planaren Substrat angeordneten Messobjekts mit einem Strahl einer Röntgenstrahlung unter mehreren, verschiedenen Einfallswinkeln und unter mehreren verschiedenen Azimutwinkeln, wobei das Messobjekt eine erste Struktur aufweist, die in einer ersten Schicht angeordnet ist, die bei einer ersten Höhe über dem planaren Substrat hergestellt ist, und eine zweite Struktur, die in einer zweiten Schicht angeordnet ist, die bei einer zweiten Höhe über dem planaren Substrat hergestellt ist; Detektieren einer Vielzahl von Intensitäten, die jeweils einer oder mehreren Beugungsordnungen einer Strahlungsmenge zugeordnet sind, die von dem Messobjekt als Reaktion auf den einfallenden Strahl der Röntgenstrahlung gestreut wird, und die jeweils einem anderen Einfallswinkel und Azimutwinkel zugeordnet sind; und Abschätzen eines Wertes für den Overlay zwischen der ersten und der zweiten Struktur auf der Grundlage von Modulationen in der Vielzahl der Intensitäten innerhalb jeder der einen oder mehreren Röntgenstrahlbeugungsordnungen unter den mehreren, verschiedenen Einfallswinkeln und den mehreren, verschiedenen Azimutwinkeln.
  21. Verfahren nach Anspruch 20, wobei das Abschätzen des Wertes für den Overlay eine Parametrisierung der Intensitätsmodulationen von gemeinsamen Ordnungen umfasst, so dass eine niederfrequente Formmodulation durch einen Satz von Basisfunktionen beschrieben wird und eine hochfrequente Overlaymodulation durch eine affine kreisförmige Funktion beschrieben wird, die einen Parameter enthält, der den Overlay angibt.
  22. Verfahren nach Anspruch 21, wobei das Abschätzen des Wertes für den Overlay eine Anpassung der Parametrisierung der Intensitätsmodulationen an die gemessene Vielzahl der Intensitäten beinhaltet.
  23. Verfahren nach Anspruch 20, zudem umfassend: Abschätzen eines Wertes für einen Formparameter irgendeiner der ersten und zweiten Strukturen basierend auf einer Anpassungsanalyse der detektierten Intensitäten der Beugungsordnungen mit einem Messmodell.
  24. Verfahren nach Anspruch 23, zudem umfassend: Abschätzen eines Wertes für einen Kantenplatzierungsfehlers, der den ersten und zweiten Strukturen auf der Grundlage des Werts für den Overlay und des Werts für den Formparameter zugeordnet ist.
DE112016001982.3T 2015-04-28 2016-04-28 Recheneffiziente auf röntgenstrahlgestützte messung des overlays Withdrawn DE112016001982T5 (de)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201562154108P 2015-04-28 2015-04-28
US62/154,108 2015-04-28
US15/141,453 2016-04-28
US15/141,453 US10545104B2 (en) 2015-04-28 2016-04-28 Computationally efficient X-ray based overlay measurement
PCT/US2016/029876 WO2016176502A1 (en) 2015-04-28 2016-04-28 Computationally efficient x-ray based overlay measurement

Publications (1)

Publication Number Publication Date
DE112016001982T5 true DE112016001982T5 (de) 2018-02-15

Family

ID=57199509

Family Applications (1)

Application Number Title Priority Date Filing Date
DE112016001982.3T Withdrawn DE112016001982T5 (de) 2015-04-28 2016-04-28 Recheneffiziente auf röntgenstrahlgestützte messung des overlays

Country Status (5)

Country Link
US (2) US10545104B2 (de)
KR (1) KR102512180B1 (de)
DE (1) DE112016001982T5 (de)
IL (1) IL255004B (de)
WO (1) WO2016176502A1 (de)

Families Citing this family (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9588066B2 (en) 2014-01-23 2017-03-07 Revera, Incorporated Methods and systems for measuring periodic structures using multi-angle X-ray reflectance scatterometry (XRS)
WO2017016903A1 (en) * 2015-07-30 2017-02-02 Asml Netherlands B.V. Inspection apparatus, inspection method and manufacturing method
US10775323B2 (en) * 2016-10-18 2020-09-15 Kla-Tencor Corporation Full beam metrology for X-ray scatterometry systems
US10481111B2 (en) 2016-10-21 2019-11-19 Kla-Tencor Corporation Calibration of a small angle X-ray scatterometry based metrology system
DE102017201694A1 (de) * 2017-02-02 2017-11-23 Carl Zeiss Smt Gmbh Verfahren und Vorrichtung zur Charakterisierung eines durch wenigstens einen Lithographieschritt strukturierten Wafers
US10767978B2 (en) * 2017-04-14 2020-09-08 Kla-Tencor Corporation Transmission small-angle X-ray scattering metrology system
US11073487B2 (en) 2017-05-11 2021-07-27 Kla-Tencor Corporation Methods and systems for characterization of an x-ray beam with high spatial resolution
US10727142B2 (en) 2017-05-30 2020-07-28 Kla-Tencor Corporation Process monitoring of deep structures with X-ray scatterometry
US10499876B2 (en) * 2017-07-31 2019-12-10 Taiwan Semiconductor Manufacturing Company, Ltd. Test key design to enable X-ray scatterometry measurement
US10983227B2 (en) 2017-08-14 2021-04-20 Kla-Tencor Corporation On-device metrology using target decomposition
JP6939369B2 (ja) * 2017-10-04 2021-09-22 株式会社島津製作所 診断画像システム
US10748736B2 (en) 2017-10-18 2020-08-18 Kla-Tencor Corporation Liquid metal rotating anode X-ray source for semiconductor metrology
US10533848B2 (en) * 2018-03-05 2020-01-14 Kla-Tencor Corporation Metrology and control of overlay and edge placement errors
US11519869B2 (en) * 2018-03-20 2022-12-06 Kla Tencor Corporation Methods and systems for real time measurement control
US10816486B2 (en) 2018-03-28 2020-10-27 Kla-Tencor Corporation Multilayer targets for calibration and alignment of X-ray based measurement systems
JP6930737B2 (ja) * 2018-04-02 2021-09-01 株式会社リガク 非晶質相の定量分析装置、非晶質相の定量分析方法、及び非晶質相の定量分析プログラム
US11181489B2 (en) * 2018-07-31 2021-11-23 Lam Research Corporation Determining tilt angle in patterned arrays of high aspect-ratio structures by small-angle x-ray scattering
US10634626B2 (en) 2018-09-24 2020-04-28 International Business Machines Corporation Apparatus and method for inducing high-speed variable-tilt wobble motions
US11990380B2 (en) 2019-04-19 2024-05-21 Kla Corporation Methods and systems for combining x-ray metrology data sets to improve parameter estimation
US11520321B2 (en) 2019-12-02 2022-12-06 Kla Corporation Measurement recipe optimization based on probabilistic domain knowledge and physical realization
US11610297B2 (en) 2019-12-02 2023-03-21 Kla Corporation Tomography based semiconductor measurements using simplified models
US11698251B2 (en) * 2020-01-07 2023-07-11 Kla Corporation Methods and systems for overlay measurement based on soft X-ray Scatterometry
US11719652B2 (en) 2020-02-04 2023-08-08 Kla Corporation Semiconductor metrology and inspection based on an x-ray source with an electron emitter array
US11513085B2 (en) 2020-02-20 2022-11-29 Kla Corporation Measurement and control of wafer tilt for x-ray based metrology
US11530913B2 (en) 2020-09-24 2022-12-20 Kla Corporation Methods and systems for determining quality of semiconductor measurements
US11967535B2 (en) 2021-04-13 2024-04-23 Kla Corporation On-product overlay targets
US20240085321A1 (en) * 2022-09-09 2024-03-14 Kla Corporation Methods And Systems For Model-less, Scatterometry Based Measurements Of Semiconductor Structures
US11955308B1 (en) 2022-09-22 2024-04-09 Kla Corporation Water cooled, air bearing based rotating anode x-ray illumination source

Family Cites Families (72)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6734967B1 (en) 1995-01-19 2004-05-11 Kla-Tencor Technologies Corporation Focused beam spectroscopic ellipsometry method and system
US5608526A (en) 1995-01-19 1997-03-04 Tencor Instruments Focused beam spectroscopic ellipsometry method and system
US5859424A (en) 1997-04-08 1999-01-12 Kla-Tencor Corporation Apodizing filter system useful for reducing spot size in optical measurements and other applications
US5858619A (en) * 1997-09-30 1999-01-12 Candescent Technologies Corporation Multi-level conductive matrix formation method
US6429943B1 (en) 2000-03-29 2002-08-06 Therma-Wave, Inc. Critical dimension analysis with simultaneous multiple angle of incidence measurements
US6453002B1 (en) * 2000-04-18 2002-09-17 Jordan Valley Applied Radiation Ltd. Differential measurement of X-ray microfluorescence
US7317531B2 (en) * 2002-12-05 2008-01-08 Kla-Tencor Technologies Corporation Apparatus and methods for detecting overlay errors using scatterometry
IL138552A (en) * 2000-09-19 2006-08-01 Nova Measuring Instr Ltd Measurement of transverse displacement by optical method
US7196782B2 (en) 2000-09-20 2007-03-27 Kla-Tencor Technologies Corp. Methods and systems for determining a thin film characteristic and an electrical property of a specimen
US20030002043A1 (en) 2001-04-10 2003-01-02 Kla-Tencor Corporation Periodic patterns and technique to control misalignment
US6895075B2 (en) 2003-02-12 2005-05-17 Jordan Valley Applied Radiation Ltd. X-ray reflectometry with small-angle scattering measurement
US7061615B1 (en) * 2001-09-20 2006-06-13 Nanometrics Incorporated Spectroscopically measured overlay target
JP4938219B2 (ja) 2001-12-19 2012-05-23 ケーエルエー−テンカー コーポレイション 光学分光システムを使用するパラメトリック・プロフィーリング
US6772084B2 (en) * 2002-01-31 2004-08-03 Timbre Technologies, Inc. Overlay measurements using periodic gratings
US6816570B2 (en) 2002-03-07 2004-11-09 Kla-Tencor Corporation Multi-technique thin film analysis tool
US6982793B1 (en) * 2002-04-04 2006-01-03 Nanometrics Incorporated Method and apparatus for using an alignment target with designed in offset
US7111256B2 (en) * 2002-06-05 2006-09-19 Kla-Tencor Technologies Corporation Use of overlay diagnostics for enhanced automatic process control
US7170604B2 (en) * 2002-07-03 2007-01-30 Tokyo Electron Limited Overlay metrology method and apparatus using more than one grating per measurement direction
US7230703B2 (en) * 2003-07-17 2007-06-12 Tokyo Electron Limited Apparatus and method for measuring overlay by diffraction gratings
US20080144036A1 (en) * 2006-12-19 2008-06-19 Asml Netherlands B.V. Method of measurement, an inspection apparatus and a lithographic apparatus
US7110491B2 (en) 2004-12-22 2006-09-19 Jordan Valley Applied Radiation Ltd. Measurement of critical dimensions using X-ray diffraction in reflection mode
US7478019B2 (en) 2005-01-26 2009-01-13 Kla-Tencor Corporation Multiple tool and structure analysis
US7553611B2 (en) * 2005-03-31 2009-06-30 Sandisk 3D Llc Masking of repeated overlay and alignment marks to allow reuse of photomasks in a vertical structure
US7567351B2 (en) 2006-02-02 2009-07-28 Kla-Tencor Corporation High resolution monitoring of CD variations
US7481579B2 (en) * 2006-03-27 2009-01-27 Jordan Valley Applied Radiation Ltd. Overlay metrology using X-rays
US7616313B2 (en) 2006-03-31 2009-11-10 Kla-Tencor Technologies Corporation Apparatus and methods for detecting overlay errors using scatterometry
US7920676B2 (en) * 2007-05-04 2011-04-05 Xradia, Inc. CD-GISAXS system and method
US7911612B2 (en) * 2007-06-13 2011-03-22 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
US7829168B2 (en) * 2007-11-21 2010-11-09 Promos Technologies Pte. Ltd. Methods for inspecting and optionally reworking summed photolithography patterns resulting from plurally-overlaid patterning steps during mass production of semiconductor devices
NL1036245A1 (nl) 2007-12-17 2009-06-18 Asml Netherlands Bv Diffraction based overlay metrology tool and method of diffraction based overlay metrology.
EP2131245A3 (de) * 2008-06-02 2012-08-01 ASML Netherlands BV Lithografische Vorrichtung und deren Fokusbestimmungsverfahren
WO2010007010A1 (en) * 2008-07-18 2010-01-21 Asml Netherlands B.V. Scatterometry method and measurement system for lithography
US7929667B1 (en) 2008-10-02 2011-04-19 Kla-Tencor Corporation High brightness X-ray metrology
JP5700685B2 (ja) * 2009-04-14 2015-04-15 株式会社リガク 表面微細構造計測方法、表面微細構造計測データ解析方法およびx線散乱測定装置
JP5237186B2 (ja) * 2009-04-30 2013-07-17 株式会社リガク X線散乱測定装置およびx線散乱測定方法
US8189202B2 (en) * 2009-08-04 2012-05-29 Zygo Corporation Interferometer for determining overlay errors
US20110276319A1 (en) 2010-05-06 2011-11-10 Jonathan Michael Madsen Determination of material optical properties for optical metrology of structures
US8687766B2 (en) * 2010-07-13 2014-04-01 Jordan Valley Semiconductors Ltd. Enhancing accuracy of fast high-resolution X-ray diffractometry
US8854628B2 (en) * 2010-09-22 2014-10-07 Zygo Corporation Interferometric methods for metrology of surfaces, films and underresolved structures
US9239522B2 (en) * 2010-10-08 2016-01-19 Kla-Tencor Corporation Method of determining an asymmetric property of a structure
US20120308788A1 (en) * 2011-05-31 2012-12-06 Chiu Chui-Fu Overlay mark set and method for positioning two different layout patterns
US9240254B2 (en) 2011-09-27 2016-01-19 Revera, Incorporated System and method for characterizing a film by X-ray photoelectron and low-energy X-ray fluorescence spectroscopy
US8980651B2 (en) * 2011-09-30 2015-03-17 Tokyo Electron Limited Overlay measurement for a double patterning
US8879073B2 (en) 2012-02-24 2014-11-04 Kla-Tencor Corporation Optical metrology using targets with field enhancement elements
NL2010401A (en) * 2012-03-27 2013-09-30 Asml Netherlands Bv Metrology method and apparatus, lithographic system and device manufacturing method.
US10801975B2 (en) 2012-05-08 2020-10-13 Kla-Tencor Corporation Metrology tool with combined X-ray and optical scatterometers
US8913237B2 (en) 2012-06-26 2014-12-16 Kla-Tencor Corporation Device-like scatterometry overlay targets
JP5959739B2 (ja) * 2012-07-10 2016-08-02 エーエスエムエル ネザーランズ ビー.ブイ. リソグラフィクラスタシステム、測定装置、およびリソグラフィ装置の位置決めデバイスを較正するための方法
US10013518B2 (en) 2012-07-10 2018-07-03 Kla-Tencor Corporation Model building and analysis engine for combined X-ray and optical metrology
US9581430B2 (en) 2012-10-19 2017-02-28 Kla-Tencor Corporation Phase characterization of targets
US10769320B2 (en) 2012-12-18 2020-09-08 Kla-Tencor Corporation Integrated use of model-based metrology and a process model
US9291554B2 (en) 2013-02-05 2016-03-22 Kla-Tencor Corporation Method of electromagnetic modeling of finite structures and finite illumination for metrology and inspection
US9442391B2 (en) * 2013-03-12 2016-09-13 Taiwan Semiconductor Manufacturing Co., Ltd. Overlay sampling methodology
US10101670B2 (en) 2013-03-27 2018-10-16 Kla-Tencor Corporation Statistical model-based metrology
US9535018B2 (en) 2013-07-08 2017-01-03 Kla-Tencor Corporation Combined x-ray and optical metrology
US9178066B2 (en) * 2013-08-30 2015-11-03 Taiwan Semiconductor Manufacturing Company Limited Methods for forming a semiconductor arrangement with structures having different heights
US9846132B2 (en) * 2013-10-21 2017-12-19 Kla-Tencor Corporation Small-angle scattering X-ray metrology systems and methods
US9885962B2 (en) * 2013-10-28 2018-02-06 Kla-Tencor Corporation Methods and apparatus for measuring semiconductor device overlay using X-ray metrology
US10895810B2 (en) * 2013-11-15 2021-01-19 Kla Corporation Automatic selection of sample values for optical metrology
US9115987B2 (en) * 2013-12-04 2015-08-25 Nanometrics Incorporated Optical metrology with multiple angles of incidence and/or azimuth angles
US9588066B2 (en) * 2014-01-23 2017-03-07 Revera, Incorporated Methods and systems for measuring periodic structures using multi-angle X-ray reflectance scatterometry (XRS)
US10162271B2 (en) * 2014-02-03 2018-12-25 Asml Netherlands B.V. Metrology method and apparatus, substrate, lithographic system and device manufacturing method
CN106030411B (zh) * 2014-02-17 2018-02-06 Asml荷兰有限公司 确定边缘定位误差的方法、检测设备、图案形成装置、衬底及器件制造方法
US10331043B2 (en) * 2014-02-21 2019-06-25 Asml Netherlands B.V. Optimization of target arrangement and associated target
WO2015172963A1 (en) * 2014-05-13 2015-11-19 Asml Netherlands B.V. Substrate and patterning device for use in metrology, metrology method and device manufacturing method
CN106462076B (zh) * 2014-06-02 2018-06-22 Asml荷兰有限公司 设计度量目标的方法、具有度量目标的衬底、测量重叠的方法、以及器件制造方法
US10151986B2 (en) 2014-07-07 2018-12-11 Kla-Tencor Corporation Signal response metrology based on measurements of proxy structures
WO2016005167A1 (en) * 2014-07-09 2016-01-14 Asml Netherlands B.V. Inspection apparatus, inspection method and device manufacturing method
WO2016124345A1 (en) * 2015-02-04 2016-08-11 Asml Netherlands B.V. Metrology method, metrology apparatus and device manufacturing method
CN107430352B (zh) * 2015-03-25 2020-01-21 Asml荷兰有限公司 量测方法、量测设备和器件制造方法
CN106154765B (zh) * 2015-04-23 2018-12-21 中芯国际集成电路制造(上海)有限公司 套刻测量装置
WO2017016903A1 (en) * 2015-07-30 2017-02-02 Asml Netherlands B.V. Inspection apparatus, inspection method and manufacturing method

Also Published As

Publication number Publication date
KR102512180B1 (ko) 2023-03-20
US20160320319A1 (en) 2016-11-03
WO2016176502A1 (en) 2016-11-03
IL255004B (en) 2021-08-31
KR20170139669A (ko) 2017-12-19
IL255004A0 (en) 2017-12-31
US10545104B2 (en) 2020-01-28
US20200116655A1 (en) 2020-04-16
US11428650B2 (en) 2022-08-30

Similar Documents

Publication Publication Date Title
DE112016001982T5 (de) Recheneffiziente auf röntgenstrahlgestützte messung des overlays
JP7210460B2 (ja) X線スキャトロメトリシステムのフルビーム計測
US10352695B2 (en) X-ray scatterometry metrology for high aspect ratio structures
US10324050B2 (en) Measurement system optimization for X-ray based metrology
US10983227B2 (en) On-device metrology using target decomposition
DE112013003491T5 (de) Modellbildungs- und Analyse-Maschine für eine kombinierte auf Röntgenstrahlung und optisch basierte Metrologie
DE112020002023T5 (de) Verfahren und systeme zur kombination von röntgenmetrologie-datensätzen zur verbesserung der parameterschätzung
DE112020004109T5 (de) Verfahren und Systeme für die Halbleitermetrologie auf Basis der weichen Röntgenreflektometrie mit Wellenlängenauflösung
DE112018005533T5 (de) Detektion und messung der dimensionen asymmetrischer strukturen
US11698251B2 (en) Methods and systems for overlay measurement based on soft X-ray Scatterometry
KR20190095525A (ko) 소각 x선 산란 측정법을 위한 x선 줌 렌즈
DE112016000853T5 (de) Optische Metrologie mit reduzierter Empfindlichkeit gegenüber Fokus-Fehlern
CN107533020B (zh) 计算上高效的基于x射线的叠盖测量系统与方法

Legal Events

Date Code Title Description
R005 Application deemed withdrawn due to failure to request examination