KR20190095525A - 소각 x선 산란 측정법을 위한 x선 줌 렌즈 - Google Patents

소각 x선 산란 측정법을 위한 x선 줌 렌즈 Download PDF

Info

Publication number
KR20190095525A
KR20190095525A KR1020197022910A KR20197022910A KR20190095525A KR 20190095525 A KR20190095525 A KR 20190095525A KR 1020197022910 A KR1020197022910 A KR 1020197022910A KR 20197022910 A KR20197022910 A KR 20197022910A KR 20190095525 A KR20190095525 A KR 20190095525A
Authority
KR
South Korea
Prior art keywords
ray
illumination
specimen
subsystem
radiation
Prior art date
Application number
KR1020197022910A
Other languages
English (en)
Other versions
KR102300470B1 (ko
Inventor
니콜라이 아르테미에프
마이클 프리드만
Original Assignee
케이엘에이 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 케이엘에이 코포레이션 filed Critical 케이엘에이 코포레이션
Publication of KR20190095525A publication Critical patent/KR20190095525A/ko
Application granted granted Critical
Publication of KR102300470B1 publication Critical patent/KR102300470B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N23/00Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00
    • G01N23/20Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by using diffraction of the radiation by the materials, e.g. for investigating crystal structure; by using scattering of the radiation by the materials, e.g. for investigating non-crystalline materials; by using reflection of the radiation by the materials
    • G01N23/207Diffractometry using detectors, e.g. using a probe in a central position and one or more displaceable detectors in circumferential positions
    • G01N23/2076Diffractometry using detectors, e.g. using a probe in a central position and one or more displaceable detectors in circumferential positions for spectrometry, i.e. using an analysing crystal, e.g. for measuring X-ray fluorescence spectrum of a sample with wavelength-dispersion, i.e. WDXFS
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N23/00Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00
    • G01N23/20Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by using diffraction of the radiation by the materials, e.g. for investigating crystal structure; by using scattering of the radiation by the materials, e.g. for investigating non-crystalline materials; by using reflection of the radiation by the materials
    • G01N23/201Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by using diffraction of the radiation by the materials, e.g. for investigating crystal structure; by using scattering of the radiation by the materials, e.g. for investigating non-crystalline materials; by using reflection of the radiation by the materials by measuring small-angle scattering
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B15/00Measuring arrangements characterised by the use of electromagnetic waves or particle radiation, e.g. by the use of microwaves, X-rays, gamma rays or electrons
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N23/00Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00
    • G01N23/20Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by using diffraction of the radiation by the materials, e.g. for investigating crystal structure; by using scattering of the radiation by the materials, e.g. for investigating non-crystalline materials; by using reflection of the radiation by the materials
    • G01N23/20008Constructional details of analysers, e.g. characterised by X-ray source, detector or optical system; Accessories therefor; Preparing specimens therefor
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N2223/00Investigating materials by wave or particle radiation
    • G01N2223/05Investigating materials by wave or particle radiation by diffraction, scatter or reflection
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N2223/00Investigating materials by wave or particle radiation
    • G01N2223/10Different kinds of radiation or particles
    • G01N2223/101Different kinds of radiation or particles electromagnetic radiation
    • G01N2223/1016X-ray
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N2223/00Investigating materials by wave or particle radiation
    • G01N2223/60Specific applications or type of materials
    • G01N2223/611Specific applications or type of materials patterned objects; electronic devices
    • G01N2223/6116Specific applications or type of materials patterned objects; electronic devices semiconductor wafer

Landscapes

  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Biochemistry (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Analytical Chemistry (AREA)
  • General Health & Medical Sciences (AREA)
  • Immunology (AREA)
  • Pathology (AREA)
  • Electromagnetism (AREA)
  • Dispersion Chemistry (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Analysing Materials By The Use Of Radiation (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)

Abstract

상이한 크기의 계측 타겟의 투과, 소각 X선 산란 측정법(T-SAXS) 측정을 위해 조명 빔 스폿 크기를 제어하기 위한 방법 및 시스템이 본 명세서에서 설명된다. X선 조명 광학기기 서브시스템은 고정된 위치들에 물체 및 이미지 평면들을 갖는 하나 이상의 포커싱 광학 요소 및 배율 및 빔 발산을 독립적으로 제어하는 하나 이상의 조명 개구 또는 슬릿을 포함한다. 추가 양태에서, 조명 소스 크기 및 형상은 배율 및 빔 발산과 함께 제어된다. 이러한 방식으로, 시편 상의 빔 발산 및 조명 스폿 크기는 일정한 조명 플럭스를 유지하면서 독립적으로 제어된다.

Description

소각 X선 산란 측정법을 위한 X선 줌 렌즈
[관련 출원에 대한 상호 참조]
본 특허 출원은 2017년 1월 3일자로 출원된 미국 특허 가출원 제62/441,707호로부터 35 U.S.C.§119에 따른 우선권을 주장하며, 그 전체 내용은 본 명세서에 참고로 통합된다.
[기술 분야]
설명되는 실시예들은 X선 계측 시스템들 및 방법들에 관한 것으로, 더욱 상세하게는 개선된 측정 정확도를 위한 방법들 및 시스템들에 관한 것이다.
전형적으로 논리 및 메모리 디바이스들과 같은 반도체 디바이스들은 시편에 적용되는 처리 단계들의 시퀀스에 의해 제조된다. 반도체 디바이스들의 다양한 피처들 및 다수의 구조적 레벨은 이러한 처리 단계들에 의해 형성된다. 예를 들어, 많은 가운데 특히 리소그래피는 반도체 웨이퍼 상에 패턴을 생성하는 것을 포함하는 하나의 반도체 제조 프로세스이다. 반도체 제조 프로세스들의 추가적인 예들은 화학 기계적 연마, 에칭, 퇴적, 및 이온 주입을 포함하지만 이에 한정되지 않는다. 다수의 반도체 디바이스는 단일 반도체 웨이퍼 상에 제조된 다음에 개별 반도체 디바이스들로 분리될 수 있다.
계측 프로세스들은 반도체 제조 프로세스 동안 다양한 단계들에서 사용되어 웨이퍼들 상의 결함들을 검출함으로써 더 높은 수율을 촉진한다. 산란 측정법 및 반사 측정법 구현들 및 관련 분석 알고리즘들을 포함하는 다수의 계측 기반 기술은 일반적으로 나노스케일 구조들의 임계 치수들, 막 두께들, 조성 및 다른 파라미터들을 특성화하는 데 사용된다.
전통적으로, 산란 측정법 임계 치수 측정들은 박막들 및/또는 반복된 주기적 구조들로 이루어진 타겟들에 대해 수행된다. 디바이스 제조 동안, 이러한 막들 및 주기적 구조들은 전형적으로 실제 디바이스 기하구조 및 물질 구조 또는 중간 설계를 나타낸다. 디바이스들(예를 들어, 논리 및 메모리 디바이스들)이 더 작은 나노미터 스케일 치수들로 이동함에 따라, 특성화가 더 어려워진다. 복잡한 3차원 기하구조 및 다양한 물리적 특성을 갖는 물질들을 포함하는 디바이스들은 특성화의 어려움에 기여한다. 예를 들어, 최신 메모리 구조들은 종종 광학 방사선이 바닥층에 침투하기 어렵게 하는 높은 종횡비의 3차원 구조들이다. 적외선 내지 가시광을 이용하는 광학 계측 도구들은 많은 층의 반투명 물질에 침투할 수 있지만, 양호한 침투 깊이를 제공하는 더 긴 파장들은 작은 비정상들에 대한 충분한 민감도를 제공하지 못한다. 또한, 복잡한 구조들(예를 들어, FinFET)을 특성화하는데 필요한 파라미터들의 수의 증가는 파라미터 상관성의 증가를 유발한다. 결과적으로, 타겟을 특성화하는 파라미터들은 종종 이용 가능한 측정들과 신뢰성 있게 분리될 수 없다.
일례에서, 스택 내의 교대 물질들 중 하나로서 폴리실리콘을 이용하는 3D 플래시 디바이스들에 대한 침투 문제를 극복하기 위해 더 긴 파장들(예를 들어, 근적외선)이 사용되었다. 그러나 3D 플래시의 미러형 구조는 본질적으로 광 강도의 감소를 유발하는데, 이는 조명이 막 스택 안으로 더 깊이 전파되기 때문이다. 이것은 깊이에 있어서 민감도 손실 및 상관성 문제를 유발한다.
다른 예에서, 불투명한 고굴절률 물질들이 최신 반도체 구조들에서 점점 더 많이 사용되고 있다. 광학 방사선은 종종 이러한 물질들로 형성된 층들에 침투할 수 없다. 결과적으로, 타원 분광계 또는 반사계와 같은 박막 산란 측정 도구들을 이용하는 측정들은 점차 어려워지고 있다.
이러한 어려움에 대응하여, 더 복잡한 광학 계측 도구들이 개발되었다. 예를 들어, 다수의 조명 각도, 더 짧은 조명 파장, 더 넓은 조명 파장 범위 및 (더 전통적인 반사율 또는 타원 분광 신호들에 더하여 다수의 뮬러 행렬 요소를 측정하는) 반사 신호들로부터의 더 완전한 정보 획득을 이용하는 도구들이 개발되었다. 그러나 이러한 접근법들은 많은 진보된 타겟들(예를 들어, 복잡한 3D 구조, 10nm 미만의 구조, 불투명한 물질을 사용하는 구조) 및 측정 응용들(예를 들어, 라인 에지 거칠기 및 라인 폭 거칠기 측정)과 관련된 근본적인 문제를 신뢰성 있게 극복하지 못했다.
원자력 현미경(AFM) 및 주사 터널링 현미경(STM)은 원자 분해능을 달성할 수 있지만, 이들은 시편의 표면만을 조사할 수 있다. 또한, AFM 및 STM 현미경은 긴 주사 시간을 요구한다. 주사 전자 현미경(SEM)은 중간 분해능 레벨을 달성하지만, 구조에 충분히 깊이로 침투할 수 없다. 따라서, 높은 종횡비의 구멍은 양호하게 특성화되지 못한다. 또한, 시편 충전의 필요성은 이미징 성능에 악영향을 미친다. X선 반사계들은 또한 높은 종횡비의 구조를 측정할 때 그들의 유효성을 제한하는 침투 문제를 겪는다.
침투 깊이 문제를 극복하기 위해, TEM, SEM 등과 같은 전통적인 이미징 기술들은 포커싱된 이온 빔(FIB) 기계가공, 이온 밀링, 블랭킷 또는 선택적 에칭 등과 같은 파괴적인 샘플 준비 기술과 함께 사용된다. 예를 들어, 투과 전자 현미경(TEM)은 높은 분해능 레벨을 달성하며, 임의의 깊이를 조사할 수 있지만, TEM은 시편의 파괴적인 절단을 요구한다. 물질 제거 및 측정의 여러 번의 반복은 일반적으로 3차원 구조 전반에서 중요 계측 파라미터를 측정하는 데 필요한 정보를 제공한다. 그러나 이러한 기술은 샘플 파괴와 긴 프로세스 시간을 요구한다. 이러한 유형의 측정을 완료하기 위한 복잡성 및 시간은 에칭 및 계측 단계들의 표류로 인해 큰 부정확성을 유발한다. 또한, 이러한 기술은 정합 오류를 유발하는 다수의 반복을 요구한다.
투과, 소각 X선 산란 측정법(T-SAXS) 시스템은 어려운 측정 응용들의 해결을 약속했다. 그러나, 측정 중인 웨이퍼 상에 유한한 크기의 X선 소스를 이미징하는 것은 특히 작은 계측 타겟 및 다양한 크기의 계측 타겟에 대해서는 여전히 어렵다.
이용 가능한 X선 소스는 빔 전파 방향에 직각인 방향으로 유한 치수를 갖는다. 또한, X선 소스에 의해 방출되는 X선 플럭스는 소스 크기에 비례한다. 유한한 소스 크기로 인해, 시편에 입사하는 빔 스폿은 광학 스킴의 소스 크기 및 배율에 의해 정의된다. 광학기기의 배율은 포커싱 광학기기에서 이미지까지의 거리 대 포커싱 광학기기에서 소스까지의 거리의 비율이다. 중요 과제는 상이한 크기의 계측 타겟에 적합하면서도 타겟을 통과하는 광자 플럭스를 최대화하는 가변 배율을 가능하게 하는 것이다.
많은 기존의 그레이징 입사 광학 시스템은 일정한 배율을 제공하는 고정 형상 또는 가변 배율을 제공하는 가변 형상의 포커싱 광학기기를 사용한다. 빔 발산은 포커싱 광학기기 뒤의 빔 슬릿 크기의 변경, 포커싱 광학기기의 형상의 변경, 또는 둘 다에 의해 제어된다.
일부 예들에서, 포커싱된 빔 크기는 상이한 배율을 갖는 상이한 포커싱 광학기기로 제어된다. 즉, 포커스에서의 빔 크기와 배율은 효과적으로 결합되고, 배율의 변경은 광학 요소들의 변경을 요구한다. 상이한 배율이 요구될 때마다 광학기기 및 관련 하드웨어를 대체할 필요성은 반도체 제조 환경에서 비실용적이다. 또한, 상이한 배율을 갖는 광학기기의 변경은 소스-광학기기 거리, 광학기기-포커스 거리, 또는 둘 다의 변경을 요구한다. 실제로, 이것은 소스에 대한 광학기기 및 샘플에 대한 광학기기 중 임의의 것의 물리적 재배치, 및 광학기기 및 소스에 대한 샘플의 재배치를 요구한다. 광학기기, 소스 및 샘플을 서로에 대해 물리적으로 재배치해야 하는 필요성은 반도체 제조 환경에서 매우 바람직하지 않다. 미국 특허 제6,249,566호 및 미국 특허 제6,504,902호는 이러한 특성을 갖는 시스템을 더 상세히 설명하며, 이들 특허의 전체 내용은 본 명세서에 참고로 통합된다.
일부 예들에서, 빔 발산은, 포커싱 광학기기의 출구 개구 근처에 위치지정된, 가변 크기를 갖는 출구 개구 또는 슬릿에 의해 제어된다. 그러나, 이 접근법은 샘플 상의 플럭스의 감소를 유발한다. 미국 특허 제7,245,699호는 이러한 특성을 갖는 시스템을 더 상세히 설명하며, 그 전체 내용은 본 명세서에 참고로 통합된다.
일부 예들에서, 배율 변경은 포커싱 광학기기의 기하 형상을 변경하여 포커스 거리를 변경함으로써 달성된다. 불행히도, 이 접근법은 광학기기 및 소스에 대한 타겟 위치의 변경을 요구하며, 이는 반도체 제조 환경에서 바람직하지 않다. 또한, 기하 형상의 달성 가능한 변경의 양은 매우 제한적이다. 따라서, 작은 포커스 크기 시스템에 대해 달성될 수 있는 배율의 범위는 매우 작다. 더욱이, 기하 형상화의 가능성 및 정확도, 및 형상 변화를 겪는 표면에 대한 반사 코팅의 도포는 매우 제한적일 수 있다. 미국 특허 제7,706,503호는 이러한 특성을 갖는 시스템을 더 상세히 설명하며, 그 전체 내용은 본 명세서에 참고로 통합된다.
디바이스 성능을 더 향상시키기 위해, 반도체 산업은 양태 스케일링보다는 수직 통합에 계속 포커스를 맞추고 있다. 따라서, 복잡한 완전 3차원 구조의 정확한 측정은 실행 가능성 및 지속적인 스케일링 개선을 보장하는 데 중요하다. 미래의 계측 응용들은 점점 더 작은 분해능의 요구, 다중 파라미터 상관성, 높은 종횡비의 구조를 포함하는 점점 더 복잡한 기하 구조 및 불투명한 물질의 사용 증가로 인해 계측의 어려움을 유발한다. 따라서, 개선된 T-SAXS 측정을 위한 방법 및 시스템이 요구된다.
상이한 크기의 계측 타겟의 투과, 소각 X선 산란 측정법(T-SAXS) 측정을 위해 조명 빔 스폿 크기를 제어하기 위한 방법 및 시스템이 본 명세서에서 설명된다. 일 양태에서, X선 조명 광학기기 서브시스템은 고정된 위치들에 물체 및 이미지 평면들을 갖는 하나 이상의 포커싱 광학 요소 및 타겟 상의 빔 크기 및 빔 발산을 독립적으로 제어하는 하나 이상의 개구 또는 슬릿을 포함한다. 추가 양태에서, 조명 소스 크기 및 형상은 배율 및 결과적으로 타겟 상의 빔 크기와 함께 제어된다. 이러한 방식으로, 웨이퍼 상의 조명 스폿 크기 및 빔 발산은 웨이퍼에서의 가장 높은 가능한 조명 플럭스를 제공하면서 독립적으로 제어된다.
조명 소스의 주어진 빔 발산 및 휘도에 대해, 상이한 크기 및 형상의 타겟을 통한 달성 가능한 최고 광자 플럭스는 배율 및 소스 크기 및 형상의 변화에 의해 제공된다. 일부 실시예들에서, 조명 소스 크기는 넓은 범위의 광학 배율에 걸쳐 시편에서 일정한 조명 빔 스폿 크기를 유지하도록 제어된다. 일부 다른 실시예들에서, 조명 소스 크기 및 광학 배율은 특정 계측 타겟과 관련된 이용 가능한 측정 영역에 맞도록 시편에서의 조명 빔 스폿 크기를 조정하고 달성 가능한 최고 조명 광자 플럭스를 제공하도록 제어된다.
추가 양태에서, T-SAXS 측정은 높은 종횡비의 구조들을 그들의 전체 깊이를 통해 특성화하기에 충분한 분해능 및 침투 깊이를 제공하는 입사각들의 범위에 걸쳐 수행된다.
다른 추가 양태에서, 계측 시스템은 시편의 측정된 구조의 구조 모델(예를 들어, 기하 모델, 물질 모델 또는 결합된 기하 및 물질 모델)을 생성하고, 구조 모델로부터 적어도 하나의 기하 파라미터를 포함하는 T-SAXS 응답 모델을 생성하고, 응답 모델을 이용하여 측정 데이터의 피팅 분석을 수행함으로써 적어도 하나의 시편 파라미터 값을 분석하도록 구성된다. 이러한 방식으로, 시뮬레이션된 T-SAXS 신호와 측정된 데이터의 비교는 샘플의 기하 특성은 물론, 전자 밀도 및 요소 식별 및 조성의 결정을 가능하게 한다.
다른 추가 양태에서, T-SAXS 측정 데이터는 검출된 회절 차수의 측정된 강도에 기초하여 측정된 구조의 이미지를 생성하는 데 사용된다. 일부 실시예들에서, 응답 함수 모델은 일반 전자 밀도 메시로부터의 산란을 설명하도록 일반화된다. 이 모델을 측정된 신호들에 맞추면서 이 메시 내의 모델링된 전자 밀도들을 제한하여 연속성 및 희박한 에지들을 강제하는 것은 샘플의 3차원 이미지를 제공한다.
전술한 내용은 요약이며, 따라서, 필요에 따라 상세의 간소화, 일반화 및 생략을 포함하고; 결과적으로, 이 분야의 기술자들은 요약이 단지 예시적인 것이며 어떠한 방식으로도 제한적이지 않음을 이해할 것이다. 본 명세서에서 설명되는 디바이스들 및/또는 프로세스들의 다른 양태, 발명 특징 및 이점은 본 명세서에 기재된 비제한적인 상세한 설명에서 명백해질 것이다.
도 1은 적어도 하나의 새로운 양태에서의 조정 가능한 배율 및 빔 발산을 갖는 계측 시스템(100)을 예시하는 도면이다.
도 2는 조명 빔의 선택된 부분이 포커싱 광학 요소의 중앙에 입사되는 동작 시나리오에서의 계측 시스템(100)의 조명 서브시스템(118)을 도시한다.
도 3은 조명 빔의 선택된 부분이 포커싱 광학 요소의 전단에 입사되는 동작 시나리오에서의 계측 시스템(100)의 조명 서브시스템(118)을 도시한다.
도 4는 조명 빔의 선택된 부분이 포커싱 광학 요소의 후단에 입사되는 동작 시나리오에서의 계측 시스템(100)의 조명 서브시스템(118)을 도시한다.
도 5는 각도 φ 및 θ에 의해 설명되는 특정 배향에서 입사 X선 조명 빔(116)에 대해 배향된 시편(101)을 도시한다.
도 6은 본 명세서에서 설명되는 모델들에 따라 T-SAXS 데이터에 기초하여 시편 파라미터 값을 분석하도록 구성된 모델 구축 및 분석 엔진(150)을 예시하는 도면이다.
도 7은 본 명세서에서 설명되는 바와 같은 T-SAXS 측정에 기초하여 구조를 측정하는 예시적인 방법(200)을 예시하는 흐름도를 도시한다.
이하, 본 발명의 배경 예들 및 일부 실시예들이 상세히 참조되며, 이들의 예들은 첨부 도면들에 예시된다.
반도체 제조 환경에서의 실제 T-SAXS 측정은 다양한 회절 특성을 갖는 상이한 크기의 계측 타겟의 측정을 요구한다. 일부 예들에서, 본 명세서에 설명된 바와 같은 T-SAXS 측정에 의해 특성화되는 계측 타겟은 측정 중인 웨이퍼의 스크라이브 라인 내에 위치지정된다. 이러한 예들에서, 계측 타겟은 스크라이브 라인의 폭 내에 맞는 크기를 갖는다. 일부 예들에서, 스크라이브 라인 폭은 80마이크로미터 미만이다. 일부 예들에서, 스크라이브 라인은 50마이크로미터 미만이다. 일반적으로, 반도체 제조에 사용되는 스크라이브 라인의 폭은 더 작아지는 추세이다. 일부 예들에서, 본 명세서에 설명된 바와 같은 T-SAXS 측정에 의해 특성화되는 계측 타겟은 측정 중인 웨이퍼의 활성 다이 영역 내에 위치지정되며, 기능적 집적 회로(예를 들어, 메모리, 이미지 센서, 논리 디바이스 등)의 일부이다.
일반적으로, 조명 빔 스폿 크기는 측정 중인 계측 타겟의 측면 치수와 거의 일치하여 측정 중인 계측 타겟을 둘러싸는 구조로부터의 오염 신호를 최소화하는 것이 바람직하다.
상이한 크기의 계측 타겟의 투과, 소각 X선 산란 측정법(T-SAXS) 측정을 위해 조명 빔 스폿 크기를 제어하기 위한 방법 및 시스템이 본 명세서에서 설명된다.
일 양태에서, X선 조명 광학기기 서브시스템은 고정 위치들에 물체 및 이미지 평면들을 갖는 하나 이상의 포커싱 광학 요소 및 배율 및 빔 발산을 독립적으로 제어하는 하나 이상의 조명 개구 또는 슬릿을 포함한다. 포커싱 광학기기는 각자가 일정한 기하 형상을 갖는 하나 이상의 반사면을 포함한다.
추가 양태에서, 조명 소스 크기 및 형상은, 웨이퍼 상의 조명 스폿 크기 및 빔 발산을 독립적으로 제어하면서 웨이퍼에서 조명 플럭스를 대략 일정하게 유지하기 위해 하나 이상의 조명 개구와 함께 제어된다.
도 1은 본 명세서에 제시된 예시적인 방법들에 따른, 시편의 특성을 측정하기 위한 T-SAXS 계측 도구(100)의 실시예를 도시한다. 도 1에 도시된 바와 같이, 시스템(100)은 포커싱된 빔에 의해 조명되는 시편(101)의 검사 영역(102)에 걸쳐 T-SAXS 측정을 수행하는 데 사용될 수 있다.
도시된 실시예에서, 계측 도구(100)는 T-SAXS 측정에 적합한 X선 방사선을 생성하도록 구성된 X선 조명 소스(110)를 포함한다. 일부 실시예들에서, X선 조명 소스(110)는 0.01나노미터 내지 1나노미터의 파장을 생성하도록 구성된다. 일반적으로, 높은 처리량의 인라인 계측을 가능하게 하기에 충분한 플럭스 레벨로 고휘도 X선을 생성할 수 있는 임의의 적합한 고휘도 X선 조명 소스가 T-SAXS 측정을 위한 X선 조명을 제공하도록 고려될 수 있다. 일부 실시예들에서, X선 소스는 X선 소스가 상이한 선택 가능한 파장의 X선 방사선을 전달할 수 있게 하는 튜닝 가능한 단색화기(monochromator)를 포함한다.
일부 실시예들에서, 15keV보다 큰 광자 에너지를 갖는 방사선을 방출하는 하나 이상의 X선 소스는 X선 소스가 웨이퍼 기판뿐만 아니라 전체 디바이스를 통한 충분한 투과를 허용하는 파장의 광을 공급하도록 보장하기 위해 사용된다. 비제한적인 예로서, 입자 가속기 소스, 액체 금속 제트 소스, 회전 애노드 소스, 고정식 고체 애노드 소스, 마이크로 포커스 소스, 마이크로 포커스 회전 애노드 소스 및 역 콤프턴 소스 중 임의의 것이 X선 소스로서 사용될 수 있다. 일례에서, 미국 캘리포니아 팔로 알토의 Lyncean Technologies, Inc.에서 입수할 수 있는 역 콤프턴 소스가 고려될 수 있다. 역 콤프턴 소스는 광자 에너지들의 범위에 걸쳐 X선을 생성하여 X선 소스가 상이한 선택 가능한 파장의 X선 방사선을 전달하는 것을 가능하게 하는 추가적인 이점을 갖는다.
예시적인 X선 소스는 X선 방사선을 자극하기 위해 고체 또는 액체 타겟을 폭격하도록 구성된 전자 빔 소스를 포함한다. 고휘도 액체 금속 X선 조명을 생성하는 방법 및 시스템이 KLA-Tencor Corp.에 대해 2011년 4월 19일자로 허여된 미국 특허 제7,929,667호에 설명되어 있으며, 그 전체는 본 명세서에 참고로 통합된다.
X선 조명 서브시스템은 조명 소스(110), 하나 이상의 포커싱 광학 요소(111) 및 X선 빔을 형상화하여 시편(101)으로 지향시키는 개구들 또는 슬릿들의 하나 이상의 세트를 포함한다. 도 1에 도시된 바와 같이, X선 조명 소스(110)는 유한 측면 치수(즉, 빔 축에 직교하는 0이 아닌 치수)를 갖는 소스 영역에 걸쳐 X선 방사를 생성한다. 포커싱 광학 요소(111)는 시편(101) 상의 X선 조명 빔(115)의 입사에 의해 정의되는 조명 영역(102)에 걸쳐 시편(101) 상에 소스 방사선을 포커싱한다.
일 양태에서, X선 조명 광학 서브시스템은 하나 이상의 포커싱 광학 요소 및 배율 및 빔 발산을 독립적으로 제어하는 하나 이상의 조명 개구 또는 슬릿을 포함한다. 포커싱 광학 요소는 각자가 일정한 기하 형상을 갖는 하나 이상의 반사면을 포함한다.
추가 양태에서, 조명 소스 크기 및 형상은, 웨이퍼 상의 조명 스폿 크기 및 빔 발산을 독립적으로 제어하면서 웨이퍼에서 조명 플럭스를 대략 일정하게 유지하기 위해 하나 이상의 조명 개구와 함께 제어된다.
도 1에 도시된 조명 서브시스템(118)은 제어 가능한 발산, 포커스 크기 및 형상을 갖는 X선 조명 빔(115)을 시편(101)에 제공한다. 포커싱 광학 요소(들)(111)의 반사면(들)은 동일한 포커싱 기하 형상(들)에 속하므로, 포커싱 광학 요소들의 물체 및 이미지 평면들은 반사면들의 전체 작업 가능 범위에 대해 고정된 위치들에 있다. 빔 선택 서브시스템(120)은 포커싱 광학 요소(111)에 근접하여 위치지정되고, 포커싱 광학 요소(111)로부터 반사된 조명 빔(112)의 일부를 선택함으로써 조명 서브시스템(118)의 배율 및 조명 빔(115)의 발산을 제어한다.
도 1에 도시된 실시예에서, 빔 선택 서브시스템(120)은 블레이드(122A) 및 블레이드(122B)를 포함한다. 블레이드들(122A, 122B)은 각각 액추에이터들(121A, 121B) 각각에 의해 포커싱 광학 요소(111)로부터 반사된 조명 빔(112)에 대해 독립적으로 위치된다. 조명 빔(112)의 필드 내의 서로에 대한 블레이드들(122A, 122B)의 위치(즉, 블레이드들(122A, 122B) 사이의 갭)는 조명 빔(115)의 발산을 제어한다. 조명 서브시스템(118)의 중심축에 수직인 방향에서의 조명 빔(112)에 대한 블레이드들(122A, 122B)의 위치(즉, 입사 및 반사 빔(112)에 의해 정의되는 평면에서의 반사 빔(112)에 대한 갭의 위치)는 조명 서브시스템(118)의 배율을 제어한다.
도 2는 계측 시스템(100)의 조명 서브시스템(118)을 더 상세하게 도시한다. 도 2에 도시된 바와 같이, 포커싱 광학 요소(111)는 타원형이다. 포커싱 광학 요소(111)의 반사면은 반-장축 길이(A) 및 반-단축 길이(B)를 갖는 타원(117)의 형상을 따른다. 포커싱 광학 요소(111)의 반사면은 길이 L에 의해 특성화된다. 조명 소스(110) 및 시편(101)은 타원(117)의 포커스들과 일치하는 포커싱 광학 요소(111)의 물체 및 이미지 평면들에 각각 위치지정된다. 일부 실시예들에서, 비율 A/B는 50 내지 250의 임의의 값이다.
도 2에 도시된 바와 같이, 블레이드들(122A, 122B)은 포커싱 광학 요소(111)에서 반사된 조명 빔(112)의 일부(113)가 시편(101)에 입사하는 조명 빔(115)으로서 선택되도록 위치된다. 선택된 일부(113)의 주 광선(116)도 도시된다. 주 광선(116)은 그레이징 입사각(θ)으로 포커싱 광학 요소(111)의 반사면에 입사한다. R1은 조명 소스(110)와 포커싱 광학 요소(111) 상의 주 광선(116)의 입사 지점 사이의 거리이다. R2는 포커싱 광학 요소(111) 상의 주 광선(116)의 입사 지점과 시편(101) 상의 주 광선(116)의 입사 지점 사이의 거리이다.
도 3 및 4는 계측 시스템(100)의 조명 서브시스템(118)을 더 상세히 도시한다. 도 3 및 4는 포커싱 광학 요소(111)로부터 반사되는 조명 빔(112)의 선택된 일부(113)가 상이하다는 점 외에는 도 2와 유사하다.
도 2에 도시된 바와 같이, 블레이드 요소들(122A, 122B)은 조명 빔(112)의 선택된 일부(113)의 주 광선(116)이 포커싱 광학 요소(111)의 중간 지점(즉, L/2)으로부터 반사되도록 위치된다. 도 3에 도시된 바와 같이, 블레이드 요소들(122A, 122B)은 조명 빔(112)의 선택된 일부(113)의 주 광선(116)이 포커싱 광학 요소(111)의 전단(즉, 조명 소스(110)에 가장 가까운 포커싱 광학 요소(111)의 부분)로부터 반사되도록 위치된다. 도 4에 도시된 바와 같이, 블레이드 요소들(122A, 122B)은 조명 빔(112)의 선택된 일부(113)의 주 광선(116)이 포커싱 광학 요소(111)의 후단(즉, 조명 소스(110)로부터 가장 먼 포커싱 광학 요소(111)의 부분)로부터 반사되도록 위치된다.
조명 서브시스템(118)의 광학 배율은 R1 대 R2의 비율에 의해 정의된다. 도 2 내지 도 4에 도시된 바와 같이, R1 대 R2의 비율, 따라서 조명 시스템의 광학 배율은 시편(101) 상에 입사하는 조명 빔(115)으로서 선택된 조명 빔(112)의 일부(113)에 따라 다르다. 특히, 포커싱 광학 요소(111) 상의 주 광선(116)의 입사 위치가 변함에 따라, 조명 서브시스템(118)의 광학 배율도 변한다.
도 3에 도시된 선택은 반사 빔의 주어진 발산에 대해 최고 배율을 제공한다. 블레이드들(122A, 122B)은 포커싱 광학 요소(111)의 전단으로부터 반사되는 조명 광만이 시편(101) 상에 입사하는 조명 빔(115)을 형성하도록 위치된다. 도 2에 도시된 선택은 반사 빔의 주어진 발산에 대해 중간 배율을 제공한다. 블레이드들(122A, 122B)은 포커싱 광학 요소(111)의 중앙으로부터 반사되는 조명 광만이 시편(101) 상에 입사하는 조명 빔(115)을 형성하도록 위치된다. 도 4에 도시된 선택은 반사 빔의 주어진 발산에 대해 최소 배율을 제공한다. 블레이드들(122A, 122B)은 포커싱 광학 요소(111)의 후단으로부터 반사되는 조명 광만이 시편(101) 상에 입사하는 조명 빔(115)을 형성하도록 위치된다.
도 1 내지 도 4는 포커싱 광학 요소(111)와 시편(101) 사이에 위치지정된 하나 이상의 블레이드를 갖는 빔 선택 서브시스템(120)을 도시한다. 그러나, 일반적으로, 빔 선택 서브시스템(120)은 포커싱 광학 요소(111)와 시편(101) 사이에, 조명 소스(110)와 포커싱 광학 요소(111) 사이에, 또는 둘 다에 위치지정된 하나 이상의 블레이드를 포함할 수 있다. 블레이드들은 포커싱 광학 요소(111)에 근접하여(즉, 조명 소스(110) 또는 시편(101)보다 포커싱 광학 요소(111)에 더 가깝게) 위치지정된다. 또한, 도 1 내지 도 4는 조명 빔(115)을 형성하는 슬릿을 정의하는 하나 이상의 블레이드를 갖는 빔 선택 서브시스템(120)을 도시한다. 그러나, 일반적으로, 빔 선택 서브시스템(120)은 본 명세서에서 설명되는 바와 같이 시편(101) 상에 입사하는 조명 빔(115)을 형성하는 조명 빔(112)의 일부(113)의 선택을 제어하는 이동 가능한 슬릿 또는 개구를 실현하기 위한 임의의 적절한 빔 차단 요소들을 포함할 수 있다.
전술한 바와 같이, 조명 서브시스템(118)의 광학 배율은 R1 대 R2의 비율에 의해 정의된다. 공칭 배율 MNOM은 수학식 1에 의해 설명되는 바와 같이 R1NOM 및 R2NOM에 의해 정의된다. R1NOM 및 R2NOM은 선택된 조명 빔의 주 광선이 포커싱 광학 요소의 중앙에 입사할 때(예를 들어, 도 2에 도시된 시나리오)의 R1 및 R2 각각의 값들이다.
Figure pct00001
작은 그레이징 입사각(예를 들어, 몇 도 정도 또는 그 미만의 θ)을 가정하면, 최대 달성 가능한 배율 MMAX는 수학식 2에 의해 정의되고, 최소 달성 가능한 배율 MMIN은 수학식 3에 의해 정의된다.
Figure pct00002
Figure pct00003
수학식 1 내지 수학식 3에 의해 예시된 바와 같이, 포커싱 광학 요소(111)의 길이가 길수록 배율 범위가 커진다. 또한, 포커싱 광학 요소의 길이 L 대 R1NOM의 비율은 달성 가능한 배율의 크기를 결정한다. 일부 실시예들에서, 공칭 배율은 약 3이고, 비율 L/R1NOM은 약 3/4이다. 이들 실시예에서, 달성 가능한 배율은 약 1.9에서 5.4까지 변한다. 일부 실시예들에서, 조명 소스(110)와 포커싱 광학 요소(111)의 반사면 상의 가장 가까운 지점 사이의 거리는 적어도 50밀리미터이다.
일부 실시예들에서, 포커싱 광학 요소(111)의 길이는 약 200밀리미터이고, R1NOM은 약 250밀리미터이다. 일부 실시예들에서, L, R1NOM 및 R2NOM은 조명 서브시스템(118)의 광학 배율이 적어도 4.3 내지 16의 범위 또는 그 이상이 되도록 선택된다. 일부 실시예들에서, L, R1NOM 및 R2NOM은 조명 서브시스템(118)의 광학 배율이 적어도 1 내지 8의 범위 또는 그 이상이 되도록 선택된다. 일부 실시예들에서, L, R1NOM 및 R2NOM은 조명 서브시스템(118)의 광학 배율이 적어도 2 내지 5의 범위 또는 그 이상이 되도록 선택된다.
일부 실시예들에서, 포커싱 광학 요소(111)는 외부 전반사에 의해 X선 광자를 반사하는 반사면들을 포함한다.
일부 실시예들에서, 포커싱 광학 요소(111)는 다층 코팅과의 상호 작용에 의해 X선 광자를 반사하는 반사면들을 포함한다. 이들 실시예 중 일부에서, 다층 코팅은 포커싱 광학 요소의 길이 방향으로 연속적으로 변하는 주기성으로 공간적으로 주기적이다. 이들 실시예 중 일부에서, 다층 코팅은 다층 코팅의 깊이 방향으로 연속적으로 변하는 주기성으로 공간적으로 주기적이다. 이들 실시예 중 일부에서, 다층 코팅은 다층 코팅의 깊이 방향으로 공간적으로 비주기적이다.
일부 실시예들에서, 포커싱 광학 요소(111)는 길이 방향으로 일정한 평면간 거리를 갖는 균일한 단결정 물질 또는 다결정 물질로 구성된 반사면들을 포함한다. 이들 실시예에서, X선 광자는 브래그 회절에 의해 반사된다. 일부 다른 실시예들에서, 포커싱 광학 요소(111)는 길이 방향으로 연속적으로 변하는 평면간 거리를 갖는 균일한 단결정 물질 또는 다결정 물질로 구성된 반사면들을 포함한다. 이들 실시예에서, X선 광자는 브래그 회절에 의해 반사된다.
일부 실시예들에서, 포커싱 광학 요소(111)는 반사면의 임의의 부분이 포커싱 광학 요소에 대해 동일한 위치들에 기하 포커스들을 갖도록 동일한 기하 형상을 갖는 반사면을 갖는 1-바운스 광학 요소이다.
일부 실시예들에서, 포커싱 광학 요소(111)는 2-바운스 광학 요소, 예를 들어 몬텔(Montel) 광학기기(광학 표면들의 나란한 배열), 커크패트릭-베즈(Kirkpatrick-Baez) 광학기기(광학 표면들의 직렬 배열)이다. 몬텔 광학 시스템의 추가적인 설명은 M. Montel, X-ray microscopy with catamegonic roof mirrors, X-ray microscopy and microradiography, Academic Press, New York, pp. 177-185, 1957에 설명되어 있으며, 그 전체 내용은 본 명세서에 참고로 통합된다. 커크패트릭-베즈 광학 시스템의 추가 설명은 P. Kirkpatrick and A.V. Baez, Formation of optical images by X-rays, Journal Opt. Soc. Am., vol. 38, pp. 766-774, 1948에 설명되어 있으며, 그 전체 내용은 본 명세서에 참고로 통합된다. 이들 실시예에서, 포커싱 광학 요소(111)의 광학 표면 각각은 반사면 각각의 임의의 부분이 포커싱 광학 요소에 대해 동일한 위치들에 기하 포커스들을 갖도록 동일한 기하 형상을 따른다. 일부 실시예들에서, 포커싱 광학 요소(111)는 횡 방향으로 90도 미만의 각도를 갖는 나란한 구성으로 배열된 2개의 반사면을 포함한다. 또한, 2개의 반사면은 반사면들 사이의 갭이 이미징되는 포커스 위치 뒤에서 측정된 빔 스폿의 강도 갭을 폐쇄하도록 구성된다. 일부 실시예들에서, 2-바운스 광학 요소의 반사면들은 공통 장착 프레임에 부착된 개별 기판들로 구성된다. 일부 다른 실시예들에서, 2-바운스 광학 요소의 반사면들은 개별 기판들로 구성되며, 각각의 반사면은 예를 들어 나란한 구성의 반사면들의 공간 및 각도 상호 배열을 능동적으로 제어하도록 개별 정렬 스테이지에 부착된다.
일부 실시예들에서, 포커싱 광학 요소(111)는 각자가 타원형 원통의 기하 형상을 갖는 2개의 광학 표면을 포함한다. 일부 다른 실시예들에서, 포커싱 광학 요소(111)는 회전 타원체, 원통, 타원형 원통, 구 및 환상체 중 임의의 것의 기하 형상을 갖는 하나의 반사면을 포함한다.
일부 실시예들에서, X선 조명 서브시스템은 시편(101) 상에 입사하는 X선 빔을 단색화하기 위한 X선 단색화기를 포함한다. 일례에서, 결정 단색화기가 X선 방사선의 빔을 단색화하는 데 사용된다. 일부 예들에서, X선 광학기기는 다층 X선 광학기기를 사용하여 시편(101)의 검사 영역(102) 상으로 X선 빔을 1 밀리라디안 미만의 발산으로 시준 또는 포커싱한다. 이러한 예들에서, 다층 X선 광학기기는 빔 단색화기로도 기능한다.
일부 실시예들에서, 빔 형상화 슬릿 메커니즘(도시되지 않음)이 빔 경로 내에서 시편(101) 바로 앞에 위치지정된다. 슬릿들은 시편(101)에 근접하여 위치지정되어 빔 발산으로 인한 입사 빔 스폿 크기의 확대를 최소화한다. 일부 실시예들에서, 빔 형상화 슬릿 메커니즘의 슬릿들은 시편(101)에 대한 조명 빔(115)의 입사 위치의 50밀리미터 내에 위치지정된다. 예시적인 빔 형상화 슬릿 메커니즘은 미국 캘리포니아 밀피타스의 KLA-Tencor Corporation에 양도된 Alexander Bykanov의 미국 특허 공보 제2017/0307548호에 설명되어 있으며, 그 전체 내용은 본 명세서에 참고로 통합된다.
일부 다른 실시예들에서, 계측 시스템(100)은 시편(101)에 근접하게 위치지정된 빔 형상화 슬릿 메커니즘을 사용하지 않는다. 이들 실시예에서, 시편(101)의 표면에서의 빔 발산 제어 및 조명 빔 크기는 빔 선택 서브시스템(120)에 의해 제어된다. 이러한 실시예들에서, 계측 타겟 주위의 영역의 광자 오염의 효과적인 제어는 측정 중인 웨이퍼의 표면에 근접하여 위치지정된 슬릿의 사용 없이 달성된다.
X선 검출기(119)는 시편(101)으로부터 산란된 X선 방사선(114)을 수집하고, T-SAXS 측정 양식에 따라 입사 X선 방사선과 상호 작용하는 시편(101)의 특성을 나타내는 출력 신호(135)를 생성한다. 일부 실시예들에서, X선 검출기(119)가 산란 X선(114)을 수집하는 동안, 시편 위치 설정 시스템(140)은 시편(101)을 위치지정하고 배향시켜 각도 분해된 산란 X선을 생성한다.
일부 실시예들에서, T-SAXS 시스템은 (예를 들어, 105보다 큰) 큰 동적 범위를 갖고 두꺼운 고흡수성 결정 기판들을 갖는 하나 이상의 광자 카운팅 검출기를 포함한다. 일부 실시예들에서, 단일 광자 카운팅 검출기가 산란된 광자들의 위치 및 수를 검출한다.
일부 실시예들에서, X선 검출기는 하나 이상의 X선 광자 에너지를 분석하고 시편의 특성을 나타내는 각각의 X선 에너지 성분에 대한 신호를 생성한다. 일부 실시예들에서, X선 검출기(119)는 픽셀화된 광자 카운팅 어레이, 임의의 CCD 어레이, 마이크로 채널 플레이트, 포토다이오드 어레이, 마이크로스트립 비례 카운터, 가스 충전된 비례 카운터, 신틸레이터 또는 형광 물질을 포함한다.
이러한 방식으로, 검출기 내의 X선 광자 상호 작용은 픽셀 위치 및 카운트 수에 더하여 에너지에 의해 판별된다. 일부 실시예들에서, X선 광자 상호작용은 X선 광자 상호 작용의 에너지를 미리 결정된 상한 임계 값 및 미리 결정된 하한 임계 값과 비교함으로써 판별된다. 일 실시예에서, 이 정보는 추가 처리 및 저장을 위해 출력 신호(135)를 통해 컴퓨팅 시스템(130)에 통신된다.
추가 양태에서, T-SAXS 시스템은 산란된 광의 하나 이상의 회절 차수에 기초하여 시편의 특성(예를 들어, 구조 파라미터 값)을 결정하는 데 사용된다. 도 1에 도시된 바와 같이, 계측 도구(100)는 검출기(119)에 의해 생성된 신호(135)를 획득하고 획득된 신호에 적어도 부분적으로 기초하여 시편의 특성을 결정하는 데 사용되는 컴퓨팅 시스템(130)을 포함한다.
일부 실시예들에서, 웨이퍼 표면 법선에 대한 X선 입사각의 함수로서의 회절 방사선의 강도의 측정치들이 수집된다. 전형적으로, 다수의 회절 차수에 포함된 정보는 고려중인 각각의 모델 파라미터 사이에서 고유하다. 따라서, X선 산란은 작은 에러 및 감소된 파라미터 상관성으로 관심 파라미터의 값에 대한 추정 결과를 산출한다.
일부 예들에서, T-SAXS에 기초하는 계측은 측정된 데이터를 이용하는 미리 결정된 측정 모델의 역 솔루션에 의해 샘플의 치수를 결정하는 것을 포함한다. 측정 모델은 몇 개(예를 들어, 10개 정도 또는 그 이상)의 조정 가능한 파라미터를 포함하며, 시편의 기하 및 광학 특성 및 측정 시스템의 광학 특성을 나타낸다. 역 솔루션의 방법은 모델 기반 회귀, 단층 촬영, 기계 학습 또는 이들의 임의의 조합을 포함하지만 이에 한정되지는 않는다. 이러한 방식으로, 측정된 산란 X선 강도와 모델링된 결과 간의 에러를 최소화하는 파라미터화된 측정 모델의 값을 구함으로써 타겟 프로파일 파라미터가 추정된다.
추가 양태에서, T-SAXS 측정은 높은 종횡비의 구조들을 그들의 전체 깊이를 통해 특성화하기에 충분한 분해능을 제공하는 입사각 범위에 걸쳐 수행된다.
일부 실시예들에서, 도 1에 도시된 좌표계(146)의 Y축 및 시편(101)에 부착된 좌표계(147)의 Z'축에 대한 회전들에 의해 설명되는 상이한 배향들에서 측정을 수행하는 것이 바람직하다. 이는 측정된 파라미터의 정밀도 및 정확도를 증가시키며, 분석에 이용 가능한 데이터 세트의 수 및 다양성을 확장하여 다양한 큰 각도의 면외 배향을 포함시킴으로써 파라미터들 간의 상관성을 감소시킨다. 더 깊고 다양한 데이터 세트로 시편 파라미터를 측정하는 것은 파라미터들 간의 상관성을 줄이고 측정 정확도를 개선한다. 예를 들어, 정상적인 배향에서, T-SAXS는 피처의 임계 치수를 분석할 수 있지만, 피처의 측벽 각도 및 높이에는 크게 민감하지 않다. 그러나 광범위한 면외 각도 위치에 걸쳐 측정 데이터를 수집함으로써, 피처의 측벽 각도와 높이가 분석될 수 있다.
도 1에 도시된 바와 같이, 계측 도구(100)는 회절계의 빔 축에 대한 큰 입사각 범위에 걸쳐 시편(101)을 정렬하는 것은 물론 시편(101)을 배향하도록 구성된 시편 위치 설정 시스템(140)을 포함한다. 즉, 시편 위치 설정 시스템(140)은 시편(101)의 표면과 면내 정렬된 하나 이상의 회전 축에 대해 큰 각도 범위에 걸쳐 시편(101)을 회전시키도록 구성된다. 일부 실시예들에서, 시편 위치 설정 시스템(140)은 시편(101)의 표면과 면내 정렬된 하나 이상의 회전 축에 대해 적어도 60도의 범위 내에서 시편(101)을 회전시키도록 구성된다. 이러한 방식으로, 시편(101)의 각도 분해된 측정치들이 시편(101)의 표면 상의 임의 수의 위치들에 걸쳐 계측 시스템(100)에 의해 수집된다. 일례에서, 컴퓨팅 시스템(130)은 시편(101)의 원하는 위치를 나타내는 시편 위치 설정 시스템(140)의 모션 컨트롤러에 커맨드 신호를 통신한다. 이에 응답하여, 모션 컨트롤러는 시편 위치 설정 시스템의 다양한 액추에이터에 대한 커맨드 신호를 생성하여 시편(101)의 원하는 위치 설정을 달성한다.
일반적으로, 시편 위치 설정 시스템(140)은 고니오미터 스테이지, 헥사포드 스테이지, 각도 스테이지 및 선형 스테이지를 포함하지만 이에 한정되지 않는 원하는 선형 및 각도 위치 설정 성능을 달성하기 위한 기계적 요소들의 임의의 적합한 조합을 포함할 수 있다.
본 명세서에 설명된 바와 같이, T-SAXS 측정은 반도체 웨이퍼의 표면 법선에 대한 조명 X선 빔의 다수의 배향에서 수행된다. 각각의 배향은 X선 조명 빔에 대한 웨이퍼(101)의 임의의 2개의 각도 회전 또는 그 반대에 의해 설명된다. 일례에서, 배향은 시편(101) 상에 입사하는 X선 조명 빔(116)의 중심축에 대해 설명될 수 있다. 도 5는 각도 φ 및 θ로 설명되는 특정 배향으로 웨이퍼(101) 상에 입사하는 X선 조명 빔(116)을 도시한다. 좌표 프레임 XYZ는 X선 조명 빔(116)에 고정되며, 따라서 Z축은 X선 조명 빔(116)의 중심축과 정렬된다. 좌표 프레임 X'Y'Z'는 웨이퍼(101)에 고정된다. Y축은 웨이퍼(101)의 표면과 면내 정렬되고, 웨이퍼는 각도 θ만큼 Y축에 대해 회전한다. Z'축은 웨이퍼(101)의 표면에 수직이고, X' 및 Y'는 웨이퍼(101)의 표면과 면 내에 있다. 각도 φ는 Y축에 대한 Y'축의 배향을 설명한다. θ 및 φ는 함께 X선 조명 빔(116)에 대한 시편(101)의 표면의 배향을 고유하게 정의한다. 이 예에서, X선 조명 빔(116)에 대한 시편(101)의 표면의 배향은 시편(101)의 표면에 수직인 축(즉, Z'축)에 대한 회전 및 웨이퍼(101)의 표면과 정렬된 축(즉, Y축)에 대한 회전에 의해 설명된다.
시편(101) 상의 조명 빔 스폿 크기는 광학 배율에 비례한다. 따라서, 배율이 원하는 값으로 조정됨에 따라, 시편(101)의 표면에서의 조명 빔 스폿 사이즈가 변한다. 많은 측정 응용에서, 이것은 가능한 최고 광자 플럭스를 유지하면서 관심 계측 타겟을 둘러싸는 구조 상으로의 조명 과잉으로 인한 신호 오염을 최소화하기 위한 조명 빔 스폿 크기의 제어를 가능하게 한다.
추가 양태에서, 조명 스폿 크기 및 형상은 각각 소스 크기 및 형상의 변화에 의해 제어된다. 일부 실시예들에서, 조명 소스 크기는 넓은 범위의 광학 배율에 걸쳐 시편에서 일정한 조명 빔 스폿 크기를 유지하도록 제어된다. 일부 다른 실시예들에서, 조명 소스 크기는 특정 계측 타겟과 관련된 원하는 측정 영역에 맞추기 위해 시편에서의 조명 빔 스폿 크기를 조정하도록 제어된다.
이는 계측 시스템(100)이 측정 중인 각각의 상이한 계측 타겟의 크기로 스케일링된 조명 빔 스폿 크기를 갖는 조명 빔(115)을 형성할 수 있게 하며, 측정 중인 계측 타겟에 근접하게 위치지정되는 빔 형상화 슬릿의 사용 없이 계측 타겟 주위의 광자 오염을 효과적으로 제어한다.
일부 실시예들에서, 조명 소스(110)의 크기는 큰 휘도 손실 없이 2배로 조정 가능하다. 일부 실시예들에서, 액체 금속 제트(LMJ) X선 조명 소스 크기는 동일한 레벨의 휘도를 유지하면서 10마이크로미터 반치폭(FWHM)의 원형 영역 및 또한 20마이크로미터 x 10마이크로미터 FWHM의 타원 영역에 걸치는 조명을 생성하도록 제어된다. LMJ 조명 소스의 경우, X선 조명 소스 크기는 횡 방향으로 LMJ 애노드를 가로지르는 전자 빔 크기에 비례한다. 따라서, X선 조명 소스 크기는 LMJ 애노드로 입사할 때 전자빔의 크기를 조정함으로써 제어된다.
일례에서, 계측 시스템(100)은 10마이크로미터 반치폭(FWHM)의 원형 조명 소스 크기를 갖도록 구성된다. 컴퓨팅 시스템은 조명 서브시스템(118)의 배율이 대략 5가 되도록 블레이드 요소들(122A, 122B)을 위치지정시키기 위해 액추에이터들(121A, 121B)에 제어 커맨드(136)를 통신한다. 이러한 구성에서, 계측 시스템(100)은 입사각들(θ)의 범위 및 방위각들(φ)의 범위에 걸쳐 100마이크로미터 x 100마이크로미터 계측 타겟을 측정한다. 다른 예에서, 동일한 계측 시스템(100)(즉, 동일한 위치들의 동일한 광학 컴포넌트들)은 10마이크로미터 x 20마이크로미터 FWHM의 타원형 조명 소스를 갖도록 구성된다. 컴퓨팅 시스템은 조명 서브시스템(118)의 배율이 대략 2.5가 되도록 블레이드 요소들(122A, 122B)을 위치지정시키기 위해 액추에이터들(121A, 121B)에 제어 커맨드(136)를 통신한다. 이 구성에서, 계측 시스템(100)은 입사각들(θ)의 범위에 걸쳐 50마이크로미터 x 100마이크로미터 계측 타겟을 측정한다. 이 예에서, 발산은 한 방향(50마이크로미터 방향)에서 효과적으로 제어되고, 최대 수의 광자가 다른 방향(100마이크로미터 방향)에서 수집된다. 조명 빔 스폿 크기는 조명 스폿을 재형상화하고 배율을 변경함으로써 계측 타겟에 맞춰진다.
일부 예들에서, 컴퓨팅 시스템(130)은 조명 소스(110)에 제어 커맨드(137)를 통신한다. 이에 응답하여, 조명 소스(110)는 스폿 크기 및 형상, 조명 파워, 스폿 오프셋, 입사각 등을 조정한다. 일례에서, 소스 타겟 상에 입사하는 전자 빔의 파라미터들은 스폿 크기 및 형상, 조명 파워, 스폿 오프셋, 입사각 등을 변경하도록 조정된다.
슬릿은 산란을 최소화하고 입사 방사선을 효과적으로 차단하는 물질로 구성된다. 예시적인 물질은 게르마늄, 갈륨 비소, 인화 인듐 등과 같은 단결정 물질을 포함한다. 슬릿 물질은 구조 경계를 가로지르는 산란을 최소화하기 위해 톱질이 아니라 결정 평면을 따라 쪼개진다. 또한, 슬릿은 입사하는 방사선과 슬릿 물질의 내부 구조 사이의 상호 작용이 최소량의 산란을 생성하도록 입사하는 빔에 대해 배향된다. 결정 물질은 슬릿의 일측 상의 X선 빔의 완전한 차단을 위해 고밀도 물질(예를 들어, 텅스텐)로 형성된 블레이드에 부착된다.
일부 실시예들에서, X선 조명 소스(110), 포커싱 광학 요소(111), 빔 선택 서브시스템(120) 또는 이들의 임의의 조합은 시편(101)과 동일한 대기 환경(예를 들어, 가스 청소 환경) 내에 유지된다. 그러나, 일부 실시예들에서, 임의의 이러한 요소들 사이 및 내부의 광학 경로는 길며, 공기 중의 X선 산란은 검출기 상의 이미지에 대한 잡음에 기여한다. 따라서, 일부 실시예들에서, X선 조명 소스(110), 포커싱 광학 요소(111), 빔 선택 서브시스템(120) 중 임의의 것은 하나 이상의 진공 창에 의해 시편(예를 들어, 시편(101))으로부터 분리된 하나 이상의 국지적인 진공 환경 내에 유지된다.
유사하게, 일부 실시예들에서, X선 검출기(119)는 시편(101)과 동일한 대기 환경(예를 들어, 가스 청소 환경) 내에 유지된다. 그러나, 일부 실시예들에서, 시편(101)과 X선 검출기(119) 사이의 거리는 길며, 공기 중의 X선 산란은 검출 신호에 대한 잡음에 기여한다. 따라서, 일부 실시예들에서, 하나 이상의 X선 검출기는 진공 창에 의해 시편(예를 들어, 시편(101))으로부터 분리된 국지적인 진공 환경 내에 유지된다.
진공 창은 X선 방사선에 대해 실질적으로 투명한 임의의 적절한 물질(예를 들어, 캡톤, 베릴륨 등)로 구성될 수 있다. 조명 빔의 산란을 최소화하기 위해 각각의 진공 챔버 내에 적절한 진공 환경이 유지된다. 적절한 진공 환경은 임의의 적절한 진공 레벨, 작은 원자 번호를 갖는 가스(예를 들어, 헬륨)를 포함하는 임의의 적절한 청소된 환경 또는 이들의 임의의 조합을 포함할 수 있다. 이러한 방식으로, 가능한 한 많은 빔 경로가 플럭스를 최대화하고 산란을 최소화하기 위해 진공 내에 위치지정된다.
일부 실시예들에서, 시편(101)을 포함하는 전체 광학 시스템이 진공 내에 유지된다. 그러나, 일반적으로, 시편(101)을 진공 내에 유지하는 것과 관련된 비용은 시편 위치 설정 시스템(140)의 구성과 관련된 복잡성 때문에 높다.
다른 추가 양태에서, 컴퓨팅 시스템(130)은 시편의 측정된 구조의 구조 모델(예를 들어, 기하 모델, 물질 모델 또는 결합된 기하 및 물질 모델)을 생성하고, 구조 모델로부터 적어도 하나의 기하 파라미터를 포함하는 T-SAXS 응답 모델을 생성하고, T-SAXS 응답 모델을 이용하여 T-SAXS 측정 데이터의 피팅 분석을 수행함으로써 적어도 하나의 시편 파라미터 값을 분석하도록 구성된다. 분석 엔진은 시뮬레이션된 T-SAXS 신호를 측정된 데이터와 비교하여, 샘플의 전자 밀도와 같은 물질 특성은 물론 기하구조의 결정을 가능하게 하는 데 사용된다. 도 1에 도시된 실시예에서, 컴퓨팅 시스템(130)은 본 명세서에서 설명되는 바와 같은 모델 구축 및 분석 기능을 구현하도록 구성된 모델 구축 및 분석 엔진으로서 구성된다.
도 6은 컴퓨팅 시스템(130)에 의해 구현되는 예시적인 모델 구축 및 분석 엔진(150)을 예시하는 도면이다. 도 6에 도시된 바와 같이, 모델 구축 및 분석 엔진(150)은 시편의 측정된 구조의 구조 모델(152)을 생성하는 구조 모델 구축 모듈(151)을 포함한다. 일부 실시예들에서, 구조 모델(152)은 또한 시편의 물질 특성을 포함한다. 구조 모델(152)은 T-SAXS 응답 함수 구축 모듈(153)에 대한 입력으로서 수신된다. T-SAXS 응답 함수 구축 모듈(153)은 구조 모델(152)에 적어도 부분적으로 기초하여 T-SAXS 응답 함수 모델(155)을 생성한다. 일부 예들에서, T-SAXS 응답 함수 모델(155)은 다음의 X선 폼 팩터에 기초한다.
Figure pct00004
여기서, F는 폼 팩터이고, q는 산란 벡터이며, ρ(r)은 구 좌표에서의 시편의 전자 밀도이다. 그러면, X선 산란 강도는 다음과 같이 주어진다.
Figure pct00005
T-SAXS 응답 함수 모델(155)은 피팅 분석 모듈(157)에 대한 입력으로서 수신된다. 피팅 분석 모듈(157)은 모델링된 T-SAXS 응답을 대응하는 측정 데이터와 비교하여 시편의 물질 특성은 물론 기하구조를 결정한다.
일부 예들에서, 모델링된 데이터의 실험 데이터에 대한 피팅은 카이 제곱 값을 최소화함으로써 달성된다. 예를 들어, T-SAXS 측정의 경우, 카이 제곱 값은 다음과 같이 정의될 수 있다.
Figure pct00006
여기서,
Figure pct00007
는 "채널" j에서 측정된 T-SAXS 신호들이고, 인덱스 j는 회절 차수, 에너지, 각도 좌표 등과 같은 시스템 파라미터들의 세트를 설명한다.
Figure pct00008
은 구조(타겟) 파라미터 v1, ..., vL의 세트에 대해 평가된 "채널" j에 대한 모델링된 T-SAXS 신호 Sj이고, 이들 파라미터는 기하구조(CD, 측벽 각도, 오버레이 등) 및 물질(전자 밀도 등)을 설명한다.
Figure pct00009
는 j 번째 채널과 관련된 불확실성이다.
Figure pct00010
는 X선 계측에서의 총 채널 수이다. L은 계측 타겟을 특성화하는 파라미터들의 수이다.
수학식 6은 상이한 채널들과 관련된 불확실성들이 상관되지 않는다는 것을 가정한다. 상이한 채널들과 관련된 불확실성들이 상관되는 예들에서는 불확실성들 간의 공분산이 계산될 수 있다. 이 예들에서, T-SAXS 측정에 대한 카이 제곱 값은 다음과 같이 표현될 수 있다.
Figure pct00011
여기서,
Figure pct00012
는 SAXS 채널 불확실성들의 공분산 행렬이고, T는 전치행렬을 나타낸다.
일부 예들에서, 피팅 분석 모듈(157)은 T-SAXS 응답 모델(155)을 이용하여 T-SAXS 측정 데이터(135)에 대한 피팅 분석을 수행함으로써 적어도 하나의 시편 파라미터 값을 분석한다. 일부 예들에서,
Figure pct00013
가 최적화된다.
전술한 바와 같이, T-SAXS 데이터의 피팅은 카이 제곱 값의 최소화에 의해 달성된다. 그러나, 일반적으로, T-SAXS 데이터의 피팅은 다른 기능들에 의해 달성될 수 있다.
T-SAXS 계측 데이터의 피팅은 관심 기하 및/또는 물질 파라미터에 대한 민감도를 제공하는 임의 유형의 T-SAXS 기술에 유리하다. 시편 파라미터는 시편과의 T-SAXS 빔 상호작용을 설명하는 적절한 모델이 사용되는 한은 결정론적이거나(예를 들어, CD, SWA 등), 통계적일 수 있다(예를 들어, 측벽 거칠기의 rms 높이, 거칠기 상관 길이 등).
일반적으로, 컴퓨팅 시스템(130)은 실시간으로 모델 파라미터에 액세스하여 실시간 임계 치수화(RTCD)를 사용하도록 구성되거나, 시편(101)과 관련된 적어도 하나의 시편 파라미터 값의 값을 결정하기 위해 미리 계산된 모델들의 라이브러리에 액세스할 수 있다. 일반적으로, CD 엔진의 일부 형태는 시편의 할당된 CD 파라미터와 측정된 시편과 관련된 CD 파라미터 간의 차이를 평가하는 데 사용될 수 있다. 시편 파라미터 값을 계산하기 위한 예시적인 방법 및 시스템이 KLA-Tencor Corp.에 대해 2010년 11월 2일자로 허여된 미국 특허 제7,826,071호에 설명되어 있으며, 그 전체 내용이 본 명세서에 참고로 통합된다.
일부 예들에서, 모델 구축 및 분석 엔진(150)은 피드 사이드웨이즈 분석, 피드 포워드 분석 및 병렬 분석의 임의의 조합에 의해 측정 파라미터의 정확도를 향상시킨다. 피드 사이드웨이즈 분석은 동일한 시편의 상이한 영역들 상에서 다수의 데이터 세트를 취하고 제1 데이터 세트에서 결정된 공통 파라미터들을 분석을 위해 제2 데이터 세트로 전달하는 것을 말한다. 피드 포워드 분석은 상이한 시편들 상에서 데이터 세트들을 취하고 단계별 복사 정확한 파라미터 피드 포워드 접근법을 사용하여 공통 파라미터들을 후속 분석으로 앞으로 전달하는 것을 말한다. 병렬 분석은 피팅 동안 적어도 하나의 공통 파라미터가 결합되는 다수의 데이터 세트에 대한 비선형 피팅 방법의 병렬 또는 동시 적용을 말한다.
다수의 도구 및 구조 분석은 다수의 데이터 세트의 회귀, 탐색표(즉, "라이브러리" 일치) 또는 다른 피팅 절차에 기초하는 피드 포워드, 피드 사이드웨이즈 또는 병렬 분석을 말한다. 다수의 도구 및 구조 분석을 위한 예시적인 방법 및 시스템은 KLA-Tencor Corp.에 대해 2009년 1월 13일자로 허여된 미국 특허 제7,478,019호에 개시되어 있으며, 그 전체는 본 명세서에 참고로 통합된다.
다른 추가 양태에서, 하나 이상의 관심 파라미터의 값의 초기 추정치는 측정 타겟에 대한 입사 X선 빔의 단일 배향에서 수행된 T-SAXS 측정치에 기초하여 결정된다. 초기 추정 값은 다수의 배향에서 T-SAXS 측정으로부터 수집된 측정 데이터에 대한 측정 모델의 회귀를 위한 관심 파라미터의 시작 값으로서 구현된다. 이러한 방식으로, 비교적 적은 양의 계산 노력으로 관심 파라미터의 근사 추정치가 결정되고, 이러한 근사 추정치를 훨씬 더 큰 데이터 세트에 대한 회귀를 위한 시작 점으로서 구현함으로써, 관심 파라미터의 정밀한 추정치가 더 적은 전체 계산 노력으로 얻어진다.
다른 양태에서, 계측 도구(100)는 본 명세서에 설명된 바와 같이 빔 제어 기능을 구현하도록 구성된 컴퓨팅 시스템(예를 들어, 컴퓨팅 시스템(130))을 포함한다. 도 1에 도시된 실시예에서, 컴퓨팅 시스템(130)은 입사 조명 빔(115)의 강도, 발산, 스폿 크기, 편광, 스펙트럼 및 위치 설정과 같은 임의의 조명 특성을 제어하도록 동작 가능한 빔 컨트롤러로서 구성된다.
도 1에 도시된 바와 같이, 컴퓨팅 시스템(130)은 검출기(119)에 통신 가능하게 결합된다. 컴퓨팅 시스템(130)은 검출기(119)로부터 측정 데이터(135)를 수신하도록 구성된다. 일례에서, 측정 데이터(135)는 시편의 측정된 응답(즉, 회절 차수의 강도)의 지시를 포함한다. 검출기(119)의 표면 상의 측정된 응답의 분포에 기초하여, 시편(101) 상의 조명 빔(115)의 입사의 위치 및 영역이 컴퓨팅 시스템(130)에 의해 결정된다. 일례에서, 패턴 인식 기술이 컴퓨팅 시스템(130)에 의해 적용되어, 측정 데이터(135)에 기초하여 시편(101) 상의 조명 빔(115)의 입사의 위치 및 영역이 결정된다. 일부 예들에서, 컴퓨팅 시스템(130)은 커맨드 신호(137)를 X선 조명 소스(110)에 통신하여 조명 소스의 원하는 크기 및 형태, 조명 파장을 선택하고, X선 방사를 재지향시킨다. 일부 예들에서, 컴퓨팅 시스템(130)은 커맨드 신호(136)를 빔 선택 서브시스템(120)에 통신하여, 입사 조명 빔(115)이 원하는 빔 스폿 크기 및 배향으로 시편(101)에 도달하도록 빔 스폿 크기를 변경한다. 일례에서, 커맨드 신호(136)는 빔 선택 서브시스템(120)이 조명 서브시스템(118)의 배율 및 조명 빔(115)의 발산을 독립적으로 조정하게 한다. 일부 다른 예들에서, 컴퓨팅 시스템(130)은 커맨드 신호를 웨이퍼 위치 설정 시스템(140)에 통신하여, 입사 조명 빔(115)이 시편(101)에 대해 원하는 위치 및 각도 배향으로 도달하도록 시편(101)을 위치시키고 배향시킨다.
추가 양태에서, T-SAXS 측정 데이터는 검출된 회절 차수의 측정된 강도에 기초하여 측정된 구조의 이미지를 생성하는 데 사용된다. 일부 실시예들에서, T-SAXS 응답 함수 모델은 일반 전자 밀도 메시로부터의 산란을 설명하도록 일반화된다. 이 모델을 측정된 신호들에 맞추면서 이 메시 내의 모델링된 전자 밀도들을 제한하여 연속성 및 희박한 에지들을 강제하는 것은 샘플의 3차원 이미지를 제공한다.
T-SAXS 측정에 기초한 임계 치수(CD) 계측을 위해서는 기하학적 모델 기반 파라미터 반전이 바람직하지만, 동일한 T-SAXS 측정 데이터로부터 생성된 시편의 맵은 측정된 시편이 기하 모델의 가정으로부터 벗어날 때 모델 에러를 식별하고 정정하는 데 유용하다.
일부 예들에서, 이미지는 동일한 산란 측정법 측정 데이터의 기하학적 모델 기반 파라미터 반전에 의해 추정된 구조적 특성과 비교된다. 불일치는 측정된 구조의 기하 모델을 업데이트하고 측정 성능을 향상시키는 데 사용된다. 정확한 파라미터 측정 모델에 수렴하는 능력은 집적 회로들을 측정하여 그들의 제조 프로세스를 제어, 모니터링 및 문제 해결할 때 특히 중요하다.
일부 예들에서, 이미지는 전자 밀도, 흡수율, 복소 굴절률 또는 이들 물질 특성의 조합의 2차원(2-D) 맵이다. 일부 예들에서, 이미지는 전자 밀도, 흡수율, 복소 굴절률 또는 이들 물질 특성의 조합의 3차원(3-D) 맵이다. 맵은 비교적 적은 물리적 제약을 사용하여 생성된다. 일부 예들에서, 임계 치수(CD), 측벽 각도(SWA), 오버레이, 에지 배치 에러, 피치 워크 등과 같은 하나 이상의 관심 파라미터는 결과적인 맵으로부터 직접 추정된다. 일부 다른 예들에서, 맵은 샘플 기하구조 또는 물질이 모델 기반 CD 측정에 사용되는 파라미터 구조 모델에 의해 고려되는 예상 값의 범위를 벗어날 때 웨이퍼 프로세스를 디버깅하는 데 유용하다. 일례에서, 자신의 측정된 파라미터에 따라 파라미터 구조 모델에 의해 예측된 구조의 렌더링과 맵 간의 차이는 파라미터 구조 모델을 업데이트하고 그의 측정 성능을 향상시키는 데 사용된다. 추가 상세는 미국 특허 공보 제2015/0300965호에 설명되어 있으며, 그 전체 내용은 본 명세서에 참고로 통합된다. 추가 상세는 미국 특허 공보 제2015/0117610호에 설명되어 있으며, 그 전체 내용은 본 명세서에 참고로 통합된다.
추가 양태에서, 모델 구축 및 분석 엔진(150)은 결합된 X선 및 광학 측정 분석을 위한 모델을 생성하는 데 사용된다. 일부 예들에서, 광학 시뮬레이션은 예를 들어 상이한 편광에 대한 반사율, 타원 분광 파라미터, 위상 변화 등과 같은 광학 신호를 계산하기 위해 맥스웰 방정식을 푸는 엄격한 결합 파동 분석(RCWA)에 기초한다.
하나 이상의 관심 파라미터의 값은 복수의 상이한 입사각에서의 X선 회절 차수의 검출된 강도 및 결합된 기하학적으로 파라미터화된 응답 모델에 대한 검출된 광학 강도의 결합된 피팅 분석에 기초하여 결정된다. 광학 강도는 도 1에 도시된 시스템(100)과 같은 X선 계측 시스템과 기계적으로 통합되거나 통합되지 않을 수 있는 광학 계측 도구에 의해 측정된다. 추가 상세는 미국 특허 공보 제2014/0019097호 및 미국 특허 공보 제2013/0304424호에 설명되어 있으며, 이들 각각의 전체 내용은 본 명세서에 참고로 통합된다.
일반적으로, 계측 타겟은 계측 타겟의 최대 높이 치수(즉, 웨이퍼 표면에 수직인 치수)를 최대 측면 너비 치수(즉, 웨이퍼 표면과 정렬된 치수)로 나눔으로써 정의되는 종횡비에 의해 특성화된다. 일부 실시예들에서, 측정 중인 계측 타겟은 적어도 20의 종횡비를 갖는다. 일부 실시예들에서, 계측 타겟은 적어도 40의 종횡비를 갖는다.
본 개시 전체에 걸쳐 설명된 다양한 단계들은 단일 컴퓨터 시스템(130) 또는 대안으로 다중 컴퓨터 시스템(130)에 의해 수행될 수 있음을 알아야 한다. 또한, 빔 선택 서브시스템(120), 조명 소스(110), 시편 위치 설정 시스템(140) 등과 같은 시스템(100)의 상이한 서브시스템들은 본 명세서에 설명된 단계들 중 적어도 일부를 수행하기에 적합한 컴퓨터 시스템을 포함할 수 있다. 따라서, 전술한 설명은 본 발명에 대한 제한이 아니라 단지 예시로서 해석되어야 한다. 또한, 하나 이상의 컴퓨팅 시스템(130)은 본 명세서에 설명된 임의의 방법 실시예의 임의의 다른 단계(들)를 수행하도록 구성될 수 있다.
또한, 컴퓨터 시스템(130)은 이 분야에 공지된 임의의 방식으로 X선 조명 소스(110), 빔 선택 서브시스템(120), 시편 위치 설정 시스템(140) 및 검출기(119)에 통신 가능하게 결합될 수 있다. 예를 들어, 하나 이상의 컴퓨팅 시스템(130)은 X선 조명 소스(110), 빔 선택 서브시스템(120), 시편 위치 설정 시스템(140) 및 검출기(119)와 각각 관련된 컴퓨팅 시스템들에 결합될 수 있다. 다른 예에서, X선 조명 소스(110), 빔 선택 서브시스템(120), 시편 위치 설정 시스템(140) 및 검출기(119) 중 임의의 것은 컴퓨터 시스템(130)에 결합된 단일 컴퓨터 시스템에 의해 직접 제어될 수 있다.
컴퓨터 시스템(130)은 유선 및/또는 무선 부분들을 포함할 수 있는 전송 매체에 의해 시스템의 서브시스템들(예를 들어, X선 조명 소스(110), 빔 선택 서브시스템(120), 시편 위치 설정 시스템(140), 검출기(119) 등)로부터 데이터 또는 정보를 수신 및/또는 획득하도록 구성될 수 있다. 이러한 방식으로, 전송 매체는 시스템(100)의 컴퓨터 시스템(130)과 다른 서브시스템들 사이의 데이터 링크의 역할을 할 수 있다.
계측 시스템(100)의 컴퓨터 시스템(130)은 유선 및/또는 무선 부분들을 포함할 수 있는 전송 매체에 의해 다른 시스템들로부터 데이터 또는 정보(예를 들어, 측정 결과, 모델링 입력, 모델링 결과 등)를 수신 및/또는 획득하도록 구성될 수 있다. 이러한 방식으로, 전송 매체는 컴퓨터 시스템(130)과 다른 시스템들(예를 들어, 메모리 온보드 계측 시스템(100), 외부 메모리 또는 외부 시스템들) 사이의 데이터 링크의 역할을 할 수 있다. 예를 들어, 컴퓨팅 시스템(130)은 데이터 링크를 통해 저장 매체(즉, 메모리(132 또는 180))로부터 측정 데이터(예를 들어, 신호(135))를 수신하도록 구성될 수 있다. 예를 들어, 검출기(119)를 사용하여 얻어진 결과는 영구적 또는 반영구적 메모리 디바이스(예를 들어, 메모리(132 또는 180))에 저장될 수 있다. 이와 관련하여, 측정 결과는 온보드 메모리 또는 외부 메모리 시스템으로부터 수입될 수 있다. 또한, 컴퓨터 시스템(130)은 전송 매체를 통해 다른 시스템에 데이터를 전송할 수 있다. 예를 들어, 컴퓨터 시스템(130)에 의해 결정된 시편 파라미터 값(170)은 영구적 또는 반영구적 메모리 디바이스(예를 들어, 메모리(180))에 저장될 수 있다. 이와 관련하여, 측정 결과는 다른 시스템으로 수출될 수 있다.
컴퓨팅 시스템(130)은 개인용 컴퓨터 시스템, 메인프레임 컴퓨터 시스템, 워크스테이션, 이미지 컴퓨터, 병렬 프로세서 또는 이 분야에 공지된 임의의 다른 디바이스를 포함할 수 있지만, 이에 한정되는 것은 아니다. 일반적으로, "컴퓨팅 시스템"이라는 용어는 메모리 매체로부터 명령어들을 실행하는 하나 이상의 프로세서를 갖는 임의의 디바이스를 포함하도록 광범위하게 정의될 수 있다.
본 명세서에 설명된 것과 같은 방법을 구현하는 프로그램 명령어들(134)은 와이어, 케이블 또는 무선 전송 링크와 같은 전송 매체를 통해 전송될 수 있다. 예를 들어, 도 1에 도시된 바와 같이, 메모리(132)에 저장된 프로그램 명령어들은 버스(133)를 통해 프로세서(131)로 전송된다. 프로그램 명령어들(134)은 컴퓨터 판독 가능 매체(예를 들어, 메모리(132))에 저장된다. 예시적인 컴퓨터 판독 가능 매체는 판독 전용 메모리, 랜덤 액세스 메모리, 자기 또는 광학 디스크, 또는 자기 테이프를 포함한다.
일부 실시예들에서, 본 명세서에 설명된 바와 같은 산란 측정법 분석은 제조 프로세스 도구의 일부로서 구현된다. 제조 프로세스 도구의 예는 리소그래피 노광 도구, 막 퇴적 도구, 주입 도구 및 에칭 도구를 포함하지만, 이에 한정되는 것은 아니다. 이러한 방식으로, T-SAXS 분석의 결과는 제조 프로세스를 제어하는 데 사용된다. 일례에서, 하나 이상의 타겟으로부터 수집된 T-SAXS 측정 데이터는 제조 프로세스 도구로 전송된다. T-SAXS 측정 데이터는 본 명세서에 설명된 바와 같이 분석되고, 결과는 제조 프로세스 도구의 동작을 조정하는 데 사용된다.
본 명세서에 설명된 바와 같은 산란 측정법 측정은 다양한 반도체 구조의 특성을 결정하는 데 사용될 수 있다. 예시적인 구조는 FinFET, 낮은 치수 구조, 예를 들어 나노와이어 또는 그래핀, 서브 10nm 구조, 리소그래피 구조, 기판 관통 비아(TSV), 메모리 구조, 예를 들어 DRAM, DRAM 4F2, 플래시, MRAM 및 높은 종횡비의 메모리 구조를 포함하지만 이에 한정되지 않는다. 예시적인 구조적 특성은 기하 파라미터, 예를 들어 라인 에지 거칠기, 라인 폭 거칠기, 기공 크기, 기공 밀도, 측벽 각도, 프로파일, 임계 치수, 피치, 두께, 오버레이 및 물질 파라미터, 예를 들어 전자 밀도, 조성, 그레인 구조, 형태학, 스트레스, 스트레인 및 요소 식별을 포함하지만 이에 한정되지 않는다. 일부 실시예들에서, 계측 타겟은 주기적 구조이다. 일부 다른 실시예들에서, 계측 타겟은 비주기적이다.
일부 예들에서, 스핀 전달 토크 랜덤 액세스 메모리(STT-RAM), 3차원 NAND 메모리(3D-NAND) 또는 수직 NAND 메모리(V-NAND), 동적 랜덤 액세스 메모리(DRAM), 3차원 플래시 메모리(3D-FLASH), 저항성 랜덤 액세스 메모리(Re-RAM) 및 상변화 랜덤 액세스 메모리(PC-RAM)을 포함하지만 이에 한정되지 않는 높은 종횡비의 반도체 구조의 임계 치수, 두께, 오버레이 및 물질 특성의 측정은 본 명세서에 설명된 바와 같은 T-SAXS 측정 시스템으로 수행된다.
도 7은 본 발명의 계측 시스템(100)에 의한 구현에 적합한 방법(200)을 도시한다. 일 양태에서, 방법(200)의 데이터 처리 블록은 컴퓨팅 시스템(130)의 하나 이상의 프로세서에 의해 실행되는 사전 프로그래밍된 알고리즘을 통해 수행될 수 있음이 인식된다. 다음의 설명은 계측 시스템(100)과 관련하여 제공되지만, 본 명세서에서 계측 시스템(100)의 특정한 구조적 양태는 제한을 나타내지 않으며, 단지 예시적인 것으로 해석되어야 한다는 것이 인식된다.
블록 201에서, X선 방사선의 양이 유한 방사 영역에 걸쳐 생성된다.
블록 202에서, X선 방사선의 양 중 적어도 일부가 하나 이상의 반사면으로부터 반사된다. 하나 이상의 반사면 상의 임의의 위치에서의 반사와 관련된 물체 평면의 위치 및 이미지 평면의 위치는 고정된다.
블록 203에서, 하나 이상의 이동 가능한 슬릿 또는 개구의 위치가 X선 광학 시스템의 중심축에 수직인 방향으로 X선 광학 시스템에 대해 조정되어 유한 방사 영역의 이미지의 광학 배율이 제어된다.
블록 204에서, 하나 이상의 이동 가능한 슬릿 또는 개구의 구멍이 하나 이상의 슬릿 또는 개구의 위치에 기초하여 X선 방사선으로부터 선택된 X선 조명 빔의 발산을 제어하도록 조정된다. X선 조명 빔은 측정 중인 시편 상에 입사한다.
블록 205에서, 입사 X선 조명 빔에 응답하여 측정 중인 시편으로부터 산란된 X선 방사선이 검출된다.
블록 206에서, 측정 중인 시편 상에 배치된 측정 타겟과 관련된 관심 파라미터의 값이 검출된 X선 방사선에 기초하여 결정된다.
본 명세서에 설명된 바와 같이, "임계 치수"라는 용어는 구조의 임의의 임계 치수(예를 들어, 하부 임계 치수, 중간 임계 치수, 상부 임계 치수, 측벽 각도, 격자 높이 등), 임의의 2개 이상의 구조 사이의 임계 치수(예를 들어, 2개의 구조 사이의 거리), 및 2개 이상의 구조 사이의 변위(예를 들어, 오버레이된 격자 구조들 사이의 오버레이 변위 등)를 포함한다. 구조는 3차원 구조, 패턴화된 구조, 오버레이 구조 등을 포함할 수 있다.
본 명세서에 설명된 바와 같이, "임계 치수 응용" 또는 "임계 치수 측정 응용"이라는 용어는 임의의 임계 치수 측정을 포함한다.
본 명세서에 설명된 바와 같이, "계측 시스템"이라는 용어는 임계 치수 응용 및 오버레이 계측 응용을 포함하는 임의의 양태에서 시편을 특성화하기 위해 적어도 부분적으로 사용되는 임의의 시스템을 포함한다. 그러나, 그러한 기술 용어는 본 명세서에서 설명된 바와 같은 "계측 시스템"이라는 용어의 범위를 제한하지 않는다. 또한, 본 명세서에 설명된 계측 시스템은 패턴화된 웨이퍼 및/또는 패턴화되지 않은 웨이퍼의 측정을 위해 구성될 수 있다. 계측 시스템은 LED 검사 도구, 엣지 검사 도구, 배면 검사 도구, 매크로 검사 도구 또는 다중 모드 검사 도구(하나 이상의 플랫폼으로부터의 데이터를 동시에 포함함) 및 본 명세서에 설명된 측정 기술로부터 이익을 얻는 임의의 다른 계측 또는 검사 도구로서 구성될 수 있다.
다양한 실시예들은 본 명세서에서 시편을 처리하기 위해 사용될 수 있는 반도체 처리 시스템(예를 들어, 검사 시스템 또는 리소그래피 시스템)에 대해 설명된다. 본 명세서에서 "시편"이라는 용어는 이 분야에 공지된 수단에 의해 처리(예를 들어, 인쇄 또는 결함 검사)될 수 있는 웨이퍼, 레티클 또는 임의의 다른 샘플을 지칭하는 데 사용된다.
본 명세서에 사용된 바와 같이, "웨이퍼"라는 용어는 일반적으로 반도체 또는 비-반도체 물질로 형성된 기판을 지칭한다. 그 예는 단결정 실리콘, 갈륨 비소 및 인화 인듐이 있지만 이에 한정되지 않는다. 이러한 기판은 일반적으로 반도체 제조 설비에서 발견 및/또는 처리될 수 있다. 일부 경우들에서, 웨이퍼는 단지 기판(즉, 베어 웨이퍼)만을 포함할 수 있다. 대안으로, 웨이퍼는 기판 상에 형성된 상이한 물질의 하나 이상의 층을 포함할 수 있다. 웨이퍼 상에 형성된 하나 이상의 층은 "패턴화되거나" "패턴화되지 않을" 수 있다. 예를 들어, 웨이퍼는 반복 가능한 패턴 피처를 갖는 복수의 다이를 포함할 수 있다.
"레티클"은 레티클 제조 프로세스의 임의의 스테이지에서의 레티클 또는 반도체 제조 설비에서 사용하기 위해 방출되거나 방출되지 않을 수 있는 완성된 레티클일 수 있다. 레티클 또는 "마스크"는 일반적으로 위에 형성되고 패턴으로 구성된 실질적으로 불투명한 영역들을 갖는 실질적으로 투명한 기판으로서 정의된다. 기판은 예를 들어 비정질 SiO2와 같은 유리 물질을 포함할 수 있다. 레티클은 리소그래피 프로세스의 노광 단계 동안 레지스트 피복 웨이퍼 위에 배치될 수 있으며, 따라서 레티클 상의 패턴이 레지스트에 전사될 수 있다.
웨이퍼 상에 형성된 하나 이상의 층은 패턴화되거나 패턴화되지 않을 수 있다. 예를 들어, 웨이퍼는 각자가 반복 가능한 패턴 피처를 갖는 복수의 다이를 포함할 수 있다. 이러한 물질층의 형성 및 처리는 궁극적으로 디바이스를 완성할 수 있다. 많은 다른 유형의 디바이스가 웨이퍼 상에 형성될 수 있으며, 본 명세서에서 사용되는 바와 같은 웨이퍼라는 용어는 이 분야에 공지된 임의의 유형의 디바이스가 제조되고 있는 웨이퍼를 포함하도록 의도된다.
하나 이상의 예시적인 실시예에서, 설명된 기능들은 하드웨어, 소프트웨어, 펌웨어 또는 이들의 임의의 조합으로 구현될 수 있다. 소프트웨어로 구현되는 경우, 기능들은 컴퓨터 판독 가능 매체 상에 하나 이상의 명령어 또는 코드로서 저장되거나 전송될 수 있다. 컴퓨터 판독 가능 매체는 컴퓨터 저장 매체 및 통신 매체 둘 다를 포함하며, 통신 매체는 한 곳에서 다른 곳으로의 컴퓨터 프로그램의 전달을 용이하게 하는 임의의 매체를 포함한다. 저장 매체는 범용 또는 특수 목적 컴퓨터에 의해 액세스될 수 있는 임의의 이용 가능한 매체일 수 있다. 제한이 아니라 예로서, 그러한 컴퓨터 판독 가능 매체는 RAM, ROM, EEPROM, CD-ROM 또는 다른 광학 디스크 저장소(optical disk storage), 자기 디스크 저장소(magnetic disk storage) 또는 다른 자기 저장 디바이스, 또는 명령어들 또는 데이터 구조들의 형태로 원하는 프로그램 코드 수단을 운반하거나 저장하는 데 사용될 수 있고, 범용 또는 특수 목적 컴퓨터 또는 범용 또는 특수 목적 프로세서에 의해 액세스될 수 있는 임의의 다른 매체를 포함할 수 있다. 또한, 임의의 접속은 컴퓨터 판독 가능 매체로서 적절히 지칭된다. 예를 들어, 동축 케이블, 광섬유 케이블, 트위스트 쌍, 디지털 가입자 라인(DSL), 또는 적외선, 라디오 및 마이크로파와 같은 무선 기술을 사용하여 웹 사이트, 서버 또는 기타 원격 소스로부터 소프트웨어가 전송되는 경우, 동축 케이블, 광섬유 케이블, 트위스트 쌍, DSL, 또는 적외선, 라디오 및 마이크로파와 같은 무선 기술은 매체의 정의에 포함된다. 본 명세서에서 사용되는 바와 같은 디스크(disk) 및 디스크(disc)는 컴팩트 디스크(CD: compact disc), 레이저 디스크(disc), XRF 디스크(disc), 디지털 다기능 디스크(DVD: digital versatile disc), 플로피 디스크(disk) 및 블루레이 디스크(disc)를 포함하며, 디스크(disk)는 일반적으로 데이터를 자기적으로 재생하는 반면, 디스크(disc)는 데이터를 레이저로 광학적으로 재생한다. 위의 것들의 조합들도 컴퓨터 판독 가능 매체의 범위 내에 포함되어야 한다.
소정의 특정 실시예들이 교시의 목적을 위해 위에서 설명되었지만, 본 특허 문헌의 교시는 일반적인 적용성을 가지며, 전술한 특정 실시예들로 한정되지 않는다. 따라서, 청구 범위에서 설명된 바와 같은 본 발명의 범위를 벗어나지 않고 설명된 실시예들의 다양한 특징들의 다양한 변경, 개조 및 조합이 실시될 수 있다.

Claims (25)

  1. 계측 시스템으로서,
    조명 서브시스템 - 상기 조명 서브시스템은:
    X선 방사선을 생성하도록 구성된 X선 조명 소스 - 상기 X선 조명 소스는 유한 방사 영역을 가짐 -;
    하나 이상의 반사면을 갖는 포커싱 광학 요소 - 상기 하나 이상의 반사면 상의 임의의 위치에서의 반사와 관련된 물체 평면의 위치 및 이미지 평면의 위치는 고정됨 -; 및
    상기 X선 조명 소스와 측정 중인 시편 사이의 빔 경로 내에 위치지정된 하나 이상의 이동 가능한 슬릿 또는 개구를 포함하는 빔 선택 서브시스템 - 상기 조명 서브시스템의 중심축에 수직인 방향에서의 상기 X선 방사선에 대한 상기 하나 이상의 이동 가능한 슬릿 또는 개구의 위치는, 상기 조명 서브시스템의 광학 배율을 제어하고, 상기 하나 이상의 이동 가능한 슬릿 또는 개구의 구멍은, 상기 포커싱 광학 요소로부터 반사되고 상기 하나 이상의 슬릿 또는 개구의 위치에 기초하여 상기 X선 방사선으로부터 선택된 X선 조명 빔의 발산을 제어하며, 상기 X선 조명 빔은 측정 중인 상기 시편 상에 입사함 -
    을 포함함 -; 및
    상기 입사 X선 조명 빔에 응답하여, 측정 중인 상기 시편으로부터 산란된 방사선의 양과 관련된 강도를 검출하도록 구성된 X선 검출기
    를 포함하는, 계측 시스템.
  2. 제1항에 있어서, 상기 X선 조명 소스의 유한 방사 영역의 형상 및 크기는 조정 가능한, 계측 시스템.
  3. 제2항에 있어서,
    컴퓨팅 시스템
    을 더 포함하고, 상기 컴퓨팅 시스템은:
    적어도 하나의 액추에이터로 하여금 상기 조명 서브시스템의 중심축에 수직인 방향에서 상기 X선 방사선에 대해 상기 하나 이상의 이동 가능한 슬릿 또는 개구를 상기 조명 서브시스템의 원하는 배율에 대응하는 원하는 위치로 이동시키고 상기 하나 이상의 슬릿 또는 개구의 구멍을 상기 입사 X선 조명 빔의 원하는 발산에 대응하는 원하는 구멍으로 조정하게 하는 제1 커맨드 신호를, 상기 빔 선택 서브시스템으로 통신하고;
    상기 조명 소스로 하여금 상기 유한 방사 영역의 크기, 형상, 또는 크기 및 형상 둘 다를 변경하게 하는 제2 커맨드 신호를, 상기 조명 소스로 통신하도록 구성되는, 계측 시스템.
  4. 제3항에 있어서, 상기 제2 커맨드 신호는 또한, 상기 조명 소스로 하여금, 상기 X선 방사선을 생성하는 전자 빔의 파워를 조정하게 하는, 계측 시스템.
  5. 제4항에 있어서, 상기 유한 방사 영역의 크기, 형상, 또는 크기 및 형상 둘 다의 변경 및 상기 전자 빔의 파워의 조정은, 생성되는 X선 플럭스를 최대화하여, 상기 입사 X선 조명 빔에 의해 조명되는 상기 시편의 최대 허용 가능 영역이 사용되게 하는, 계측 시스템.
  6. 제3항에 있어서, 상기 입사 X선 조명 빔에 의해 조명되는 상기 시편의 영역의 원하는 크기 및 형상은 원형 또는 타원형인, 계측 시스템.
  7. 제1항에 있어서, 상기 빔 선택 서브시스템은, 상기 조명 소스와 상기 포커싱 광학 요소 사이의 상기 X선 조명 빔의 광학 경로, 상기 포커싱 광학 요소와 상기 시편 사이의 상기 X선 조명 빔의 광학 경로, 또는 이들의 조합 내에 위치지정되는, 계측 시스템.
  8. 제1항에 있어서,
    측정 중인 상기 시편을 상기 입사 X선 조명 빔에 대해 복수의 입사각으로 위치시키도록 구성된 시편 위치 설정 시스템
    을 더 포함하고, 상기 복수의 입사각은 수직 입사각 내지 수직 입사각으로부터 적어도 20도의 입사각의 범위에 걸치는, 계측 시스템.
  9. 제1항에 있어서, 상기 포커싱 광학 요소는 타원형 형상인, 계측 시스템.
  10. 제1항에 있어서, 상기 조명 소스와 상기 하나 이상의 반사면 사이의 거리는 적어도 50밀리미터인, 계측 시스템.
  11. 제1항에 있어서, 상기 조명 서브시스템의 광학 배율은 적어도 2 내지 5 이상의 범위에 걸치는, 계측 시스템.
  12. X선 조명 서브시스템으로서,
    X선 방사선의 양을 생성하도록 구성된 X선 조명 소스 - 상기 X선 조명 소스의 유한 방사 영역의 형상 및 크기는 조정 가능함 -;
    하나 이상의 반사면을 갖는 포커싱 광학 요소 - 상기 하나 이상의 반사면 상의 임의의 위치에서의 반사와 관련된 물체 평면의 위치 및 이미지 평면의 위치는 고정됨 -; 및
    상기 X선 조명 소스와 측정 중인 시편 사이의 빔 경로 내에 위치지정된 하나 이상의 이동 가능한 슬릿 또는 개구를 포함하는 빔 선택 서브시스템 - 상기 X선 조명 서브시스템의 중심축에 수직인 방향에서의 상기 X선 방사선에 대한 상기 하나 이상의 이동 가능한 슬릿 또는 개구의 위치는, 상기 조명 서브시스템의 광학 배율을 제어하고, 상기 하나 이상의 이동 가능한 슬릿 또는 개구의 구멍은, 상기 포커싱 광학 요소로부터 반사되고 상기 하나 이상의 슬릿 또는 개구의 위치에 기초하여 상기 반사된 X선 방사선으로부터 선택된 X선 조명 빔의 발산을 제어하며, 상기 X선 조명 빔은 측정 중인 상기 시편 상에 입사함 -
    을 포함하는, X선 조명 서브시스템.
  13. 제12항에 있어서,
    컴퓨팅 시스템
    을 더 포함하고, 상기 컴퓨팅 시스템은:
    적어도 하나의 액추에이터로 하여금 상기 X선 조명 서브시스템의 중심축에 수직인 방향에서 상기 X선 방사선에 대해 상기 하나 이상의 이동 가능한 슬릿 또는 개구를 상기 조명 서브시스템의 원하는 배율에 대응하는 원하는 위치로 이동시키고 상기 하나 이상의 슬릿 또는 개구의 구멍을 상기 입사 X선 조명 빔의 원하는 발산에 대응하는 원하는 구멍으로 조정하게 하는 제1 커맨드 신호를, 상기 빔 선택 서브시스템으로 통신하고;
    상기 조명 소스로 하여금 상기 유한 방사 영역의 크기, 형상, 또는 크기 및 형상 둘 다를 변경하게 하는 제2 커맨드 신호를, 상기 조명 소스로 통신하도록 구성되는, X선 조명 서브시스템.
  14. 제13항에 있어서, 상기 제2 커맨드 신호는 또한, 상기 조명 소스로 하여금, 상기 X선 방사선을 생성하는 전자 빔의 파워를 조정하게 하는, X선 조명 서브시스템.
  15. 제14항에 있어서, 상기 유한 방사 영역의 크기, 형상, 또는 크기 및 형상 둘 다의 변경 및 상기 전자 빔의 파워의 조정은, 생성되는 X선 플럭스를 최대화하여, 상기 입사 X선 조명 빔에 의해 조명되는 상기 시편의 최대 허용 가능 영역이 사용되게 하는, X선 조명 서브시스템.
  16. 제12항에 있어서, 상기 빔 선택 서브시스템은, 상기 조명 소스와 상기 포커싱 광학 요소 사이의 상기 X선 조명 빔의 광학 경로, 상기 포커싱 광학 요소와 상기 시편 사이의 상기 X선 조명 빔의 광학 경로, 또는 이들의 조합 내에 위치지정되는, X선 조명 서브시스템.
  17. 제12항에 있어서, 상기 포커싱 광학 요소는 타원형 형상인, X선 조명 서브시스템.
  18. 제12항에 있어서, 상기 조명 소스와 상기 하나 이상의 반사면 사이의 거리는 적어도 50밀리미터인, X선 조명 서브시스템.
  19. 제12항에 있어서, 상기 조명 서브시스템의 광학 배율은 적어도 2 내지 5 이상의 범위에 걸치는, X선 조명 서브시스템.
  20. 방법으로서,
    유한 방사 영역에 걸쳐 X선 방사선의 양을 생성하는 단계;
    하나 이상의 반사면으로부터 상기 생성된 X선 방사선의 적어도 일부를 반사하는 단계 - 상기 하나 이상의 반사면 상의 임의의 위치에서의 반사와 관련된 물체 평면의 위치 및 이미지 평면의 위치는 고정됨 -;
    X선 광학 시스템에 대한 하나 이상의 이동 가능한 슬릿 또는 개구의 위치를 상기 X선 광학 시스템의 중심축에 수직인 방향으로 조정하여 상기 유한 방사 영역의 이미지의 광학 배율을 제어하는 단계;
    상기 하나 이상의 이동 가능한 슬릿 또는 개구의 구멍을 조정하여, 상기 하나 이상의 슬릿 또는 개구의 위치에 기초하여 상기 X선 방사선으로부터 선택된 X선 조명 빔의 발산을 제어하는 단계 - 상기 X선 조명 빔은 측정 중인 시편 상에 입사함 -;
    상기 입사 X선 조명 빔에 응답하여, 측정 중인 상기 시편으로부터 산란된 X선 방사선을 검출하는 단계; 및
    상기 검출된 X선 방사선에 기초하여, 측정 중인 상기 시편 상에 배치된 측정 타겟과 관련된 관심 파라미터의 값을 결정하는 단계
    를 포함하는, 방법.
  21. 제20항에 있어서,
    상기 유한 방사 영역의 형상, 상기 유한 방사 영역의 크기, 또는 둘 다를 조정하는 단계
    를 더 포함하는, 방법.
  22. 제21항에 있어서,
    상기 X선 방사선을 생성하는 전자 빔의 파워를 조정하는 단계
    를 더 포함하는, 방법.
  23. 제22항에 있어서, 상기 유한 방사 영역의 크기, 형상, 또는 크기 및 형상 둘 다의 변경 및 상기 전자 빔의 파워의 조정은, 생성되는 X선 플럭스를 최대화하여, 상기 입사 X선 조명 빔에 의해 조명되는 상기 시편의 최대 허용 가능 영역이 사용되게 하는, 방법.
  24. 제20항에 있어서, 상기 하나 이상의 반사면은 회전 타원체, 원통, 타원형 원통, 구, 및 환상체 중 임의의 것의 기하 형상을 포함하는, 방법.
  25. 제20항에 있어서,
    측정 중인 상기 시편을 상기 X선 방사선의 입사 빔에 대해 복수의 입사각으로 위치시키는 단계
    를 더 포함하고, 상기 복수의 입사각은 수직 입사각 내지 수직 입사각으로부터 적어도 20도의 입사각의 범위에 걸치는, 방법.
KR1020197022910A 2017-01-03 2018-01-02 소각 x선 산란 측정법을 위한 x선 줌 렌즈 KR102300470B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201762441707P 2017-01-03 2017-01-03
US62/441,707 2017-01-03
US15/847,375 2017-12-19
US15/847,375 US10859518B2 (en) 2017-01-03 2017-12-19 X-ray zoom lens for small angle x-ray scatterometry
PCT/US2018/012086 WO2018128988A1 (en) 2017-01-03 2018-01-02 X-ray zoom lens for small angle x-ray scatterometry

Publications (2)

Publication Number Publication Date
KR20190095525A true KR20190095525A (ko) 2019-08-14
KR102300470B1 KR102300470B1 (ko) 2021-09-10

Family

ID=62712265

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020197022910A KR102300470B1 (ko) 2017-01-03 2018-01-02 소각 x선 산란 측정법을 위한 x선 줌 렌즈

Country Status (8)

Country Link
US (1) US10859518B2 (ko)
EP (1) EP3548878B1 (ko)
JP (1) JP6906630B2 (ko)
KR (1) KR102300470B1 (ko)
CN (1) CN110383053B (ko)
IL (1) IL267761B (ko)
TW (1) TWI743286B (ko)
WO (1) WO2018128988A1 (ko)

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP3457353B1 (en) * 2017-09-18 2020-11-25 Siemens Healthcare GmbH Method and system for obtaining a true shape of objects in a medical image
EP3550585B1 (en) * 2018-04-05 2021-06-23 FEI Company Studying dynamic specimens in a transmission charged particle microscope
KR20210028276A (ko) * 2018-07-31 2021-03-11 램 리써치 코포레이션 고 종횡비 구조체들의 패터닝된 어레이들 내의 틸팅 각도 결정
US11867595B2 (en) * 2019-10-14 2024-01-09 Industrial Technology Research Institute X-ray reflectometry apparatus and method thereof for measuring three dimensional nanostructures on flat substrate
KR20210068890A (ko) 2019-12-02 2021-06-10 삼성전자주식회사 Cdi 기반 검사 장치 및 방법
JP7458935B2 (ja) * 2020-08-26 2024-04-01 キオクシア株式会社 計測装置、及び、計測方法
US12013355B2 (en) * 2020-12-17 2024-06-18 Kla Corporation Methods and systems for compact, small spot size soft x-ray scatterometry
CN116311085B (zh) * 2023-05-19 2023-09-01 杭州睿影科技有限公司 一种图像处理方法、系统、装置及电子设备
CN116482867B (zh) * 2023-06-25 2023-08-22 中国科学院上海高等研究院 一种光束线站的自动反馈调光系统及方法

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1617210B1 (en) * 2004-07-15 2009-02-18 Rigaku Corporation Method and apparatus for X-Ray reflectance measurement
US7809108B1 (en) * 2008-02-01 2010-10-05 Bruker Axs, Inc. Method and apparatus for generating small size, high-intensity X-ray beams
US7920676B2 (en) * 2007-05-04 2011-04-05 Xradia, Inc. CD-GISAXS system and method
WO2016103834A1 (ja) * 2014-12-25 2016-06-30 株式会社リガク 斜入射蛍光x線分析装置および方法

Family Cites Families (54)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0382943A (ja) 1989-08-25 1991-04-08 Nec Corp 全反射蛍光x線分析装置
JP2720131B2 (ja) * 1992-05-15 1998-02-25 株式会社日立製作所 X線反射プロファイル測定方法及び装置
US5608526A (en) 1995-01-19 1997-03-04 Tencor Instruments Focused beam spectroscopic ellipsometry method and system
US6023338A (en) 1996-07-12 2000-02-08 Bareket; Noah Overlay alignment measurement of wafers
JP2984232B2 (ja) * 1996-10-25 1999-11-29 株式会社テクノス研究所 X線分析装置およびx線照射角設定方法
US5859424A (en) 1997-04-08 1999-01-12 Kla-Tencor Corporation Apodizing filter system useful for reducing spot size in optical measurements and other applications
AU2549899A (en) * 1998-03-02 1999-09-20 Nikon Corporation Method and apparatus for exposure, method of manufacture of exposure tool, device, and method of manufacture of device
JP3734366B2 (ja) 1998-03-20 2006-01-11 株式会社リガク X線分析装置
CA2392378A1 (en) * 1999-11-24 2001-05-31 Alan George Michette X-ray zoom lens
US6429943B1 (en) 2000-03-29 2002-08-06 Therma-Wave, Inc. Critical dimension analysis with simultaneous multiple angle of incidence measurements
US6504902B2 (en) 2000-04-10 2003-01-07 Rigaku Corporation X-ray optical device and multilayer mirror for small angle scattering system
US6787773B1 (en) 2000-06-07 2004-09-07 Kla-Tencor Corporation Film thickness measurement using electron-beam induced x-ray microanalysis
US7068833B1 (en) 2000-08-30 2006-06-27 Kla-Tencor Corporation Overlay marks, methods of overlay mark design and methods of overlay measurements
US7317531B2 (en) 2002-12-05 2008-01-08 Kla-Tencor Technologies Corporation Apparatus and methods for detecting overlay errors using scatterometry
US7541201B2 (en) 2000-08-30 2009-06-02 Kla-Tencor Technologies Corporation Apparatus and methods for determining overlay of structures having rotational or mirror symmetry
US20030002043A1 (en) 2001-04-10 2003-01-02 Kla-Tencor Corporation Periodic patterns and technique to control misalignment
US6716646B1 (en) 2001-07-16 2004-04-06 Advanced Micro Devices, Inc. Method and apparatus for performing overlay measurements using scatterometry
WO2003054475A2 (en) 2001-12-19 2003-07-03 Kla-Tencor Technologies Corporation Parametric profiling using optical spectroscopic systems
US6778275B2 (en) 2002-02-20 2004-08-17 Micron Technology, Inc. Aberration mark and method for estimating overlay error and optical aberrations
US6992764B1 (en) 2002-09-30 2006-01-31 Nanometrics Incorporated Measuring an alignment target with a single polarization state
US7072442B1 (en) * 2002-11-20 2006-07-04 Kla-Tencor Technologies Corporation X-ray metrology using a transmissive x-ray optical element
JP4532478B2 (ja) * 2003-02-28 2010-08-25 オスミック、インコーポレイテッド 収束を調整可能なx線光学システム
US7842933B2 (en) 2003-10-22 2010-11-30 Applied Materials Israel, Ltd. System and method for measuring overlay errors
US6937337B2 (en) 2003-11-19 2005-08-30 International Business Machines Corporation Overlay target and measurement method using reference and sub-grids
US7321426B1 (en) 2004-06-02 2008-01-22 Kla-Tencor Technologies Corporation Optical metrology on patterned samples
DE102004052350B4 (de) 2004-10-28 2008-01-10 Bruker Axs B.V. Röntgendiffraktometer mit Wechselapertur
US7110491B2 (en) * 2004-12-22 2006-09-19 Jordan Valley Applied Radiation Ltd. Measurement of critical dimensions using X-ray diffraction in reflection mode
US7478019B2 (en) 2005-01-26 2009-01-13 Kla-Tencor Corporation Multiple tool and structure analysis
JP4585926B2 (ja) 2005-06-17 2010-11-24 株式会社日立ハイテクノロジーズ パターンレイヤーデータ生成装置、それを用いたパターンレイヤーデータ生成システム、半導体パターン表示装置、パターンレイヤーデータ生成方法、及びコンピュータプログラム
US7567351B2 (en) 2006-02-02 2009-07-28 Kla-Tencor Corporation High resolution monitoring of CD variations
JP4887062B2 (ja) 2006-03-14 2012-02-29 株式会社日立ハイテクノロジーズ 試料寸法測定方法、及び試料寸法測定装置
JP4278108B2 (ja) * 2006-07-07 2009-06-10 株式会社リガク 超小角x線散乱測定装置
US7406153B2 (en) 2006-08-15 2008-07-29 Jordan Valley Semiconductors Ltd. Control of X-ray beam spot size
US7873585B2 (en) 2007-08-31 2011-01-18 Kla-Tencor Technologies Corporation Apparatus and methods for predicting a semiconductor parameter across an area of a wafer
US7706503B2 (en) 2007-11-20 2010-04-27 Rigaku Innovative Technologies, Inc. X-ray optic with varying focal points
US7929667B1 (en) 2008-10-02 2011-04-19 Kla-Tencor Corporation High brightness X-ray metrology
US8068662B2 (en) 2009-03-30 2011-11-29 Hermes Microvision, Inc. Method and system for determining a defect during charged particle beam inspection of a sample
JP5764380B2 (ja) 2010-04-29 2015-08-19 エフ イー アイ カンパニFei Company Sem画像化法
US9046475B2 (en) 2011-05-19 2015-06-02 Applied Materials Israel, Ltd. High electron energy based overlay error measurement methods and systems
US10107621B2 (en) 2012-02-15 2018-10-23 Nanometrics Incorporated Image based overlay measurement with finite gratings
US10801975B2 (en) * 2012-05-08 2020-10-13 Kla-Tencor Corporation Metrology tool with combined X-ray and optical scatterometers
US10013518B2 (en) 2012-07-10 2018-07-03 Kla-Tencor Corporation Model building and analysis engine for combined X-ray and optical metrology
US9129715B2 (en) * 2012-09-05 2015-09-08 SVXR, Inc. High speed x-ray inspection microscope
US9581430B2 (en) 2012-10-19 2017-02-28 Kla-Tencor Corporation Phase characterization of targets
US10769320B2 (en) 2012-12-18 2020-09-08 Kla-Tencor Corporation Integrated use of model-based metrology and a process model
US9291554B2 (en) 2013-02-05 2016-03-22 Kla-Tencor Corporation Method of electromagnetic modeling of finite structures and finite illumination for metrology and inspection
EP2778665B1 (en) * 2013-03-15 2019-05-08 Bruker AXS GmbH X-ray analyzing system for x-ray scattering analysis
US10101670B2 (en) 2013-03-27 2018-10-16 Kla-Tencor Corporation Statistical model-based metrology
EP2818851B1 (en) * 2013-06-26 2023-07-26 Malvern Panalytical B.V. Diffraction Imaging
US9846132B2 (en) 2013-10-21 2017-12-19 Kla-Tencor Corporation Small-angle scattering X-ray metrology systems and methods
US9885962B2 (en) 2013-10-28 2018-02-06 Kla-Tencor Corporation Methods and apparatus for measuring semiconductor device overlay using X-ray metrology
US9823203B2 (en) * 2014-02-28 2017-11-21 Sigray, Inc. X-ray surface analysis and measurement apparatus
US9494535B2 (en) 2014-04-21 2016-11-15 Kla-Tencor Corporation Scatterometry-based imaging and critical dimension metrology
CN109073902B (zh) 2016-04-22 2020-12-29 科磊股份有限公司 用于小光斑大小透射小角x射线散射术的光束整形狭缝

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1617210B1 (en) * 2004-07-15 2009-02-18 Rigaku Corporation Method and apparatus for X-Ray reflectance measurement
US7920676B2 (en) * 2007-05-04 2011-04-05 Xradia, Inc. CD-GISAXS system and method
US7809108B1 (en) * 2008-02-01 2010-10-05 Bruker Axs, Inc. Method and apparatus for generating small size, high-intensity X-ray beams
WO2016103834A1 (ja) * 2014-12-25 2016-06-30 株式会社リガク 斜入射蛍光x線分析装置および方法

Also Published As

Publication number Publication date
JP6906630B2 (ja) 2021-07-21
US10859518B2 (en) 2020-12-08
IL267761A (en) 2019-09-26
KR102300470B1 (ko) 2021-09-10
EP3548878B1 (en) 2022-11-23
EP3548878A4 (en) 2020-08-12
CN110383053B (zh) 2023-06-09
TW201840957A (zh) 2018-11-16
EP3548878A1 (en) 2019-10-09
WO2018128988A1 (en) 2018-07-12
IL267761B (en) 2021-03-25
TWI743286B (zh) 2021-10-21
US20180188192A1 (en) 2018-07-05
CN110383053A (zh) 2019-10-25
JP2020506401A (ja) 2020-02-27

Similar Documents

Publication Publication Date Title
KR102536604B1 (ko) 다색 연엑스선 회절에 기초한 반도체 계측을 위한 방법 및 시스템
JP7001846B2 (ja) X線計量方法
US10352695B2 (en) X-ray scatterometry metrology for high aspect ratio structures
KR102300470B1 (ko) 소각 x선 산란 측정법을 위한 x선 줌 렌즈
US10816486B2 (en) Multilayer targets for calibration and alignment of X-ray based measurement systems
TWI783988B (zh) 傳輸小角度x射線散射度量系統
CN111819675B (zh) 用于实时测量控制的方法及系统
JP7427772B2 (ja) 波長分解軟x線反射率測定に基づく半導体計測のための方法及びシステム
JP2019536057A (ja) X線スキャトロメトリシステムのフルビーム計測

Legal Events

Date Code Title Description
A201 Request for examination
A302 Request for accelerated examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right