JP2019536057A - X線スキャトロメトリシステムのフルビーム計測 - Google Patents

X線スキャトロメトリシステムのフルビーム計測 Download PDF

Info

Publication number
JP2019536057A
JP2019536057A JP2019542350A JP2019542350A JP2019536057A JP 2019536057 A JP2019536057 A JP 2019536057A JP 2019542350 A JP2019542350 A JP 2019542350A JP 2019542350 A JP2019542350 A JP 2019542350A JP 2019536057 A JP2019536057 A JP 2019536057A
Authority
JP
Japan
Prior art keywords
measurement
ray
diffraction order
measurement system
intensity
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2019542350A
Other languages
English (en)
Other versions
JP2019536057A5 (ja
JP7210460B2 (ja
Inventor
アントニオ ジェリノー
アントニオ ジェリノー
サディアス ジェラード ジウラ
サディアス ジェラード ジウラ
ジョン ヘンチ
ジョン ヘンチ
アンドレイ フェルドマン
アンドレイ フェルドマン
セルゲイ ザルボフスキー
セルゲイ ザルボフスキー
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
KLA Corp
Original Assignee
KLA Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by KLA Corp filed Critical KLA Corp
Publication of JP2019536057A publication Critical patent/JP2019536057A/ja
Publication of JP2019536057A5 publication Critical patent/JP2019536057A5/ja
Priority to JP2023002235A priority Critical patent/JP7486621B2/ja
Application granted granted Critical
Publication of JP7210460B2 publication Critical patent/JP7210460B2/ja
Priority to JP2023211828A priority patent/JP2024019566A/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/252Tubes for spot-analysing by electron or ion beams; Microanalysers
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N23/00Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00
    • G01N23/20Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by using diffraction of the radiation by the materials, e.g. for investigating crystal structure; by using scattering of the radiation by the materials, e.g. for investigating non-crystalline materials; by using reflection of the radiation by the materials
    • G01N23/2055Analysing diffraction patterns
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B15/00Measuring arrangements characterised by the use of electromagnetic waves or particle radiation, e.g. by the use of microwaves, X-rays, gamma rays or electrons
    • G01B15/04Measuring arrangements characterised by the use of electromagnetic waves or particle radiation, e.g. by the use of microwaves, X-rays, gamma rays or electrons for measuring contours or curvatures
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N23/00Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00
    • G01N23/20Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by using diffraction of the radiation by the materials, e.g. for investigating crystal structure; by using scattering of the radiation by the materials, e.g. for investigating non-crystalline materials; by using reflection of the radiation by the materials
    • G01N23/20066Measuring inelastic scatter of gamma rays, e.g. Compton effect
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N23/00Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00
    • G01N23/20Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by using diffraction of the radiation by the materials, e.g. for investigating crystal structure; by using scattering of the radiation by the materials, e.g. for investigating non-crystalline materials; by using reflection of the radiation by the materials
    • G01N23/205Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by using diffraction of the radiation by the materials, e.g. for investigating crystal structure; by using scattering of the radiation by the materials, e.g. for investigating non-crystalline materials; by using reflection of the radiation by the materials using diffraction cameras
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T7/00Image analysis
    • G06T7/60Analysis of geometric attributes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/02Details
    • H01J37/04Arrangements of electrodes and associated parts for generating or controlling the discharge, e.g. electron-optical arrangement, ion-optical arrangement
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B2210/00Aspects not specifically covered by any group under G01B, e.g. of wheel alignment, caliper-like sensors
    • G01B2210/56Measuring geometric parameters of semiconductor structures, e.g. profile, critical dimensions or trench depth
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N2223/00Investigating materials by wave or particle radiation
    • G01N2223/05Investigating materials by wave or particle radiation by diffraction, scatter or reflection
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N2223/00Investigating materials by wave or particle radiation
    • G01N2223/05Investigating materials by wave or particle radiation by diffraction, scatter or reflection
    • G01N2223/056Investigating materials by wave or particle radiation by diffraction, scatter or reflection diffraction
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N2223/00Investigating materials by wave or particle radiation
    • G01N2223/05Investigating materials by wave or particle radiation by diffraction, scatter or reflection
    • G01N2223/056Investigating materials by wave or particle radiation by diffraction, scatter or reflection diffraction
    • G01N2223/0566Investigating materials by wave or particle radiation by diffraction, scatter or reflection diffraction analysing diffraction pattern
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N2223/00Investigating materials by wave or particle radiation
    • G01N2223/30Accessories, mechanical or electrical features
    • G01N2223/302Accessories, mechanical or electrical features comparative arrangements
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N2223/00Investigating materials by wave or particle radiation
    • G01N2223/60Specific applications or type of materials
    • G01N2223/611Specific applications or type of materials patterned objects; electronic devices
    • G01N2223/6116Specific applications or type of materials patterned objects; electronic devices semiconductor wafer

Landscapes

  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Analytical Chemistry (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Health & Medical Sciences (AREA)
  • Biochemistry (AREA)
  • General Health & Medical Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Immunology (AREA)
  • Pathology (AREA)
  • Engineering & Computer Science (AREA)
  • Geometry (AREA)
  • Computer Vision & Pattern Recognition (AREA)
  • Theoretical Computer Science (AREA)
  • Electromagnetism (AREA)
  • Analysing Materials By The Use Of Radiation (AREA)

Abstract

フルビームX線スキャトロメトリによって、半導体素子の寸法および材料特性を特徴づけるための方法およびシステムを、本明細書で説明する。フルビームX線スキャトロメトリの測定は、X線ビームによってサンプルを照射すること、ならびに、サンプルに対する1つ以上の入射角について、得られたゼロ回析次数およびより高い回析次数の強度を同時に検出すること、を含む。直進ビームおよび散乱した次数を同時に測定することで、向上した精度を伴う高いスループット測定を可能にする。フルビームX線スキャトロメトリシステムは、高い動的範囲を伴う1つ以上の光子計数型検出器と、直進ビームを、最小の寄生性後方散乱を伴って吸収する、厚くて高い吸収性の結晶基板と、を含む。他の態様において、モデルを基にした測定は、ゼロ回析次数ビームに基づいて実行され、フルビームX線スキャトロメトリシステムの測定性能は、測定したゼロ次数ビームの特性に基づいて推定され、制御される。

Description

説明する実施形態は計測システムおよび方法に関し、より詳細には測定精度を向上させるための方法およびシステムに関する。
本特許出願は、米国特許法第119条下で2016年10月18日に出願された米国仮特許出願第62/409,758号の優先権を主張し、その主題の全体を本願に引用して援用する。
論理素子および記憶素子などの半導体素子は、試料片に適用する一連の処理ステップによって一般的に製作される。半導体素子の様々な特徴、および複数の構造レベルは、これらの処理ステップによって形成される。例えば、とりわけリソグラフィは、半導体ウェハにパターンを生成することに関する、半導体製作の1つのプロセスである。半導体製作プロセスのさらなる例として、限定ではないが、化学的−機械的研磨、エッチング、沈着、およびイオン注入が挙げられる。複数の半導体素子が単一の半導体ウェハに製作され、次に個々の半導体素子に分離され得る。
計測プロセスは、より高い歩留まりを促進するためにウェハ上の欠陥を検出するよう、半導体の製造プロセスの間の様々なステップにおいて用いられる。スキャトロメトリおよび反射光測定の実施を含む、いくつかの計測を基にした技術、ならびに関連する分析アルゴリズムが、ナノスケールの構造体の臨界寸法、膜厚、組成、および他のパラメータを特徴づけるために、一般に使用される。
従来、スキャトロメトリの臨界寸法(SCR)の測定は、薄い膜および/または繰り返される周期的な構造体から成る目標物に実行される。素子を製作する間、通常これらの膜および周期的な構造体は、実際の素子の外形および材料の構造、または中間デザインを表わす。素子(例えば論理素子または記憶素子)が、より小さいナノメートルスケールの寸法に移行しているので、特徴づけはより困難となる。複雑な三次元外形、および多様な物理特性を有する材料を組み入れた素子は、特徴づけの困難さの一因となる。例えば、最新の記憶構造体は、高いアスペクト比の三次元構造体であることが多く、それが、光放射が底層まで貫通するのを困難にする。赤外線を可視光に利用する光計測ツールは、半透明材料の多くの層を貫通することができるが、良好な貫通深さを提供する、より長い波長は、小さい異常に対して十分な感度を提供しない。さらに、複雑な構造体(例えばFinFET)を特徴づけるために必要となる増加するパラメータの数は、パラメータの相関の増加をもたらす。その結果、目標物を特徴づけるパラメータは、利用可能な測定を用いても確実にデカップリングされ得ないことが多い。
1つの例において、より長い波長(例えば近赤外線)が、ポリシリコンを積層内で交互する材料の1つとして利用する3Dフラッシュの素子の、貫通の課題を解決するための試みに使用されてきた。しかし、3Dフラッシュの鏡のような構造体は、照射が層の積層体の中により深く伝播する際に、本質的に光の強度を減少させる。これは、深い箇所で感度の損失および相関の課題を生じさせる。この背景において、SCDのみが、高い感度および低い相関で、縮小した計測寸法のセットを正確に抽出することができる。
別の例において、不透明な高−k材料が、最新の半導体構造体に使用されることが増えている。光放射は、これらの材料で構築された層を貫通できないことが多い。その結果、偏光解析器または反射計などの薄い層のスキャトロメトリツールを用いた測定は、困難が増してきている。
これらの困難に対応して、より複雑な光計測ツールが開発されてきた。例えば、複数の角度の照射、より短い照射の波長、より広い範囲の照射の波長、および反射した信号からの、より完全な情報の取得(例えば、より従来的な反射性または偏光解析信号に加えて、複数のミュラー行列要素の測定)を伴うツールが開発されてきた。しかし、これらのアプローチは、多くの先進的な目標物(例えば複雑な3D構造体、10nmよりも小さい構造体、不透明な材料を利用した構造体)の測定および測定用途(例えば、線の縁部の粗度、および線の幅の粗度の測定)に関連付けられた、基本的な困難を確実には解決していない。
原子間力顕微鏡(AFM)および走査型トンネル顕微鏡(STM)は、原子分解能を実現することが可能であるが、これらは試料片の表面を精査できるに過ぎない。さらに、AFMおよびSTM顕微鏡は長い走査時間を必要とする。走査型電子顕微鏡(SEM)は、中程度の解像度レベルを実現するが、十分な深さまで構造体を貫通できない。したがって、高アスペクト比の穴は良好に特徴づけられない。さらに、試料片に要する荷電は、撮像性能に悪影響を及ぼす。X線反射計も貫通の課題を有し、それが、高アスペクト比の構造体を測定するときにX線反射計の効果を制限する。
貫通深さの課題を解決するために、透過電子顕微鏡(TEM)、SEMなど従来の撮像技術が、集束イオンビーム(FIB)加工、イオンミリング、ブランケットエッチングまたは選択エッチングなどの破壊的なサンプル準備技術を伴って利用される。例えば、TEMは高解像度レベルを実現し、任意の深さの探査を可能にするが、TEMは試料片の破壊的なセクショニングを要する。一般的に、材料の除去および測定の数回の繰り返しが、三次元構造体全体の重要な計測パラメータを測定するために必要な情報を提供する。しかし、これらの技術は、サンプルの破壊および長い処理時間を必要とする。これらのタイプの測定を完了させるための複雑さ、ならびに時間は、エッチングのドリフトおよび測定ステップのために、大きい誤差をもたらす。さらに、これらの技術は、位置合わせ誤差をもたらす多くの繰り返しを必要とする。
X線スキャトロメトリシステムは、困難な測定用途に対処するための保証を示してきた。しかし現在の実施は、ゼロ次光を遮断し、散乱した次数のみを集める。このアプローチはいくつかの欠点をもたらす。まず、直進ビームおよび散乱した次数は、同時には集められない。次に、直進ビームは、集められた散乱した次数よりもいくつもの次数分だけ明るいため、高い信号−ノイズ比(SNR)のビームおよびシステム情報は失われる。最後に、散乱角の関数である全ての散乱した光に埋め込まれた信号情報は、失われる。
これらの欠点にもかかわらず、散乱した次数のみに頼る測定は、入射光束が非常に安定しているか、または良好に特徴づけられる限り、対象の試料片のいくつかの特性を判定するのに十分な信号情報を提供する。いくつかの例において、入射光束は周期的に測定され、それによって測定中に光束の偏向を修正することができる。しかしいくつかの測定用途において、このアプローチは時間がかかり過ぎるか、十分に正確でないか、またはその両方である。
現在のX線スキャトロメトリシステムにおいて、直進ビームはいくつかの理由で遮断される。1つは、利用可能な検出器が、大きい動的範囲を伴う信号を分解できないことである。通常の半導体測定の用途において、散乱した信号は、一般に直進ビームよりも5〜7次数少ない大きさである。さらに、比較的高い光束の直進ビームは、検出器の電荷を取り込むか、またはセンサを、損傷の閾値を超えた最大値にすることがある。
米国特許第9243886号 米国特許出願公開第2016/0202193号
素子の性能をさらに向上させるため、半導体産業は、横方向のスケーリングよりも垂直方向の集積化に焦点を当て続けている。したがって、複雑で完全な三次元構造体を正確に測定することが、実行可能性を保証し、継続してスケーリングを促進させるために重要である。さらに計測用途は、小さい解像度への増加する要求、複数パラメータの相関、高アスペクト比の構造を含むますます複雑になる外形構造、および不透明材料の使用が増加することよって、計測に困難を呈する。したがって、改善されたX線スキャトロメトリの測定の方法およびシステムが望まれる。
フルビームX線スキャトロメトリによって、半導体素子の寸法および材料特性を特徴づけるための方法およびシステムを、本明細書で説明する。
1つの態様において、フルビームX線スキャトロメトリの測定は、X線ビームによってサンプルを照射すること、ならびに、サンプルに対する1つ以上の入射角について、得られたゼロ回析次数およびより高い回析次数の強度を同時に検出すること、を含む。直進ビームおよび散乱した次数を同時に測定することで、向上した精度を伴う高いスループット測定を可能にする。
さらなる態様において、フルビームX線スキャトロメトリシステムは、高い動的範囲(例えば10より大きい)を伴う1つ以上の光子計数型検出器と、直進ビームを、損傷させることなく最小の寄生性後方散乱を伴って吸収する、厚くて高い吸収性の結晶基板と、を含む。いくつかの実施形態において、単一の光子計数型検出器が、検出した光子の位置および数を検出する。いくつかの実施形態において、X線検出器は、1つ以上のX線光子のエネルギーを分解する。
別の態様において、検出器は、入射するゼロ次数ビームからの損傷および過剰な荷電を緩和するために、入ってくるX線に対して精査される。
さらに別の態様において、検出器において重複する回析次数は、測定したゼロ次数ビームの形状に基づき解析される。
さらに別の態様において、ゼロ次数ビームのプロファイルは測定中に抽出され、測定中のドリフトを緩和する。
さらに別の態様において、より高い回析次数の強度が、測定したゼロ次数ビームに対して推定される。いくつかの実施形態において、より高い各回析次数の強度は、強度の単純な分割などによって、測定されたゼロ次数ビームに対して推定される。この方法で、比較的弱く、より高い次数の信号に関連付けられた測定の不確実性は、大きく軽減する。
さらなる態様において、フルビームX線スキャトロメトリシステムの測定品質および性能は、測定したゼロ次数ビームの特性に基づいて推定される。測定したゼロ次数ビームの特性として、限定ではないが、ビーム形状、強度、箇所、プロファイル、傾斜、回転、非対称性、またはそれら任意の組み合わせ、が挙げられる。
別の態様において、計測システムの測定品質および性能は、測定したゼロ次数ビームに基づいて制御される。いくつかの例において、上記で説明した測定品質および性能の推定は、フィードバック制御器への入力として提供される。フィードバック制御器は、制御コマンドと通信する。この制御コマンドは、測定システムの品質および性能を改善する、計測システムの1つ以上の要素の状態で、変化することになる。
いくつかの実施形態において、フルビームX線スキャトロメトリに基づく計測は、測定したデータを用いた所定の測定モデルの逆解によって、サンプルの寸法を判定することを含む。測定モデルは、数個(10個程度)の調整可能なパラメータを含み、試料片の外形および光学特性、ならびに測定システムの光学特性を表わす。逆解の方法として、限定ではないが、モデルを基にした回帰、断層撮像技術、機械学習、またはそれらの任意の組み合わせが挙げられる。この方法で、目標物のプロファイルのパラメータは、測定した散乱したX線の強度とモデル化の結果との間の誤差を最小限に抑える、パラメータ化した測定モデルの値を解くことによって、推定される。
さらに別の態様において、測定したゼロ次数の強度は、回帰中における測定モデルへの入力として提供される。
さらに別の態様において、測定した次数の発散が、計測目標物のモデルを基にした測定に関連付けられた測定モデルにおいて考慮される。
さらに別の態様において、計測システムは、測定した試料片の構造の構造モデル(例えば外形モデル、材料モデル、または外形モデルと材料モデルとの組み合わせ)を生成するよう構成され、構造モデルから、少なくとも1つの外形パラメータを含むフルビームX線スキャトロメトリの応答モデルを生成するよう構成され、測定データと応答モデルとの適合分析を実行することによって少なくとも1つの試料片のパラメータ値を決定するよう構成される。この方法で、シミュレートしたフルビームX線スキャトロメトリの信号を、測定したデータと比較することで、サンプルの外形、ならびに電子密度および元素同定および組成などの材料特性を判定することが可能となる。
別の態様において、対象の1つ以上のパラメータ値の当初の推定は、測定目標物に対して入射X線ビームの単一の向きで実行された、フルビームX線スキャトロメトリの測定に基づいて判定される。当初の推定値は、対象のパラメータの開始値として、複数の向きでの測定から集められた測定データを用いた、測定モデルの回帰のために実施される。この方法で、対象のパラメータの精細な推定が、比較的少ない計算量で判定され、この精細な推定を、より大きいデータセットにわたる回帰の開始点として実施することによって、対象のパラメータの厳密な推定が、少ない全体の計算量で得られる。
別の態様において、フルビームX線スキャトロメトリの測定データを使用して、検出した回析次数の測定した強度に基づいて、測定した構造体の画像を生成する。いくつかの実施形態において、応答機能モデルが導き出され、一般的な電子密度のメッシュからの散乱を表わす。このモデルを測定した信号に適合させ、その一方で連続性および散在する縁部を強化するために、このメッシュ内のモデル化した電子密度を閉じ込めることで、サンプルの三次元画像をもたらす。
上述は概要であり、したがって必然的に簡略化および一般化を含み、詳細を省略している。したがって当業者は、概要は例示のみでいかなる制限もしないことを理解するであろう。他の態様、発明の特徴、およびデバイスの利点、および/または本明細書で説明するプロセスは、本明細書に記載される非限定の詳細な説明において明白となろう。
本明細書で説明する方法による、フルビームX線スキャトロメトリの測定を実行するよう構成された、計測システム100を示す図である。 本明細書で説明する方法による、フルビームX線スキャトロメトリの測定を実行するよう構成された、別の実施形態における計測システム200を示す図である。 計測システム100などのフルビーム計測システムによって測定された、散乱した次数の画像171を示す図である。 計測システム100などのフルビーム計測システムによって測定された、散乱した次数の画像172を示す図である。 図4に示した画像172の断面Cに関連付けられた、強度プロファイルのプロット173を示す図である。 入射角の関数として、ゼロ次数ビームの散乱効率を示す図である。 入射角の関数として、より高いいくつかの次数の散乱効率を示す図である。 試料片101から離れた真空環境に含まれた、計測システム100および200の要素を示す図である。 本明細書で説明する方法による、モデル構築と、フルビームX線スキャトロメトリのデータに基づいて試料片のパラメータ値を決定するよう構成された、分析エンジン150とを示す図である。 本明細書で説明する方法で測定を受ける、典型的な3Dフラッシュメモリ素子190の等角投影図である。 本明細書で説明する方法で測定を受ける、典型的な3Dフラッシュメモリ素子190の上面図である。 本明細書で説明する方法で測定を受ける、典型的な3Dフラッシュメモリ素子190の断面図である。 角度φおよびθで表わす特定の向きにおいて、ウェハ101に入射するX線照射ビーム117を示す図である。 高アスペクト比の穴構造310のアレイの上面図である。 典型的な高アスペクト比の穴構造320の側面図である。 傾斜した穴構造321の側面図である。 傾斜の程度が深さに伴って累進的に増加する、累進的に傾いた穴構造322の側面図である。 本明細書で説明するフルビームX線スキャトロメトリの測定に基づいた、構造体を測定する例示的方法300を示すフローチャートである。
次に、背景例およびいくつかの本発明の実施形態を詳細に参照する。それらの例が添付の図面に示される。
フルビームX線スキャトロメトリによって、半導体素子の寸法および材料特性を特徴づけるための方法およびシステムを、本明細書で説明する。このようなシステムおよび技術は、半導体の異なる製作プロセスに関連付けられた、構造特性および材料特性を測定するために利用される。いくつかの例において、フルビームX線スキャトロメトリは、限定ではないが、スピントランスファートルクランダムアクセスメモリ(STT−RAM)、三次元NANDメモリ(3D−NAND)または垂直NANDメモリ(V−NAND)、ダイナミックランダムアクセスメモリ(DRAM)、三次元フラッシュメモリ(3D−FLASH)、抵抗性ランダムアクセスメモリ(Re−RAM)、および相変化ランダムアクセスメモリ(PC−RAM)、を含む高アスペクト比の半導体構造の、臨界寸法、厚さ、オーバーレイ、ならびに材料特性を測定するために利用される。
1つの態様において、フルビームX線スキャトロメトリの測定は、X線ビームによってサンプルを照射すること、ならびに、サンプルに対して1つ以上の入射角で、生じるゼロ次数およびより高い回析次数の強度を同時に検出すること、を含む。直進ビームおよび散乱した次数を同時に測定することで、向上した精度で高いスループット測定を可能にする。いくつかの実施形態において、ゼロ次数ビームは、標準的なビームブロックなしで測定を実行することによって、利用できるようになる。
高輝度のフルビームX線スキャトロメトリの使用によって、高い光束X線放射が、目標物の不透明領域の中に貫通するのを可能にする。フルビームX線スキャトロメトリを用いて測定可能な外形パラメータの例として、孔サイズ、孔密度、線の縁部の粗度、線の幅の粗度、側壁の角度、プロファイル、臨界寸法、オーバーレイ、縁部の位置誤差、およびピッチが挙げられる。測定可能な材料パラメータの例として、電子密度が挙げられる。いくつかの例において、フルビームX線スキャトロメトリは、10nmより小さい特徴部の測定、ならびに外形パラメータおよび材料パラメータの測定が必要なSTT−RAM、V−NAND、DRAM、PC−RAM、およびRe−RAMなどの先進的な半導体構造の測定を、可能にする。
一般的なX線スキャトロメトリシステムは、より高い回析次数を集めながら、ビームブロックを利用してゼロ次数ビームを遮断する。しかし、多くの半導体計測用途において、これは正確な測定を妨げる。処理の流れにおける配線工程(BEOL)部分の論理素子、ならびに処理の流れにおける基板工程(FEOL)部分のメモリ(例えばVNANDおよびDRAM)のために、パターンのピッチの値は、一般的なCD−SAXSシステムによって、1次回析次数およびゼロ次数が検出器でかなりの量の空間的重複を受けるようになっている。ビームブロックがゼロ次数を妨げるために使用される場合、1次数ビームの一部もまた妨げられる。これは、1次数ビームの強度の測定誤差、および1次数ビームに頼る任意のX線スキャトロメトリの測定の誤差を生じさせる。一般的に1次数ビームは、より高い次数ビームよりも、かなり大きい回析効率を有する。したがって1次数ビームは、正確に測定するために重要である。1次数ビームがないと、測定はより高い次数ビームに頼らなければならない。1次よりも高い次数の回析効率は、1次回析次数よりもかなり弱いので、これは、適切な信号−ノイズ比(SNR)を実現するための測定時間を、大幅に増加させる。
図1は、本明細書で提示する例示的な方法による、試料片の特徴を測定するための計測ツール100の実施形態を示す。図1に示すように、システム100を使用して、試料片位置付けシステム140上に配設された試料片101の検査領域102にわたり、フルビームX線スキャトロメトリの測定を実施し得る。いくつかの実施形態において、検査領域102は80マイクロメートル以下のスポットサイズを有する。いくつかの実施形態において、検査領域102は50マイクロメートル以下のスポットサイズを有する。いくつかの実施形態において、検査領域102は40マイクロメートル以下のスポットサイズを有する。
示した実施形態において、計測ツール100は、フルビームX線スキャトロメトリの測定に好適なX線放射を発生させるよう構成されたX線照射源110を含む。いくつかの実施形態において、X線照射システム110は、0.01ナノメートル〜1ナノメートルの波長を発生させるよう構成される。X線照射源110は、試料片101の検査領域102に入射するX線ビーム117を生成する。
一般的に、高いスループットを可能にするのに十分な光束レベルである高輝度のX線を生成できる、任意の好適な高輝度X線照射源において、インライン計測が、フルビームX線スキャトロメトリの測定にX線照射を供給するために企図され得る。いくつかの実施形態において、X線源は、X線源がX線放射を異なる選択可能な波長で送達できる、調整可能なモノクロメータを含む。
いくつかの実施形態において、15keVより大きい光子エネルギーで放射する、1つ以上のX線源を利用して、X線源が、素子全体ならびにウェハ基板を貫通して十分に透過できる波長の光を、確実に供給するようにする。非限定の例として、粒子加速源、液体アノード源、回転アノード源、固定された固体アノード源、マイクロフォーカス源、マイクロフォーカス回転アノード源、逆コンプトン源のいずれかが、X線源110として利用され得る。1つの例において、カリフォルニア州(米国)のPalo AltoにおけるLyncean Technologies,Inc社から入手可能な、逆コンプトン源が企図され得る。逆コンプトン源は、X線を光子エネルギーの範囲にわたって生成可能で、そのためにX線源がX線放射を異なる選択可能な波長で送達できる、というさらなる利点を有する。
例示的なX線源は、X線放射を刺激するために固体または液体の目標物に衝撃を与えるよう構成された、電子ビーム源を含む。図2は、本明細書で提示する例示的方法による、試料片の特徴を測定するための計測ツール200を示す。計測ツール100および200の同様の番号の要素は、類似のものである。しかし図2に示す実施形態において、X線照射源110は、液体金属を基にしたX線照射システムである。液体金属119の噴射物は、液体金属容器111から生成され、液体金属コレクタ112で集められる。液体金属循環システム(図示せず)は、コレクタ112で集められた液体金属を、液体金属容器111に戻す。液体金属119の噴射物は、1つ以上の元素を含む。非限定の例において、液体金属119の噴射物は、アルミニウム、ガリウム、インジウム、スズ、タリウム、およびビスマスのいずれかを含む。このように、液体金属119の噴射物は、その組成元素に対応するX線ラインを生成する。1つの実施形態において、液体金属の噴射物は、ガリウムとインジウムとの合金を含む。いくつかの実施形態において、X線照射システム110は、0.01ナノメートル〜1ナノメートルの波長を発生させるよう構成される。電子ビーム源113(例えば電子銃)は、電子光学素子114によって液体金属119の噴射物に導かれる電子118の流れを生成する。電子ビームを集束させ、このビームを液体金属の噴射物に導くための好適な電子光学素子114として、電磁石、永久磁石、および電磁石と永久磁石との組み合わせが挙げられる。液体金属119の噴射物と電子118の流れとの一致点は、試料片101の検査領域102に入射するX線ビーム117を生成する。
高輝度の液体金属X線照射を生成するための方法およびシステムは、その全てを本願に引用して援用する、2011年4月19日にKLA−Tencor Corp社に発行された米国特許第7,929,667号に記載されている。
1つの実施形態において、入射X線ビーム117は、24.2keVのインジウムkαラインである。X線ビームは、フルビームX線スキャトロメトリの測定のための多層X線光学素子を使用して、1ミリラジアン未満の発散に抑えてコリメートされる。
いくつかの実施形態において、本明細書で説明するX線散乱測定は、X線源と測定中の試料片との間に位置したスクリーンを使用せずに実現される。これらの実施形態において、入射角の範囲、複数の波長、またはそれらの両方の組み合わせにわたる、フルビームの測定した強度は、測定した構造体の、材料の所望の特性(例えば複雑な屈折率、電子密度、または吸収率)の分布図(すなわち画像)を解像するのに十分な情報を提供する。しかしいくつかの他の例において、X線ビームのコリメーションを向上させるために、ピンホールまたは別のアパーチャが、X線源と測定中の試料片との間に位置した別の不透明なスクリーン上に位置する。回析パターンの強度は、アパーチャのいくつかの位置で測定される。他のいくつかの実施形態において、疑似乱数的なアパーチャのパターンを伴うスクリーンが使用され、回析パターンは複数のスクリーンで測定される。これらのアプローチは、測定した構造体の材料の、所望の特性の三次元分布を解像するための、さらなる情報を提供するようにも企図され得る。
いくつかの実施形態において、入射X線ビームのプロファイルは、2つ以上のアパーチャ、スリット、またはそれらの組み合わせによって制御される。別の実施形態において、アパーチャ、スリット、またはそれらの両方は、試料片の向きと一体で回転するよう構成され、各入射角、方位角、またはそれらの両方に対する入射ビームのプロファイルを最適にする。
図1に示すように、X線光学素子115は、入射X線ビーム117を形成し、試料片101へ導く。いくつかの例において、X線光学素子115はX線モノクロメータを含み、試料片101に入射するX線ビームを単色化する。1つの例において、Loxley−Tanner−Bowenのモノクロメータなどの結晶モノクロメータを利用して、X線放射のビームを単色化する。いくつかの例において、X線光学素子115は、多層X線光学素子を使用して、X線ビーム117を試料片101の検査領域102上に、1ミリラジアン未満の発散でコリメートまたは集束させる。いくつかの実施形態において、X線光学素子115として、1つ以上のX線コリメート鏡、X線アパーチャ、X線ビームストップ、X線屈折光学素子、ゾーンプレートなどの回析光学素子、俯角入射楕円面鏡などの鏡面反射性X線光学素子、中空毛管のX線導波管などのポリキャピラリ光学素子、多層光学素子もしくはシステム、またはこれらの任意の組み合わせが挙げられる。さらなる詳細は、その内容の全体を本願に引用して援用する、米国特許出願公開第2015/0110249号に記載されている。
一般的に、照射光学システムの焦点面は、測定用途毎に最適化される。これによってシステム100は、測定用途によって試料片内の様々な深さに焦点面を位置するよう構成される。
X線検出器116は、試料片101から散乱したX線放射125を集めて、フルビームX線スキャトロメトリの測定の様式に従って入射するX線放射に敏感である試料片101の特性を示す、出力信号126を生成する。いくつかの実施形態において、散乱したX線125はX線検出器116で集められ、その一方で、試料片位置付けシステム140が、試料片101の位置および向きを決めて、角度的に分解された、散乱したX線を生成する。
1つの態様において、フルビームX線スキャトロメトリシステムは、高い動的範囲(例えば10より大きい)を伴う1つ以上の光子計数型検出器と、直進ビーム(すなわちゼロ次数ビーム)を損傷させることなく最小の寄生性後方散乱を伴って吸収する、厚くて高い吸収性の結晶基板と、を含む。いくつかの実施形態において、単一の光子計数型検出器が、検出した光子の位置および数を検出する。
フルビームX線スキャトロメトリは、ゼロ次数ビームを、より高い回析次数と共に集めることを必要とする。ゼロ次数ビームは、他の次数よりも数次元大きい強度である。ゼロ次数ビームが、検出器のX線感応セクションに完全に吸収されない場合、ゼロ次数ビームは散乱して渦流信号を発生させることになる。これらの渦流信号の強度は、測定の動的範囲を制限する。例えば、渦流信号が、最大光束信号(すなわちゼロ次数ビーム)の1/10である場合、より高い多くの次数に関連付けられた信号には、悪影響が及ぶことになる。したがって、検出器(例えば検出器116)が、電子孔の対に対するX線の高い変換効率、およびフルビーム計測の有効な動的範囲を増加させるための高いX線の吸収を、表示することが重要である。
フルビームX線スキャトロメトリに好適である例示的な検出器の材料として、テルル化カドミウム(CdTe)、ゲルマニウム(Ge)、およびヒ化ガリウム(GaAs)結晶、ならびにその他が挙げられる。いくつかの実施形態において、検出器の材料は、エネルギー源に対応して、狭いエネルギー帯で高い変換効率を提供するよう選択される。
いくつかの実施形態において、検出器の材料の厚さは、入ってくるX線の望ましい吸収を実現するよう選択される。いくつかの実施形態において、検出器は、検出器の材料を貫通するX線ビームの経路長を増加させるため、入ってくるX線ビーム(様々な回析次数)に対して傾斜させて、それによって合計吸収量を増加させる。
いくつかの実施形態において、2つの閾値の検出器を利用してSNRを向上させる。
別の態様において、X線検出器は、1つ以上のX線光子エネルギーを分解して、試料片の特性を示すX線の各エネルギー構成要素のための信号を生成する。いくつかの実施形態において、X線検出器116は、CCDアレイ、マイクロチャネルプレート、フォトダイオードアレイ、マイクロストリップの比例計数管、ガス入り比例計数管、シンチレータ、または蛍光体のうちのいずれかを含む。
このように、X線光子の検出器内における相互作用は、ピクセル位置およびカウント数に加えて、エネルギーによって識別される。いくつかの実施形態において、X線光子の相互作用は、X線光子の相互作用のエネルギーを、所定の上位閾値および所定の下位閾値と比較することによって識別される。1つの実施形態において、この情報は、さらなる処理および記憶のために、出力信号126を介してコンピュータシステム130に通信される。
別の態様において、検出器は、ゼロ次数ビームの入射からの損傷および過剰な荷電を緩和するために、入ってくるX線に対して精査される。いくつかの実施形態において、検出器は、ゼロ次数ビームが検出器の表面上の特定の箇所に長い時間とどまるのを防止するために、入ってくるX線に対して連続的に精査される。いくつかの他の実施形態において、検出器は、ゼロ次数ビームが検出器の表面上の特定の箇所に長い時間とどまるのを防止するために、入ってくるX線に対して周期的に移動される。いくつかの実施形態において、精査または周期的な移動は、入ってくるX線に対してほぼ直角である。いくつかの実施形態において、移動は回転である(例えば検出器は、検出器の表面上の特定の箇所が空間において円を描くように回転する)。いくつかの実施形態において、移動は、ゼロ次数ビームの入射点を検出器の表面上の様々な異なる箇所に移動させる、平行運動の組み合わせである。
別の態様において、フルビームX線スキャトロメトリシステムを利用して、ゼロ次数の散乱光を含む、測定した複数の回析次数に基づき、試料片の特性(例えば構造的パラメータ値)を判定する。図1に示すように、計測ツール100は、検出器116によって生成された信号126を得るため、および得られた信号に少なくとも部分的に基づき、試料片の特性を判定するために利用する、コンピュータシステム130を含む。
フルビームX線スキャトロメトリの測定において、高アスペクト比の垂直に製造された構造体は、コリメートされたX線ビームを回析次数の中に回析させる。各回析次数は、特定の予測可能な方向に移る。回析次数の角度間隔は、波長によって分割された試料片の格子定数に反比例する。回析次数は、ウェハからいくらかの距離をとって位置付けられた検出器アレイによって検出される。検出器の各ピクセルは、ピクセルに衝突する光子の数を示す信号を出力する。
回析次数の強度は、I(m,n,θ,φ,λ)の形態であり、ここで{m,n}は回析次数の整数インデックス、{θ,φ}は入射ビームの高さならびに方位角(すなわち、ウェハに固定された座標系に対する入射主光線の極座標)、およびλは入射X線の波長である。
いくらかのノイズ源が、照射光が照射から出て試料片に向けて伝播する際に、照射光をかき乱す。例示的な攪乱として、電子ビームの電流変動、温度に誘発された光のドリフトなどが挙げられる。かき乱された入射光束は、F(1+n)として示される。
目標物は、入射ビームの方位角および高さ角度に依拠するように、入射放射を散乱させる。次数(m,n)の中に散乱する光の効果は、Smn(θ,φ)として定義され得る。回析された光が試料片から検出器に伝搬する際に、ビームは、いくらかの変化(1+n)および寄生的ノイズ(n)を伴う全ての次数に同様に影響を及ぼす、他の散乱媒体を通過する。このように、時間tにおいて測定される各次数の合計強度Imnは、式(1)によって表わされ得る。
mn=Smn(θ,φ)(1+n)(1+n)Ft+n (1)
図3は、計測システム100などのフルビーム計測システムによって測定された、散乱した次数の画像171を示す。図3に示すように、画像中央の輝点は、ゼロ次数ビームに関連付けられる。
各次数の強度は、多くの方法で抽出することができる。いくつかの実施形態において、回析次数は検出器において空間的に分離される。これらの実施形態において、回析次数は検出器のアレイによって個々に検出され、同じ回析次数に関連付けられたピクセルの出力が組み合わされる(すなわち追加される)。このように、検出された回析次数は、特定の各回析次数に関連付けられたピクセルの光子の数を集積することによって、識別される。このケースは、比較的小さいピッチの特徴部を測定するとき、または比較的小さい発散を有するビームを用いて測定するときに、より生じる可能性が大きい。
いくつかの他の実施形態において、回析次数は検出器において空間的に重複し、ピクセル出力は、特定の回析次数に関連付けられた強度を判定するために簡単に組み合わせることはできない。これらの実施形態において、測定モデルを利用して回析次数を解析し、検出された各回析次数の測定した強度を識別する。このケースは、比較的大きいピッチの特徴部を測定するとき、または比較的大きい発散を有するビームを用いて測定するときに、より生じる可能性が大きい。
別の態様において、重複する次数は、測定したゼロ次数ビームの形状に基づき解析される。いくつかの実施形態において、この解析はリアルタイムで実行される。より高い回析次数(すなわち0より大きい次数)のビームのプロファイルは、ゼロ次数ビームのプロファイルに基づいてモデル化される。図4は、計測システム100などのフルビーム計測システムによって測定された、散乱した次数の画像172を示す。図5は、図4に示した画像172の断面Cに関連付けられた、強度プロファイルのプロット173を示す。比較的高い強度のゼロ次数ビームは、非常に正確なビームのプロファイルをもたらし、それはより高い回析次数のモデル化に使用される。
さらに別の態様において、ゼロ次数ビームのプロファイルは測定中に抽出され、測定中のドリフトを緩和する。
いくつかの実施形態において、ゼロ次数ビームのプロファイルは、ビーム目標内に目標物を伴わずに測定される。いくつかの実施形態において、ゼロ次数ビームのプロファイルは、ビーム経路における非散乱の目標物を用いて測定され、それによってゼロ回析次数が検出器で測定される唯一のビームとなる。いくつかの実施形態において、ゼロ次数ビームのプロファイルは、公知の散乱特性を有する公知の目標物を用いて測定される。
さらに別の態様において、より高い回析次数の強度が、測定したゼロ次数ビームに基づき推定される。いくつかの実施形態において、より高い各回析次数の強度は、強度の単純な分割などによって、測定されたゼロ次数ビームに対して推定される。この方法で、比較的弱く、より高い次数の信号に関連付けられた測定の不確実性は、大きく軽減される。
同時に測定したゼロ次数ビームに基づいて、より高い回析次数の強度を推定することによって、散乱した信号は、データ収集の間にシステムの混乱から分離される。光学構成要素(例えばスリット、光学素子、スポット形状)の位置ずれによる混乱、およびビーム経路(例えばnおよびn)に沿った混乱は、リアルタイムで緩和される。ゼロ次数を含む全ての散乱した強度を用いることによって、測定した試料片の厚さまたは材料密度における散乱した強度の依存は、ウェハの前後で光束の混乱から分離される。
測定した試料片の散乱効率は、抽出された散乱した強度を、入射角{θ,φ}のセットのために計測目標物の外形および材料に関連付ける。図6は、入射角θの関数として、ゼロ次数ビームの散乱効率S00を示す。経路長が増加することから、より大きい入射角において目標物を貫通する透過が減少するため、S00は入射角に依拠する。さらに、入射角が目標物の散乱と位置が合うとき(例えば垂直入射)、エネルギーがゼロ次数から離れてより高い回析次数に入るため、S00は入射角に依拠する。
図7は、入射角θの関数として、より高いいくつかの次数の散乱効率を示す。プロットライン174はS11を示し、プロットライン175はS13を示し、プロットライン176はS20を示し、プロットライン177はS22を示す。より高い全ての次数の散乱した強度は、一般に散乱した深さまたは密度に依拠する。一般的に、ゼロ次数の散乱効率は、散乱深さが増加すると減少し、その一方で他の全ての散乱した次数の散乱効率は、散乱深さが増加すると増加する。
同時に測定したゼロ次数ビームに基づいて、より高い回析次数の強度を推定すると、測定の信号−ノイズ比(SNR)も増加する。これによって、散乱深さおよび材料密度のより正確な測定が可能になり、それによって目標物のプロファイルの、より正確な推定が可能になる。
いくつかの例において、フルビームX線スキャトロメトリに基づく計測は、測定したデータを用いた所定の測定モデルの逆解によって、サンプルの寸法を判定することを含む。測定モデルは、数個(10個程度)の調整可能なパラメータを含み、試料片の外形および光学特性、ならびに測定システムの光学特性を表わす。逆解の方法として、限定ではないが、モデルを基にした回帰、断層撮像技術、機械学習、またはそれらの任意の組み合わせが挙げられる。このように、目標物のプロファイルのパラメータは、散乱したX線の測定した強度とモデル化の結果との間の誤差を最小限に抑える、パラメータ化した測定モデルの値を解くことによって、推定される。
さらに別の態様において、測定したゼロ次数の強度は、回帰中における測定モデルへの入力として提供される。ゼロ次数が測定されないとき、光束の合計値は、他のモデルのパラメータと共に変動することになる。これは、正確さを損なうことになる。さらに、ゼロ次数以外の全ての散乱次数について、散乱した強度は、散乱コントラストのみの関数、すなわち材料の屈折率の間の相違の関数となる。しかし、ゼロ次数については、散乱した強度は、屈折率の絶対値(すなわち変化のない値)の関数である。この追加の情報は、測定の正確さを向上させる。
さらに別の態様において、測定した次数の発散が、計測目標物のモデルを基にした測定に関連付けられた、測定モデルにおいて考慮される。いくつかの例において、各ピクセルはシステムの発散によって僅かに異なる入射角{θ,φ}の平均であるので、分解されたビームは、ピクセルに依拠する散乱効率を有する。本発明者は、フルビームX線スキャトロメトリに基づくモデルを基にした測定のために、発散を最適にすることによって測定時間を減少できることを見出した。小さすぎる発散は、測定時間を増加させることになり、大きすぎる発散は、過剰な相関および測定精度の損失をもたらす。
別の態様において、フルビームX線スキャトロメトリシステムの測定品質および性能は、測定したゼロ次数ビームの特性に基づいて推定される。測定したゼロ次数ビームの特性として、限定ではないが、ビーム形状、強度、箇所、プロファイル、傾斜、回転、非対称性、またはそれら任意の組み合わせ、が挙げられる。
いくつかの例において、照射源の輝度は、検出器によって検出される全ての光の合計に基づいて推定される。外部の混乱がない場合、測定した光束の合計は、目標物の吸収のみに依拠する。いくつかの例において、測定は目標物なしで実行される。これらの例において、測定した光束の合計は、源の輝度の直接的な推定を提供する。他のいくつかの実施形態において、公知の吸収特性を有する目標物が利用される。これらの例において、源の輝度は、公知の目標物の吸収によって修正された、測定した光束に基づいて推定される。
いくつかの例において、システムの透過効率は、検出器によって検出される全ての光の合計に基づいて推定される。これらの例において、照射源から放たれた光は、照射源から出る際に、照射光学素子と相互作用する前に測定される。さらに、検出器によって検出された光は合計される。検出器で検出された光と、照射源によって放たれた光との間の光束比は、光学システムの透過効率の推定をもたらす。いくつかの例において、測定は目標物なしで実行される。いくつかの他の例において、公知の吸収特性を有する目標物が利用される。
いくつかの例において、ビーム軸に対する検出器の相対的な位置合わせは、検出器に入射するゼロ次数ビームの箇所に基づいて推定される。
いくつかの例において、光学サブシステム内の欠点または位置ずれは、検出器で測定したゼロ次数ビームの形状(例えば非対称性、粗度、回転)に基づいて推定される。ビームを形成する光学素子、スリット、アパーチャ、照射源などの欠点または位置ずれは、このように特徴づけられてよい。多くの例において、照射光学素子の傾斜の誤差は、それら自体が検出器で検出されたビーム形状の微細構造として現れる。検出したビーム形状における小さい変化は、照射光学素子上のビームの位置に対応する。さらに、スリット上のビームの位置は、微細構造の位置を監視することで、スリットによる鋭角部の位置に対する光学素子の傾斜の誤差によって確認される。
別の態様において、計測システムの測定品質および性能は、測定したゼロ次数ビームに基づいて制御される。いくつかの例において、上記で説明した測定品質および性能の推定は、フィードバック制御器(例えばコンピュータシステム130)への入力として提供される。フィードバック制御器は、制御コマンドと通信する。この制御コマンドは、測定システムの品質および性能を改善する計測システムの1つ以上の要素の状態で変化させることになる。
いくつかの例において、制御コマンドが照射源に提供される。それに応じて、照射源の電気状態は、精査したスポットサイズおよび形状、照射力、スポットのオフセット、入射角などを変えるよう調整される。
いくつかの例において、制御コマンドが、計測システムの1つ以上の光学要素の箇所を制御する1つ以上の位置付けデバイスに提供される。それに応じて、1つ以上の位置付けデバイスは1つ以上の光学要素の位置/向きを変えて、入射角、照射源と照射光学素子との間の焦点距離、ビームの位置付け、表面の粗度の影響を最小限に抑えるための光学素子上におけるビームスポットの箇所、などを調整する。
一般的に、本明細書で説明する測定品質および性能の推定、ならびに制御は、ビーム経路内に目標物を伴うか、または伴わずに実行され得る。
別の態様において、フルビームX線スキャトロメトリの測定は、十分な解像度および貫通の深さを提供する入射角の範囲にわたって実行され、高アスペクト比の構造体の全深さを通して特徴づける。
ウェハの表面の垂直に対するX線の入射角の関数として、回析された放射の強度の測定値が集められる。複数の回析次数に含まれた情報は、考えられる各モデルのパラメータの間では、一般的に唯一のものである。したがって、X線散乱の産出推定は、小さい誤差および軽減されたパラメータの相関を伴う、対象のパラメータ値をもたらす。
いくつかの実施形態において、X線検出器116は、試料片101と同じ大気環境(例えばガスパージ環境)に維持される。しかし、いくつかの実施形態において、試料片101とX線検出器116との間の距離は非常に長く、環境攪乱(例えば乱流)は検出された信号にノイズを与える。したがっていくつかの実施形態において、X線検出器のうちの1つ以上は、真空窓によって試料片(例えば試料片101)から分離された、局部的な真空環境内に維持される。
同様にいくつかの実施形態において、X線照射源110、照射光学素子115、またはそれらの両方は、試料片101と同じ大気環境(例えばガスパージ環境)に維持される。しかしいくつかの実施形態において、X線照射源110と照射光学素子115との間の光学経路の長さ、および照射光学素子115と試料片101との間の光学経路の長さは長く、環境攪乱(例えば乱流)は照射ビームにノイズを与える。したがっていくつかの実施形態において、X線照射源、照射光学素子115、またはそれらの両方は、真空窓によって試料片(例えば試料片101)から分離された、局部的な真空環境内に維持される。
図8は、1つの実施形態における、X線照射源110および照射光学素子115を含む真空チャンバ160、ならびにX線検出器116を含む真空チャンバ163を示す図である。好ましい実施形態において、真空チャンバ160は、X線照射源110と試料片101との間の光学経路の多くの部分を含み、真空チャンバ163は、試料片101とX線検出器116との間の光学経路の多くの部分を含む。真空チャンバ160および真空チャンバ163の開口部は、それぞれ真空窓161および164で覆われる。真空窓161および164は、X線放射に対して実質的に透明である任意の好適な材料(例えばベリリウム)で構築されてよい。照射ビーム117は、試料片101に向かって伝播する際に、真空窓161を通過する。試料片101と相互作用した後、散乱したX線放射125は真空窓164を通過し、真空チャンバ163に入り、X線検出器116に入射する。好適な真空環境162は、照射ビーム117に対する妨害を最小限に抑えるために真空チャンバ160内で維持され、好適な真空環境165は、散乱したX線放射125に対する妨害を最小限に抑えるために真空チャンバ163内で維持される。好適な真空環境は、任意の好適なレベルの真空、不活性ガス(例えばヘリウム)を含む任意の好適なパージされた環境、またはそれらの任意の組み合わせを含み得る。このように、できるだけ多くのビーム経路が真空内に位置し、光束を最大にし、かつ混乱を最小限に抑える。
いくつかの実施形態において、試料片101を含む光学システム全体が、真空内に維持される。しかし一般的に、試料片101を真空内に維持することに関連付けられる費用は、試料片の位置付けシステム140の構築に関連付けられる複雑さのため、高い。
さらに別の態様において、コンピュータシステム130は、測定した試料片の構造の構造モデル(例えば外形モデル、材料モデル、または外形モデルと材料モデルとの組み合わせ)を生成するよう構成され、構造モデルから少なくとも1つの外形パラメータを含む、フルビームX線スキャトロメトリの応答モデルを生成するよう構成され、かつフルビームX線スキャトロメトリの測定データとフルビームX線スキャトロメトリの応答モデルとの適合分析を実行することによって、少なくとも1つの試料片のパラメータ値を決定するよう構成される。分析エンジンが、シミュレートしたフルビームX線スキャトロメトリの信号を測定したデータと比較するよう使用され、それによってサンプルの外形、ならびに電子密度などの材料特性を判定することができる。図1に示す実施形態において、コンピュータシステム130はモデル構築として構成され、分析エンジンは、本明細書で説明するモデル構築および分析機能を実施するよう構成される。
図9は、コンピュータシステム130によって実施される、例示的なモデル構築および分析エンジン150を示す図である。図9に示すように、モデル構築および分析エンジン150は、試料片の測定した構造の構造モデル152を生成する、構造モデル構築モジュール151を含む。いくつかの実施形態において、構造モデル152は、試料片の材料特性も含む。構造モデル152は、フルビームX線スキャトロメトリの応答機能構築モジュール153に、入力として受け取られる。フルビームX線スキャトロメトリの応答機能構築モジュール153は、構造モデル152に少なくとも部分的に基づいて、フルビームX線スキャトロメトリの応答機能モデル155を生成する。いくつかの例において、フルビームX線スキャトロメトリの応答機能モデル155は、X線形成要因である、
に基づく。
ここで、Fは形成要因、qは散乱ベクトル、およびp(r)は球座標における試料片の電子密度である。次にX線の散乱強度が、
で与えられる。
フルビームX線スキャトロメトリの応答機能モデル155は、適合分析モジュール157に、入力として受け取られる。適合分析モジュール157は、モデル化したフルビームX線スキャトロメトリの応答を、対応する測定したデータと比較して、試料片の外形ならびに材料特性を判定する。
いくつかの例において、実験データに対するモデル化データの適合は、カイ二乗値を最小限に抑えることによって実現される。例えば、フルビームX線スキャトロメトリの測定では、カイ二乗値は、
として定義できる。
ここで、
は、「チャネル」jにおいて測定したフルビームX線スキャトロメトリの信号126であり、インデックスjは、回析次数、エネルギー、角度座標などのシステムパラメータのセットを表わす。
は、「チャネル」jのためにモデル化したフルビームX線スキャトロメトリの信号Sであり、構造体(目標物)のパラメータのセットV〜Vのために評価されている。これらのパラメータは、外形(CD、側壁角、オーバーレイなど)および材料(電子密度など)を表わす。σSAXS,jは、j次のチャネルに関連付けられた不確実性である。NSAXSは、X線計測におけるチャネルの合計数である。Lは、計測目標物を特徴づけるパラメータの数である。
式(4)は、異なるチャネルに関連付けられた不確実性に相関がないことを想定する。異なるチャネルに関連付けられた不確実性に相関がある例において、不確実性の間の共分散を計算することができる。これらの例において、フルビームX線スキャトロメトリの測定のカイ二乗値は、
として表わすことができる。
ここで、VSAXSはSAXSチャネルの不確実性の共分散行列であり、Tは転置行列を表わす。
いくつかの例において、適合分析モジュール157は、フルビームX線スキャトロメトリの応答モデル155を用いたフルビームX線スキャトロメトリの測定データ126の適合分析を実行することによって、少なくとも1つの試料片のパラメータ値を決定する。いくつかの例において、
が最適化される。
上記で説明したように、フルビームX線スキャトロメトリのデータの適合は、カイ二乗値の最小化によって実現される。しかし一般的に、フルビームX線スキャトロメトリのデータの適合は、他の機能によって実現され得る。
フルビームX線スキャトロメトリの計測データの適合は、感度を対象の外形および/または材料パラメータに提供する、任意のタイプのフルビームX線スキャトロメトリ技術に有利である。試料片のパラメータは、フルビームX線スキャトロメトリの試料片とのビーム相互作用を表わす適切なモデルが使用される限り、確定的(例えばCD、SWAなど)または統計的(例えば側壁の粗度のrms高、粗度相関長さなど)であってよい。
一般的に、コンピュータシステム130は、リアルタイム臨界寸法決め(RTCD)を利用して、リアルタイムでモデルのパラメータにアクセスするよう構成されるか、またはコンピュータシステム130は、試料片101に関連付けられた試料片の少なくとも1つのパラメータ値を判定するために、予め計算されたモデルのライブラリにアクセスしてよい。一般的に、CDエンジンのいくつかの形状を使用して、試料片の割り当てられたCDパラメータと、測定した試料片に関連付けられたCDパラメータとの間の相違を評価し得る。試料片のパラメータ値を計算するための例示的な方法およびシステムは、その全てを本願に引用して援用する、2010年11月2日にKLA−Tencor Corp社に発行された米国特許第7,826,071号に記載されている。
いくつかの例において、モデル構築および分析エンジン150は、フィードサイドウェイ分析、フィードフォワード分析、およびパラレル分析の、任意の組み合わせによって、測定されたパラメータの精度を向上させる。フィードサイドウェイ分析は、同じ試料片の異なる領域における複数のデータセットを取り、第1のデータセットから判定した共通のパラメータを、分析のために第2のデータセットに渡すことを指す。フィードフォワード分析は、異なる試料片におけるデータセットを取り、共通のパラメータを、段階的コピーにおける正確なパラメータのフィードフォワードアプローチを使用して、前方の後続の分析に渡すことを指す。パラレル分析は、少なくとも1つの共通パラメータが適合中に結合される複数のデータセットに対して、非直線の適合計測を平行または同時発生で適用することを指す。
複数のツールおよび構造分析は、回帰、参照表(すなわち「ライブラリ」適合)、または複数データセットの他の適合手順に基づく、フィードフォワード分析、フィードサイドウェイ分析、またはパラレル分析を指す。複数のツールおよび構造分析ための例示的な方法およびシステムは、その全てを本願に引用して援用する、2009年1月13日にKLA−Tencor Corp社に発行された米国特許第7,478,019号に記載されている。
別の1つの態様において、計測ツール100は、本明細書で説明するビーム制御機能を実施するよう構成された、コンピュータシステム(例えばコンピュータシステム130)を含む。図1に示す実施形態において、コンピュータシステム130は、強度、発散、スポットサイズ、偏光、分光、および入射する照射ビーム117の位置付けなど、任意の照射特性を制御するよう動作可能な、ビーム制御器として構成される。
図1に示すように、コンピュータシステム130は、検出器116と通信可能に接続される。コンピュータシステム130は、測定データ126を検出器116から受け取るよう構成される。1つの例において、測定データ126は、試料片の測定した応答(すなわち回析次数の強度)の表示を含む。検出器116の表面上において測定した応答の分布に基づき、試料片101上の照射ビーム117の入射位置および領域が、コンピュータシステム130によって判定される。1つの例において、パターン認識技術がコンピュータシステム130によって適用され、測定したデータ126に基づいて試料片101上の照射ビーム117の入射位置および領域を判定する。いくつかの例において、コンピュータシステム130は、所望の照射波長を選択し、照射ビーム117を導き直し、かつ再形成するためにコマンド信号136を照射光学素子115と通信させ、それによって、入射する照射ビーム117は、試料片101に対して所望の箇所および角度の向きで到達する。他のいくつかの例において、コンピュータシステム130は、試料片101の位置および向きを決めるために、コマンド信号をウェハ位置付けシステム140と通信させ、それによって入射する照射ビーム117は、試料片101に対して所望の箇所および角度の向きで到達する。他のいくつかの例において、コンピュータシステム130は、所望の照射波長を選択し、照射ビーム117を導き直し、かつ再形成するために、コマンド信号137をX線源110と通信させ、それによって入射する照射ビーム117は、試料片101に対して所望の箇所および角度の向きで到達する。
いくつかの実施形態において、図1に示す座標系146で示すX軸およびY軸の周りを回転することによって表わされる異なる向きで、測定を実行することが望ましい。これは、測定したパラメータの正確さおよび精度を向上させ、分析に利用可能なデータセットの数および多様性を、様々な大きい角度を含み、面配向から出るように広げることによって、パラメータ間の相関を軽減させる。より深く、より多様なデータセットを伴って、試料片のパラメータを測定することによっても、パラメータ間の相関を軽減させ、かつ測定精度を向上させる。例えば、垂直方向において、フルビームX線スキャトロメトリは、特徴部の臨界寸法を決定することができるが、特徴部の側壁角度および高さには大きく反応を示さない。しかし、面外の角度的位置の広い範囲にわたる測定データを集めることによって、特徴部の側壁角度および高さを決定することができる。
図1に示すように、計測ツール100は、スキャトロメータに対する面外の角度の向きの広い範囲にわたり、試料片101の位置合わせおよび試料片101の向き決めの両方を行うよう構成された、試料片位置付けシステム140を含む。換言すると、試料片位置付けシステム140は、試料片101の表面と面内で位置合わせされた1つ以上の回転軸の周りに、広い角度範囲にわたって試料片101を回転させるよう構成される。いくつかの実施形態において、試料片位置付けシステム140は、試料片101の表面と面内で位置合わせされた1つ以上の回転軸の周りに、少なくとも90°の範囲内で試料片101を回転させるよう構成される。いくつかの実施形態において、試料片位置付けシステムは、試料片101の表面と面内で位置合わせされた1つ以上の回転軸の周りに、少なくとも60°の範囲内で試料片101を回転させるよう構成される。いくつかの他の実施形態において、試料片位置付けシステムは、試料片101の表面と面内で位置合わせされた1つ以上の回転軸の周りに、少なくとも1°の範囲内で試料片101を回転させるよう構成される。このように、試料片101の角度分解測定値は、試料片101の表面上の任意の数の箇所にわたり、計測システム100によって集められる。1つの例において、コンピュータシステム130は、コマンド信号を、所望の試料片101の位置を示す、試料片位置付けシステム140の運動制御器145と通信させる。それに応じて、運動制御器145は、試料片101の所望の位置付けを実現するために、試料片位置付けシステム140の様々なアクチュエータへのコマンド信号を生成する。
図1に示すような非限定の例の方法で、試料片位置付けシステム140は縁部把持チャック141を含み、試料片101を試料片位置付けシステム140に固定して取り付ける。回転アクチュエータ142は、縁部把持チャック141および取り付けた試料片101を、外周フレーム143に対して回転させるよう構成される。示した実施形態において、回転アクチュエータ142は、試料片101を、図1に示す座標系146のX軸の周りに回転させるよう構成される。図1に示すように、試料片101のZ軸の周りの回転は、試料片101の面内回転である。X軸およびY軸(図示せず)の周りの回転は、試料片101の面外回転であり、試料片の表面を、計測システム100の計測要素に対して効果的に傾斜させている。図示していないが、第2の回転アクチュエータが、試料片101をY軸の周りに回転させるよう構成される。直線アクチュエータ144は、外周フレーム143をX方向に並進させるよう構成される。別の直線アクチュエータ(図示せず)は、外周フレーム143をY方向に並進させるよう構成される。このように、試料片101の表面上の全ての箇所が、面外の角度位置の範囲にわたって測定可能である。例えば1つの実施形態において、試料片101の箇所は、試料片101の垂直の向きに対して−45°〜+45°の範囲内でいくらかの角度的な増分にわたって測定される。
一般的に、試料片位置付けシステム140は、所望の直線および角度の位置付け性能を実現するために、限定ではないが、ゴニオメータステージ、ヘキサポッドステージ、角度ステージ、および直線ステージを含む、任意の好適な機械要素の組み合わせを含み得る。
別の態様において、対象の1つ以上のパラメータ値における当初の推定は、測定目標物に対する入射X線ビームの単一の向きで実行された、フルビームX線スキャトロメトリの測定に基づいて判定される。当初の推定値は、対象のパラメータの開始値として、複数の向きでのフルビームX線スキャトロメトリの測定から集められた測定データを用いて、測定モデルの回帰のために実施される。この方法で、対象のパラメータの精細な推定が、比較的少ない計算量で判定され、この精細な推定を、より大きいデータセットにわたる回帰の開始点として実施することによって、対象のパラメータの厳密な推定が、少ない合計計算量で得られる。
別の態様において、フルビームX線スキャトロメトリの測定データを使用して、検出した回析次数の測定した強度に基づいて、測定した構造体の画像を生成する。いくつかの実施形態において、フルビームX線スキャトロメトリの応答機能モデルが導き出され、一般的な電子密度のメッシュの散乱を表わす。このモデルを測定した信号に適合させ、その一方で連続性および散在する縁部を強化するために、このメッシュ内のモデル化した電子密度を閉じ込めることで、サンプルの三次元画像をもたらす。
外形的な、モデルを基にしたパラメトリックな反転が、フルビームX線スキャトロメトリの測定に基づく臨界寸法(CD)計測に好ましいが、同じフルビームX線スキャトロメトリの測定データから生成された試料片のマップは、測定した試料片が外形モデルの想定から逸脱した場合に、モデル誤差を識別して補正するのに有用である。
いくつかの例において、画像が、同じスキャトロメトリの測定データの、外形的な、モデルを基にしたパラメトリックな反転によって推定された構造特徴と比較される。相違点が、測定した構造体の外形モデルをアップデートし、測定性能を向上させるために使用される。正確なパラメトリック測定モデルに収束する能力は、集積回路を制御、監視、および製造プロセスの障害対応をするために測定する場合に、特に重要である。
いくつかの例において、画像は、電子密度、吸収率、複素屈折率、またはこれらの材料特徴の組み合わせの、二次元(2−D)マップである。いくつかの例において、画像は、電子密度、吸収率、複素屈折率、またはこれらの材料特徴の組み合わせの、三次元(3−D)マップである。マップは、比較的少ない物理的制約を用いて生成される。いくつかの例において、臨界寸法(CD)、側壁角度(SWA)、オーバーレイ、縁部の位置誤差、ピッチウォークなど、対象の1つ以上のパラメータが、得られたマップから直接推定される。他のいくつかの例において、サンプルの外形または材料が、モデルを基にしたCD測定のために利用したパラメトリック構造モデルによって企図された、想定した値の範囲外に逸脱する場合、マップはウェハ処理の欠陥を見つけて修正するのに有用である。1つの例において、マップと、測定したパラメータに従ったパラメトリック構造モデルによって想定した構造体のレンダリングとの相異が、パラメトリック構造モデルのアップデートおよびその測定性能の向上のために使用される。さらなる詳細は、その内容の全体を本願に引用して援用する、米国特許出願公開第2015/0300965号に記載されている。別の詳細は、その内容の全体を本願に引用して援用する、米国特許出願公開第2015/0117610号に記載されている。
別の態様において、モデル構築および分析エンジン150は、X線および光学測定分析を組み合わせたモデルを生成するために利用される。いくつかの例において、光学シミュレーションは、例えば、マックスウェル方程式を解いて、異なる偏光の反射率、偏光解析パラメータ、相変化などの光学信号を計算する、厳密結合波分析(RCWA)に基づく。
対象の1つ以上のパラメータ値が、複数の異なる入射角におけるX線回析次数の検出した強度の、組み合わせた適合分析、および組み合わせた外形的にパラメータ化した応答モデルを用いて検出した光強度、に基づき判定される。光強度は、光学計測ツールによって測定される。光学測定ツールは、図1および図2にそれぞれ示したシステム100および200など、X線計測システムと機械的に統合されてもよく、されなくてもよい。さらなる詳細は、それぞれの内容の全体を本願に引用して援用する、米国特許出願公開第2014/0019097号および米国特許出願公開第2013/0304424号に記載されている。
本明細書で説明するように、フルビームX線スキャトロメトリの測定は、半導体ウェハの垂直表面に対して照射するX線ビームの複数の向きで実行される。各向きは、X線照射ビームに対するウェハ101の任意の2つの角度回転によって、またはその逆によって示される。1つの例において、向きは、ウェハに固定された座標系に対して表わすことができる。図11は、角度φおよびθで示す特定の向きで、ウェハ101上に入射するX線照射ビーム117を示す。座標枠XYZは計測システムに固定され、座標枠X’Y’Z’はウェハ101に固定される。Zは、ウェハ101の表面に垂直の軸に位置合わせされる。XおよびYは、ウェハ101の表面に位置合わせされた面内にある。同様に、Z’は、ウェハ101の表面に垂直の軸に位置合わせされ、X’およびY’は、ウェハ101の表面に位置合わせされた面内にある。図11に示すように、X線照射ビーム117はX’Z’面内にある。角度φは、X線照射ビーム117の、X’Z’面内のウェハの垂直の面に対する向きを表わす。さらに、角度θは、X’Z’面のXZ面に対する向きを表わす。θおよびφは共に、ウェハ101の表面に対するX線照射ビーム117の向きを一意に画定する。この例において、ウェハ101の表面に対するX線照射ビームの向きは、ウェハ101の表面に垂直の軸(すなわちZ軸)の周りの回転によって、およびウェハ101の表面に位置合わせされた軸(すなわちY’軸)の周りの回転によって表わされる。他のいくつかの例において、ウェハ101の表面に対するX線照射ビームの向きは、図1を参照して説明したように、ウェハ101の表面に位置合わせされた第1の軸の周りの回転、およびウェハ101の表面に位置合わせされ、かつ第1の軸に直角である別の軸の周りの回転、によって表わされる。
いくつかの実施形態において、本明細書で説明するようなフルビームX線スキャトロメトリの測定によって特徴づけられる計測目標物は、測定中のウェハのスクライブライン内に位置する。これらの実施形態において、計測目標物は、スクライブラインの幅内に適合するようサイズが決められる。いくつかの例において、スクライブラインの幅は80マイクロメートル未満である。いくつかの例において、スクライブラインの幅は50マイクロメートル未満である。一般的に、半導体の製造に利用されるスクライブラインの幅は、小さくなる傾向にある。
いくつかの実施形態において、本明細書で説明するようなフルビームX線スキャトロメトリの測定によって特徴づけられる計測目標物は、測定中のウェハの能動ダイ領域内に位置し、機能的な集積回路(例えばメモリ、画像センサ、論理素子など)の一部である。
一般的に、測定中の計測目標物を囲む構造体からの汚染信号を最小限に抑えるために、照射ビームのスポットサイズは、測定中の計測目標物の横寸法に厳密に適合するのが好ましい。いくつかの実施形態において、測定中の計測目標物は、いずれの横寸法も70マイクロメートル未満である。いくつかの実施形態において、測定中の計測目標物は、いずれの横寸法も50マイクロメートル未満である。いくつかの実施形態において、測定中の計測目標物は、いずれの横寸法も40マイクロメートル未満である。いくつかの実施形態において、測定中の計測目標物は、いずれの横寸法も10マイクロメートル未満である。いくつかの実施形態において、測定中の計測目標物は、1マイクロメータより大きい全高(または同様の意味合いで、深さ)によって特徴づけられる。いくつかの実施形態において、測定中の計測目標物は、2マイクロメータより大きい全高(または同様の意味合いで、深さ)によって特徴づけられる。
一般的に、計測目標物は、計測目標物の最大高さ寸法(すなわちウェハ表面に垂直の寸法)を最大横方向寸法(すなわちウェハ表面と位置合わせされた寸法)で除算して定義されるアスペクト比によって、特徴づけられる。いくつかの実施形態において、測定中の計測目標物は、少なくとも20のアスペクト比を有する。いくつかの実施形態において、計測目標物は、少なくとも40のアスペクト比を有する。
図10A〜図10Cは、本明細書で説明する方法で測定を受ける、典型的な3Dフラッシュメモリ素子190の、それぞれ等角投影図、上面図、および断面図である。メモリ素子190の合計高さ(または同様の意味合いで、深さ)は、1〜7マイクロメートルの範囲である。メモリ素子190は垂直に製造される素子である。メモリ素子190などの垂直に製造された素子は、従来の平坦なメモリ素子を実質的に90°回転させ、ビットラインおよびセルストリングを垂直に(ウェハ表面に直角に)向ける。十分なメモリ容量を提供するために、異なる材料の多くの交互層がウェハ上に蒸着される。これは、最大横方向長さが100ナノメートル以下の構造体に対して数ミクロンの深さに良好に実行するため、パターン処理を必要とする。その結果、アスペクト比25対1または50対1は珍しくない。
図12は、高アスペクト比の穴構造310のアレイの上面図である。図7で示すように、穴構造のアレイは、面311、312、313、および314(これらは図から内側および外側に延びる)に沿って最も密にパターン化される。いくつかの実施形態において、本明細書で説明する高アスペクト比の構造体の測定を、高アスペクト比の構造体のアレイが最も密にパターン化された面内にある測定中のウェハの表面に対して、入射するX線照射ビームの向きにおいて実行することが好ましい。図12で示す例において、X線照射を、穴構造のアレイが最も密にパターン化されている、面311および312内、ならびに313および314内の穴構造310のアレイに提供することが好ましい。
図13Aは、典型的な高アスペクト比の穴構造320の側面図である。図13Bは、傾斜した穴構造321の側面図である。図13Cは、傾斜の程度が深さに伴って累進的に増加する、累進的に傾いた穴構造322の側面図である。多くの例において、穴構造321および322は望ましくない。いくつかの実施形態において、穴構造321および322似た穴構造は、本明細書で説明するフルビームX線スキャトロメトリの測定によって特徴づけられる。1つの例において、穴構造321は傾斜角度パラメータαによって特徴づけられる。さらにX線照射ビーム117は、例えば図11を参照して説明したように、垂直表面に対して角度φ、および反対角度−φで穴構造321に提供される。いくつかの実施形態において、これら2つの照射ケースで現れる、測定したT−SAX信号における差が、傾斜角度αを正確に推定するために十分な信号情報を提供する。
別の例において、穴構造322は、いくつかの傾斜角度パラメータα、α、およびαよって区分的に特徴づけられる。同様にX線照射ビーム117は、例えば図11を参照して説明したように、垂直表面に対して角度φ、および反対角度−φで穴構造322に提供される。いくつかの実施形態において、これら2つの照射ケースで現れる、測定したT−SAX信号における差が、傾斜角度α、α、およびαを正確に推定するために十分な信号情報を提供する。
本開示全体で説明する様々なステップは、単一のコンピュータシステム130または代わりに複数のコンピュータシステム130によって実施され得ることを理解されたい。さらに、試料片位置付けシステム140などの、システム100の別のサブシステムは、本明細書で説明するステップの少なくとも一部を実施するために好適なコンピュータシステムを含み得る。したがって、前述の説明は、本発明を限定するものとは解釈するべきでなく、単なる例示である。さらに、1つ以上のコンピュータシステム130は、本明細書で説明する任意の方法実施形態のうちの任意の他のステップを実行するよう構成され得る。
さらに、コンピュータシステム130は、当技術分野で公知の任意の方法で、検出器116および照射光学素子115に通信可能に接続され得る。例えば、1つ以上のコンピュータシステム130は、検出器116および照射光学素子115のそれぞれに関連付けられたコンピュータシステムに接続され得る。別の例において、検出器116および照射光学素子115のうちのいずれかは、コンピュータシステム130に接続された単一のコンピュータシステムによって直接制御され得る。
コンピュータシステム130は、ワイヤラインおよび/またはワイヤレス部を含み得る伝送媒体によって、システムのサブシステム(例えば検出器116および照射光学素子115など)からのデータまたは情報を受け取るよう、および/または獲得するよう構成され得る。このように、伝送媒体は、コンピュータシステム130とシステム100の他のサブシステムとの間のデータリンクとして役立ち得る。
計測システム100のコンピュータシステム130は、ワイヤラインおよび/またはワイヤレス部を含み得る伝送媒体によって、他のシステムからデータまたは情報(例えば測定結果、モデル化入力、モデル化結果など)を受け取るよう、および/または獲得するよう構成され得る。このように、伝送媒体は、コンピュータシステム130と他のシステム(例えば計測システム100のオンボードメモリ、外部メモリ、または外部システム)との間のデータリンクとして役立ち得る。例えば、コンピュータシステム130は、データリンクを介して記憶媒体(すなわちメモリ132または180)から測定データ(例えば信号126)を受け取るよう構成され得る。例えば、任意の検出器116の分光計を使用して得た分光結果が、固定記憶装置または半固定記憶装置(例えばメモリ132または180)に記憶され得る。その際に測定結果を、オンボードメモリまたは外部のメモリシステムから取り込んでもよい。さらに、コンピュータシステム130は、伝送媒体を介してデータを他のシステムに送信し得る。例えばコンピュータシステム130によって判定された試料片のパラメータ値170が、固定記憶装置または半固定記憶装置(例えばメモリ180)に記憶され得る。その際に測定結果は、別のシステムに伝えられ得る。
コンピュータシステム130として、限定ではないが、パーソナルコンピュータシステム、メインフレームコンピュータシステム、ワークステーション、画像コンピュータ、並列プロセッサ、または当技術分野で公知である任意の他のデバイスを挙げてよい。一般的に、用語「コンピュータシステム」は、メモリ媒体からの命令を実行する1つ以上のプロセッサを有する任意のデバイスを含包するよう、広義に定義され得る。
本明細書で説明したような方法を実施するプログラム命令134は、ワイヤ、ケーブル、またはワイヤレス伝送リンクなどの伝送媒体によって送信され得る。例えば図1に示すように、メモリ132に記憶されたプログラム命令は、バス133を介してプロセッサ131に送信される。プログラム命令134は、コンピュータ読み取り可能媒体(例えばメモリ132)に記憶される。例示的なコンピュータ読み取り可能媒体として、読み出し専用メモリ、ランダムアクセスメモリ、磁気もしくは光学ディスク、または磁気テープが挙げられる。
いくつかの実施形態において、本明細書で説明したスキャトロメトリの分析は、製作プロセスツールの一部として実施される。製作プロセスツールの例として、限定ではないが、リソグラフ露光ツール、膜蒸着ツール、インプラントツール、およびエッチングツールが挙げられる。このように、フルビームX線スキャトロメトリの分析の結果は、製作プロセスを制御するために使用される。1つの例において、1つ以上の目標物から集められたフルビームX線スキャトロメトリの測定データは、製作プロセスツールに送られる。フルビームX線スキャトロメトリの測定データは、本明細書で説明したように分析され、その結果は製作プロセスツールの動作を調整するために使用される。
本明細書で説明したスキャトロメトリの測定は、様々な半導体構造の特徴を判定するために使用され得る。例示的な構造として、限定ではないが、FinFETと、ナノワイヤまたはグラフェンなどの低次元構造と、10nm以下の構造と、リソグラフィ構造と、貫通基板ピア(TSV)と、DRAM、DRAM 4F2、FLASH、MRAM、高アスペクト比のメモリ構造などのメモリ構造と、が挙げられる。例示的な構造特徴として、限定ではないが、線の縁部の粗度、線の幅の粗度、孔サイズ、孔密度、側壁角度、プロファイル、臨界寸法、ピッチなどの外形パラメータと、電子密度、組成、結晶粒組織、形態構造、変形応力、ひずみ、元素同定などの材料パラメータと、が挙げられる。
図14は、本発明の計測システム100および200によって実施するのに好適な方法300を示す。1つの態様として、方法300のデータ処理ブロックは、コンピュータシステム130の1つ以上のプロセッサによって実行される、予めプログラムされたアルゴリズムを介して実施され得ることが理解される。以下の説明は計測システム100および200に関連して提示されるが、計測システム100および200の特定の構造態様は限定を表わさず、単なる例示であると解釈すべきことが本明細書で理解される。
ブロック301において、ウェハ表面上に形成された測定目標物は、X線放射の集光ビームで、測定目標物に対して複数の異なる向きで照射される。
ブロック302において、X線照射の入射ビームに応答して測定目標物から散乱する放射量の、ゼロ回析次数に関連付けられた強度、およびより高い回析次数に関連付けられた強度は、各向きにおいて同時に検出される。
ブロック303において、測定目標物のモデルに関連付けられた対象のパラメータ値は、複数の向きにおける、回析次数の検出された強度に基づいて判定される。
本明細書で説明した、用語「臨界寸法」は、構造体の任意の臨界寸法(例えば底臨界寸法、中間部臨界寸法、頂部臨界寸法、側壁角度、格子高など)、任意の2つ以上の構造体の間の臨界寸法(例えば2つの構造体の間の距離)、および2つ以上の構造体の間の位置ずれ(例えばオーバーレイした格子構造の間のオーバーレイのずれなど)を含む。構造体は、三次元構造、パターン化構造、オーバーレイ構造などを含み得る。
本明細書で説明した、用語「臨界寸法用途」または「臨界寸法測定用途」は、任意の臨界寸法測定を含む。
本明細書で説明した、用語「計測システム」は、臨界寸法用途およびオーバーレイ計測用途を含む、任意の態様において試料片を特徴づけるために、少なくとも一部を利用した任意のシステムを含む。しかし、このような技術分野の用語は、本明細書で説明した用語「計測システム」の範囲を限定するものではない。さらに、本明細書で説明した計測システムは、パターン化されたウェハおよび/またはパターン化されていないウェハの測定のために構成され得る。計測システムは、LED検査ツール、端部検査ツール、裏側検査ツール、マクロ検査ツール、またはマルチモード検査ツール(1つ以上のプラットフォームからのデータを同時に含む)、および本明細書で説明した測定技術から利益を得る他の任意の計測ツールもしくは検査ツールとして、構成され得る。
様々な実施形態が、試料片を処理するために使用され得る半導体処理システム(例えば検査システムまたはリソグラフィシステム)のために本明細書で説明された。用語「試料片」は本明細書では、ウェハ、レチクル、または当技術分野で公知の方法によって処理(例えば印刷または欠陥検査)され得る他の任意のサンプルを指すために使用される。
本明細書で使用される用語「ウェハ」は、一般的に、半導体材料または非半導体材料で形成される基板を指す。例として、限定ではないが、単結晶シリコン、ヒ化ガリウム、およびリン化インジウムが挙げられる。このような基板は、半導体製作工場で一般的にみられ、および/または処理され得る。いくつかのケースにおいて、ウェハは基板のみを含み得る(すなわちベアウェハ)。代替えとして、ウェハは、基板上に形成される異なる材料の1層以上の層を含み得る。ウェハ上に形成される1層以上の層は、「パターン化」されてもよく、「パターン化」されなくてもよい。例えば、ウェハは、繰り返し可能パターン特徴部を有する複数のダイを含み得る。
「レチクル」は、レチクル製作プロセスの任意の段階におけるレチクルであってよく、または半導体製作工場で使用するために出荷され得る、またはされ得ない完成したレチクルであってよい。レチクルまたは「マスク」は一般的に、実質的に透明で、その上に形成された実質的に不透明の領域を有し、パターンに構成された基板として定義される。例えば基板は、非結晶のSiOなどのガラス材料を含み得る。レチクルは、リソグラフィプロセスの露光ステップの間、レジストカバーウェハの上に配設されてよく、それによってレチクル上のパターンはレジストに転写され得る。
ウェハ上に形成される1層以上の層は、パターン化されてもよく、パターン化されなくてもよい。例えば、ウェハは、各々が繰り返し可能パターン特徴部を有する複数のダイを含み得る。このような材料の層の構成および処理は、最終的に完成した素子をもたらし得る。多くの異なるタイプの素子がウェハ上に形成されてよく、本明細書で使用する用語ウェハは、当技術分野で公知の任意のタイプの素子が上に製作される、ウェハを含包するよう意図される。
1つ以上の例示的な実施形態において、説明した機能はハードウェア、ソフトウェア、ファームウェア、またはそれらの任意の組み合わせによって実施され得る。ソフトウェアで実施される場合、機能は、コンピュータ読み取り可能媒体上において1つ以上の命令またはコードとして記憶されてよく、または送信され得る。コンピュータ読み取り可能媒体は、コンピュータ記憶媒体、およびコンピュータプログラムを1つの箇所から別の箇所に伝送するのを促進する任意の媒体を含む通信媒体の両方を含む。記憶媒体は、汎用または専用コンピュータによってアクセスできる任意の入手可能な媒体であってよい。例として、および限定ではなく、このようなコンピュータ読み取り可能媒体は、RAM、ROM、EEPROM、CD−ROM、または他の光学ディスク記憶装置、磁気ディスク記憶装置もしくは他の磁気記憶デバイス、または所望のプログラムコード手段を命令もしくはデータ構造の形態で実行もしくは記憶するために使用することができ、汎用あるいは専用コンピュータ、もしくは汎用あるいは専用プロセッサによってアクセスできる、他の任意の媒体を備えることができる。さらに任意の接続が、コンピュータ読み取り可能媒体として適切に称される。例えば、ソフトウェアが、同軸ケーブル、光ファイバーケーブル、ツイストペア、デジタル加入者回線(DSL)、もしくは赤外線、無線、およびマイクロ波などのワイヤレス技術を使用して、ウェブサイト、サーバ、または他の遠隔源から送信される場合、同軸ケーブル、光ファイバーケーブル、ツイストペア、DSL、または赤外線、無線、およびマイクロ波などのワイヤレス技術が、媒体の定義に含まれる。本明細書で使用するディスク(diskおよびdisc)は、コンパクトディスク(CD)、レーザディスク、XRFディスク、デジタル多機能ディスク(DVD)、フロッピー(登録商標)ディスク、およびブルーレイディスク(登録商標)を含む。ここでディスク(disk)は通常データを磁気的に再生し、ディスク(disc)はデータを、レーザを用いて光学的に再生する。上記の組み合わせも、コンピュータ読み取り可能媒体の範囲に含まれる。
ある特定の実施形態が、教示目的として上記で説明されたが、本特許書類の教示は一般的な適用可能性を有し、上記で説明した特定の実施形態に限定するものではない。したがって、様々な変形、適応、および説明した実施形態の様々な特徴の組み合わせが、特許請求の範囲に記載する本発明の範囲から逸脱することなく、実施することができる。

Claims (22)

  1. ある量のX線放射を生成するよう構成されたX線照射源と、
    前記ある量のX線放射の集光ビームを用いて、測定目標物に対して複数の向きで、ウェハ表面上に形成された前記測定目標物を照射するよう構成されたX線照射光学素子サブシステムと、
    各向きにおけるX線放射の入射ビームに応答して前記測定目標物から散乱した、ある量の放射の、ゼロ回析次数に関連付けられた強度、およびより高い回析次数に関連付けられた強度を同時に検出するよう構成されたX線検出器と、
    前記複数の異なる向きにおける前記回析次数の前記検出した強度に基づく、前記測定目標物のモデルに関連付けられた対象のパラメータ値を判定するよう構成されたコンピュータシステムと、
    を備える計測システム。
  2. 請求項1に記載の計測システムであって、
    前記ゼロ回析次数および前記より高い回析次数が、前記X線検出器で重複する、計測システム。
  3. 請求項1に記載の計測システムであって、
    対象の前記パラメータ値を判定することが、X線放射の前記入射ビームの発散を伴う、計測システム。
  4. 請求項1に記載の計測システムであって、
    前記コンピュータシステムは、検出したゼロ回析次数の特性に基づいて、測定品質および性能の表示を判定するようさらに構成される、計測システム。
  5. 請求項4に記載の計測システムであって、
    測定品質および性能の表示は、前記X線検出器の前記入射ビームの軸への位置合わせと、前記X線照射源の輝度と、前記X線照射源か、前記X線照射光学素子サブシステムの要素か、またはこれらの両方の位置合わせと、のうちのいずれかである、計測システム。
  6. 請求項4に記載の計測システムであって、
    前記コンピュータシステムは、測定品質および性能の表示に基づいて前記計測システムを調整するために、コマンド信号を前記計測システムの要素と通信させるようさらに構成される、計測システム。
  7. 請求項1に記載の計測システムであって、
    前記コンピュータシステムは、前記ゼロ回析次数の測定したプロファイルに基づいて、前記より高い回析次数のモデルを判定するようさらに構成される、計測システム。
  8. 請求項1に記載の計測システムであって、
    前記コンピュータシステムは、前記ゼロ回析次数の前記強度によって、前記より高い回析次数の前記強度を分割するようさらに構成される、計測システム。
  9. 請求項1に記載の計測システムであって、
    前記X線検出器の感光性体積が、テルル化カドミウム、ゲルマニウム、ヒ化ガリウム、またはこれらの任意の組み合わせを含む、計測システム。
  10. 請求項1に記載の計測システムであって、
    前記測定目標物が1つ以上の高アスペクト比の構造を含む、計測システム。
  11. 請求項10に記載の計測システムであって、
    前記1つ以上の高アスペクト比の構造は、スピントランスファートルクランダムアクセスメモリ(STT−RAM)、三次元NANDメモリ(3D−NAND)、動的ランダムアクセスメモリ(DRAM)、三次元フラッシュメモリ(3D−FLASH)、抵抗性ランダムアクセスメモリ(Re−RAMPC)、および相変化ランダムアクセスメモリ(PC−RAM)のうちのいずれかである、計測システム。
  12. 請求項1に記載の計測システムであって、
    前記X線照射源は、液体金属噴射X線照射源、固体アノードX線照射源、および逆コンプトンX線照射源のうちのいずれかを含む、計測システム。
  13. 請求項1に記載の計測システムであって、
    対象の前記少なくとも1つのパラメータを判定することは、外形的にパラメータ化した応答モデルを用いた、前記回析次数の前記検出した強度の適合分析を含む、計測システム。
  14. 請求項1に記載の計測システムであって、
    前記コンピュータシステムが、前記複数の異なる向きにおいて前記回析次数の前記検出した強度に基づいて、前記測定目標物の多次元画像を判定するようさらに構成される、計測システム。
  15. ウェハ表面上に形成された測定目標物を、前記測定目標物に対して複数の異なる向きでX線放射の集光ビームを用いて照射することと、
    各向きにおけるX線放射の入射ビームに応答して前記測定目標物から散乱した、ある量の放射の、ゼロ回析次数に関連付けられた強度、およびより高い回析次数に関連付けられた強度を同時に検出することと、
    前記測定目標物のモデルに関連付けられた、対象のパラメータ値を、前記複数の異なる向きにおける前記回析次数の前記検出された強度に基づいて判定することと、
    を含む、方法。
  16. 請求項15に記載の方法であって、
    前記検出したゼロ回析次数の特性に基づいて、測定品質および性能の表示を判定すること、
    をさらに含む、方法。
  17. 請求項16に記載の方法であって、
    測定品質および性能の表示は、X線検出器の前記入射ビームの軸への位置合わせと、X線照射源の輝度と、前記X線照射源か、X線照射光学素子サブシステムの要素か、またはこれらの両方の位置合わせと、のうちのいずれかである、方法。
  18. 請求項16に記載の方法であって、
    測定品質および性能の前記表示に基づいて、計測システムを調整するために、コマンド信号を前記計測システムの要素と通信させること、
    をさらに含む、方法。
  19. 請求項15に記載の方法であって、
    前記ゼロ回析次数の測定したプロファイルに基づいて、前記より高い回析次数のモデルを判定すること、
    をさらに含む、方法。
  20. 請求項15に記載の方法であって、
    前記ゼロ回析次数の強度によって、前記より高い回析次数の前記強度を分割すること、
    をさらに含む、方法。
  21. 計測システムであって、
    ある量のX線放射を生成するよう構成されたX線照射源と、
    前記ある量のX線放射の集光ビームを用いて、測定目標物に対して複数の向きで、ウェハ表面上に形成された前記測定目標物を照射するよう構成されたX線照射光学素子サブシステムと、
    各向きにおけるX線放射の入射ビームに応答して前記測定目標物から散乱した、ある量の放射の、ゼロ回析次数に関連付けられた強度、およびより高い回析次数に関連付けられた強度を同時に検出するよう構成されたX線検出器と、
    コンピュータシステムに、前記測定目標物のモデルに関連付けられた、対象のパラメータ値を、前記複数の向きにおける前記回析次数の前記検出された強度に基づいて判定させるための、コードを備える非一時的なコンピュータ読み取り可能媒体と、
    を備える、計測システム。
  22. 請求項21に記載の計測システムであって、
    前記ゼロ回析次数および前記より高い回析次数が、前記X線検出器で重複する、計測システム。
JP2019542350A 2016-10-18 2017-10-17 X線スキャトロメトリシステムのフルビーム計測 Active JP7210460B2 (ja)

Priority Applications (2)

Application Number Priority Date Filing Date Title
JP2023002235A JP7486621B2 (ja) 2016-10-18 2023-01-11 X線スキャトロメトリシステムのフルビーム計測
JP2023211828A JP2024019566A (ja) 2016-10-18 2023-12-15 X線スキャトロメトリシステムのフルビーム計測

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201662409758P 2016-10-18 2016-10-18
US62/409,758 2016-10-18
US15/419,130 US10775323B2 (en) 2016-10-18 2017-01-30 Full beam metrology for X-ray scatterometry systems
US15/419,130 2017-01-30
PCT/US2017/057034 WO2018075551A1 (en) 2016-10-18 2017-10-17 Full beam metrology for x-ray scatterometry systems

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2023002235A Division JP7486621B2 (ja) 2016-10-18 2023-01-11 X線スキャトロメトリシステムのフルビーム計測

Publications (3)

Publication Number Publication Date
JP2019536057A true JP2019536057A (ja) 2019-12-12
JP2019536057A5 JP2019536057A5 (ja) 2020-11-26
JP7210460B2 JP7210460B2 (ja) 2023-01-23

Family

ID=61903805

Family Applications (3)

Application Number Title Priority Date Filing Date
JP2019542350A Active JP7210460B2 (ja) 2016-10-18 2017-10-17 X線スキャトロメトリシステムのフルビーム計測
JP2023002235A Active JP7486621B2 (ja) 2016-10-18 2023-01-11 X線スキャトロメトリシステムのフルビーム計測
JP2023211828A Pending JP2024019566A (ja) 2016-10-18 2023-12-15 X線スキャトロメトリシステムのフルビーム計測

Family Applications After (2)

Application Number Title Priority Date Filing Date
JP2023002235A Active JP7486621B2 (ja) 2016-10-18 2023-01-11 X線スキャトロメトリシステムのフルビーム計測
JP2023211828A Pending JP2024019566A (ja) 2016-10-18 2023-12-15 X線スキャトロメトリシステムのフルビーム計測

Country Status (8)

Country Link
US (3) US10775323B2 (ja)
JP (3) JP7210460B2 (ja)
KR (2) KR102625635B1 (ja)
CN (2) CN109844898B (ja)
DE (1) DE112017005271T5 (ja)
IL (2) IL265745B2 (ja)
TW (1) TWI753030B (ja)
WO (1) WO2018075551A1 (ja)

Families Citing this family (55)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10295485B2 (en) 2013-12-05 2019-05-21 Sigray, Inc. X-ray transmission spectrometer system
JP6999268B2 (ja) 2016-01-11 2022-01-18 ブルカー テクノロジーズ リミテッド X線スキャタロメトリーのための方法および装置
US10775323B2 (en) * 2016-10-18 2020-09-15 Kla-Tencor Corporation Full beam metrology for X-ray scatterometry systems
US10481111B2 (en) * 2016-10-21 2019-11-19 Kla-Tencor Corporation Calibration of a small angle X-ray scatterometry based metrology system
US11073487B2 (en) 2017-05-11 2021-07-27 Kla-Tencor Corporation Methods and systems for characterization of an x-ray beam with high spatial resolution
US10727142B2 (en) 2017-05-30 2020-07-28 Kla-Tencor Corporation Process monitoring of deep structures with X-ray scatterometry
US10983227B2 (en) 2017-08-14 2021-04-20 Kla-Tencor Corporation On-device metrology using target decomposition
US11317500B2 (en) 2017-08-30 2022-04-26 Kla-Tencor Corporation Bright and clean x-ray source for x-ray based metrology
US10748736B2 (en) 2017-10-18 2020-08-18 Kla-Tencor Corporation Liquid metal rotating anode X-ray source for semiconductor metrology
US11156548B2 (en) 2017-12-08 2021-10-26 Kla-Tencor Corporation Measurement methodology of advanced nanostructures
US10895541B2 (en) 2018-01-06 2021-01-19 Kla-Tencor Corporation Systems and methods for combined x-ray reflectometry and photoelectron spectroscopy
US10794839B2 (en) 2019-02-22 2020-10-06 Kla Corporation Visualization of three-dimensional semiconductor structures
US11519869B2 (en) 2018-03-20 2022-12-06 Kla Tencor Corporation Methods and systems for real time measurement control
US10816486B2 (en) 2018-03-28 2020-10-27 Kla-Tencor Corporation Multilayer targets for calibration and alignment of X-ray based measurement systems
US10816487B2 (en) 2018-04-12 2020-10-27 Bruker Technologies Ltd. Image contrast in X-ray topography imaging for defect inspection
JP2019191169A (ja) * 2018-04-23 2019-10-31 ブルカー ジェイヴィ イスラエル リミテッドBruker Jv Israel Ltd. 小角x線散乱測定用のx線源光学系
DE112019002822T5 (de) 2018-06-04 2021-02-18 Sigray, Inc. Wellenlängendispersives röntgenspektrometer
WO2020008420A2 (en) 2018-07-05 2020-01-09 Bruker Jv Israel Ltd. Small-angle x-ray scatterometry
JP7117452B2 (ja) 2018-07-26 2022-08-12 シグレイ、インコーポレイテッド 高輝度反射型x線源
US10677586B2 (en) * 2018-07-27 2020-06-09 Kla-Tencor Corporation Phase revealing optical and X-ray semiconductor metrology
KR20210028276A (ko) * 2018-07-31 2021-03-11 램 리써치 코포레이션 고 종횡비 구조체들의 패터닝된 어레이들 내의 틸팅 각도 결정
CN112823280A (zh) 2018-09-07 2021-05-18 斯格瑞公司 用于深度可选x射线分析的系统和方法
WO2020117361A1 (en) * 2018-12-03 2020-06-11 Kla Corporation Single cell in-die metrology targets and measurement methods
US11018064B2 (en) * 2018-12-12 2021-05-25 Kla Corporation Multiple-tool parameter set configuration and misregistration measurement system and method
US11990380B2 (en) 2019-04-19 2024-05-21 Kla Corporation Methods and systems for combining x-ray metrology data sets to improve parameter estimation
JP7168985B2 (ja) * 2019-04-22 2022-11-10 株式会社リガク 微細構造の解析方法、装置およびプログラム
US11460418B2 (en) 2019-08-26 2022-10-04 Kla Corporation Methods and systems for semiconductor metrology based on wavelength resolved soft X-ray reflectometry
DE112020004169T5 (de) 2019-09-03 2022-05-25 Sigray, Inc. System und verfahren zur computergestützten laminografieröntgenfluoreszenz-bildgebung
US11867595B2 (en) * 2019-10-14 2024-01-09 Industrial Technology Research Institute X-ray reflectometry apparatus and method thereof for measuring three dimensional nanostructures on flat substrate
US11272607B2 (en) 2019-11-01 2022-03-08 Kla Corporation Laser produced plasma illuminator with low atomic number cryogenic target
US11259394B2 (en) 2019-11-01 2022-02-22 Kla Corporation Laser produced plasma illuminator with liquid sheet jet target
US11610297B2 (en) * 2019-12-02 2023-03-21 Kla Corporation Tomography based semiconductor measurements using simplified models
US11520321B2 (en) 2019-12-02 2022-12-06 Kla Corporation Measurement recipe optimization based on probabilistic domain knowledge and physical realization
US11698251B2 (en) 2020-01-07 2023-07-11 Kla Corporation Methods and systems for overlay measurement based on soft X-ray Scatterometry
US11719652B2 (en) 2020-02-04 2023-08-08 Kla Corporation Semiconductor metrology and inspection based on an x-ray source with an electron emitter array
US11175243B1 (en) 2020-02-06 2021-11-16 Sigray, Inc. X-ray dark-field in-line inspection for semiconductor samples
US11513085B2 (en) 2020-02-20 2022-11-29 Kla Corporation Measurement and control of wafer tilt for x-ray based metrology
US11143604B1 (en) 2020-04-06 2021-10-12 Kla Corporation Soft x-ray optics with improved filtering
US11761913B2 (en) * 2020-05-04 2023-09-19 Bruker Technologies Ltd. Transmission X-ray critical dimension (T-XCD) characterization of shift and tilt of stacks of high-aspect-ratio (HAR) structures
CN115667896A (zh) 2020-05-18 2023-01-31 斯格瑞公司 使用晶体分析器和多个检测器元件的x射线吸收光谱的系统和方法
CN111678932B (zh) * 2020-06-09 2023-09-15 首钢集团有限公司 一种电子背散射衍射的分析方法
WO2021257049A1 (en) * 2020-06-15 2021-12-23 American Science And Engineering, Inc. Scatter x-ray imaging with adaptive scanning beam intensity
US11175245B1 (en) 2020-06-15 2021-11-16 American Science And Engineering, Inc. Scatter X-ray imaging with adaptive scanning beam intensity
EP4211726A1 (en) * 2020-09-08 2023-07-19 Massachusetts Institute Of Technology Prediction of semiconductor device performance
WO2022061347A1 (en) 2020-09-17 2022-03-24 Sigray, Inc. System and method using x-rays for depth-resolving metrology and analysis
US11530913B2 (en) 2020-09-24 2022-12-20 Kla Corporation Methods and systems for determining quality of semiconductor measurements
US11899375B2 (en) 2020-11-20 2024-02-13 Kla Corporation Massive overlay metrology sampling with multiple measurement columns
WO2022126071A1 (en) 2020-12-07 2022-06-16 Sigray, Inc. High throughput 3d x-ray imaging system using a transmission x-ray source
US20220196576A1 (en) * 2020-12-17 2022-06-23 Kla Corporation Methods And Systems For Compact, Small Spot Size Soft X-Ray Scatterometry
US11604420B2 (en) 2021-05-03 2023-03-14 Kla Corporation Self-calibrating overlay metrology
US11604063B2 (en) 2021-06-24 2023-03-14 Kla Corporation Self-calibrated overlay metrology using a skew training sample
US11781999B2 (en) 2021-09-05 2023-10-10 Bruker Technologies Ltd. Spot-size control in reflection-based and scatterometry-based X-ray metrology systems
CN113848219A (zh) * 2021-09-09 2021-12-28 齐鲁中科电工先进电磁驱动技术研究院 一种基于造布生产的调控方法、装置及造布调控系统
WO2023215204A1 (en) 2022-05-02 2023-11-09 Sigray, Inc. X-ray sequential array wavelength dispersive spectrometer
US11955308B1 (en) 2022-09-22 2024-04-09 Kla Corporation Water cooled, air bearing based rotating anode x-ray illumination source

Citations (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH01102325A (ja) * 1987-10-16 1989-04-20 Nippon Telegr & Teleph Corp <Ntt> 凹面回折格子と円筒面ミラーを用いる分光器
JP2006170791A (ja) * 2004-12-15 2006-06-29 Anritsu Corp X線回折測定解析方法及びプログラム
JP2006339359A (ja) * 2005-06-01 2006-12-14 Seiko Epson Corp 微細構造体の製造方法、電子機器
JP2007528253A (ja) * 2004-03-10 2007-10-11 コーニンクレッカ フィリップス エレクトロニクス エヌ ヴィ フォーカスしたコヒーレント散乱コンピュータ断層撮影
JP2007305971A (ja) * 2006-03-27 2007-11-22 Jordan Valley Semiconductors Ltd X線を用いるオーバレイ計測
JP2008109104A (ja) * 2006-09-25 2008-05-08 Asml Netherlands Bv 角度分解分光リソグラフィキャラクタライゼイション方法およびデバイス
WO2010119844A1 (ja) * 2009-04-14 2010-10-21 株式会社リガク 表面微細構造計測方法、表面微細構造計測データ解析方法およびx線散乱測定装置
JP2010272758A (ja) * 2009-05-22 2010-12-02 Hitachi High-Technologies Corp 被エッチング材のプラズマエッチング方法
US20150117610A1 (en) * 2013-10-28 2015-04-30 Kla-Tencor Corporation Methods and apparatus for measuring semiconductor device overlay using x-ray metrology
US20150300965A1 (en) * 2014-04-21 2015-10-22 Kla-Tencor Corporation Scatterometry-Based Imaging and Critical Dimension Metrology
US9243886B1 (en) * 2012-06-26 2016-01-26 Kla-Tencor Corporation Optical metrology of periodic targets in presence of multiple diffraction orders
US20160202193A1 (en) * 2015-01-14 2016-07-14 Kla-Tencor Corporation Measurement System Optimization For X-Ray Based Metrology

Family Cites Families (53)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5608526A (en) 1995-01-19 1997-03-04 Tencor Instruments Focused beam spectroscopic ellipsometry method and system
US6023338A (en) 1996-07-12 2000-02-08 Bareket; Noah Overlay alignment measurement of wafers
US5859424A (en) 1997-04-08 1999-01-12 Kla-Tencor Corporation Apodizing filter system useful for reducing spot size in optical measurements and other applications
US6429943B1 (en) 2000-03-29 2002-08-06 Therma-Wave, Inc. Critical dimension analysis with simultaneous multiple angle of incidence measurements
US6787773B1 (en) 2000-06-07 2004-09-07 Kla-Tencor Corporation Film thickness measurement using electron-beam induced x-ray microanalysis
US7317531B2 (en) 2002-12-05 2008-01-08 Kla-Tencor Technologies Corporation Apparatus and methods for detecting overlay errors using scatterometry
US7541201B2 (en) 2000-08-30 2009-06-02 Kla-Tencor Technologies Corporation Apparatus and methods for determining overlay of structures having rotational or mirror symmetry
US7068833B1 (en) 2000-08-30 2006-06-27 Kla-Tencor Corporation Overlay marks, methods of overlay mark design and methods of overlay measurements
US20030002043A1 (en) 2001-04-10 2003-01-02 Kla-Tencor Corporation Periodic patterns and technique to control misalignment
US6716646B1 (en) 2001-07-16 2004-04-06 Advanced Micro Devices, Inc. Method and apparatus for performing overlay measurements using scatterometry
AU2002360738A1 (en) 2001-12-19 2003-07-09 Kla-Tencor Technologies Corporation Parametric profiling using optical spectroscopic systems
GB0201773D0 (en) * 2002-01-25 2002-03-13 Isis Innovation X-ray diffraction method
US6778275B2 (en) 2002-02-20 2004-08-17 Micron Technology, Inc. Aberration mark and method for estimating overlay error and optical aberrations
US6992764B1 (en) 2002-09-30 2006-01-31 Nanometrics Incorporated Measuring an alignment target with a single polarization state
US7092485B2 (en) * 2003-05-27 2006-08-15 Control Screening, Llc X-ray inspection system for detecting explosives and other contraband
US7842933B2 (en) 2003-10-22 2010-11-30 Applied Materials Israel, Ltd. System and method for measuring overlay errors
US6937337B2 (en) 2003-11-19 2005-08-30 International Business Machines Corporation Overlay target and measurement method using reference and sub-grids
US7321426B1 (en) 2004-06-02 2008-01-22 Kla-Tencor Technologies Corporation Optical metrology on patterned samples
US7478019B2 (en) 2005-01-26 2009-01-13 Kla-Tencor Corporation Multiple tool and structure analysis
JP4585926B2 (ja) 2005-06-17 2010-11-24 株式会社日立ハイテクノロジーズ パターンレイヤーデータ生成装置、それを用いたパターンレイヤーデータ生成システム、半導体パターン表示装置、パターンレイヤーデータ生成方法、及びコンピュータプログラム
US7567351B2 (en) 2006-02-02 2009-07-28 Kla-Tencor Corporation High resolution monitoring of CD variations
JP4887062B2 (ja) 2006-03-14 2012-02-29 株式会社日立ハイテクノロジーズ 試料寸法測定方法、及び試料寸法測定装置
US7873585B2 (en) 2007-08-31 2011-01-18 Kla-Tencor Technologies Corporation Apparatus and methods for predicting a semiconductor parameter across an area of a wafer
BRPI0817759A2 (pt) * 2007-10-03 2015-03-24 Commw Scient Ind Res Org Analisador por difração de raios x por dispersão de energia em linha
US7742563B2 (en) * 2008-09-10 2010-06-22 Morpho Detection, Inc. X-ray source and detector configuration for a non-translational x-ray diffraction system
US7929667B1 (en) 2008-10-02 2011-04-19 Kla-Tencor Corporation High brightness X-ray metrology
US8068662B2 (en) 2009-03-30 2011-11-29 Hermes Microvision, Inc. Method and system for determining a defect during charged particle beam inspection of a sample
JP5545782B2 (ja) * 2009-07-31 2014-07-09 エーエスエムエル ネザーランズ ビー.ブイ. リソグラフィ装置の焦点測定方法、散乱計、リソグラフィシステム、およびリソグラフィセル
JP5764380B2 (ja) 2010-04-29 2015-08-19 エフ イー アイ カンパニFei Company Sem画像化法
IL217843A (en) * 2011-02-11 2016-11-30 Asml Netherlands Bv A system and method for testing, a lithographic system, a cell for lithographic processing, and a method for producing a device
US9046475B2 (en) 2011-05-19 2015-06-02 Applied Materials Israel, Ltd. High electron energy based overlay error measurement methods and systems
US8873596B2 (en) 2011-07-22 2014-10-28 Kla-Tencor Corporation Laser with high quality, stable output beam, and long life high conversion efficiency non-linear crystal
US10107621B2 (en) 2012-02-15 2018-10-23 Nanometrics Incorporated Image based overlay measurement with finite gratings
US8879073B2 (en) * 2012-02-24 2014-11-04 Kla-Tencor Corporation Optical metrology using targets with field enhancement elements
TW201350839A (zh) * 2012-05-08 2013-12-16 Kla Tencor Corp 具有組合x光及光學散射計之計量工具
US10801975B2 (en) 2012-05-08 2020-10-13 Kla-Tencor Corporation Metrology tool with combined X-ray and optical scatterometers
US10013518B2 (en) 2012-07-10 2018-07-03 Kla-Tencor Corporation Model building and analysis engine for combined X-ray and optical metrology
US9581430B2 (en) 2012-10-19 2017-02-28 Kla-Tencor Corporation Phase characterization of targets
US10769320B2 (en) 2012-12-18 2020-09-08 Kla-Tencor Corporation Integrated use of model-based metrology and a process model
US9291554B2 (en) 2013-02-05 2016-03-22 Kla-Tencor Corporation Method of electromagnetic modeling of finite structures and finite illumination for metrology and inspection
US10101670B2 (en) 2013-03-27 2018-10-16 Kla-Tencor Corporation Statistical model-based metrology
NL2012996A (en) * 2013-07-03 2015-01-06 Asml Netherlands Bv Inspection apparatus and method, lithographic apparatus, lithographic processing cell and device manufacturing method.
US9535018B2 (en) * 2013-07-08 2017-01-03 Kla-Tencor Corporation Combined x-ray and optical metrology
US9846132B2 (en) 2013-10-21 2017-12-19 Kla-Tencor Corporation Small-angle scattering X-ray metrology systems and methods
TWI631636B (zh) * 2013-12-16 2018-08-01 克萊譚克公司 以模型爲基礎之量測及一製程模型的整合使用
US9490182B2 (en) 2013-12-23 2016-11-08 Kla-Tencor Corporation Measurement of multiple patterning parameters
US9553033B2 (en) * 2014-01-15 2017-01-24 Kla-Tencor Corporation Semiconductor device models including re-usable sub-structures
CN204220865U (zh) 2014-10-20 2015-03-25 河南宝润机械有限公司 一种镦粗压柄和钢筋镦粗机
US10072921B2 (en) 2014-12-05 2018-09-11 Kla-Tencor Corporation Methods and systems for spectroscopic beam profile metrology having a first two dimensional detector to detect collected light transmitted by a first wavelength dispersive element
WO2016124345A1 (en) 2015-02-04 2016-08-11 Asml Netherlands B.V. Metrology method, metrology apparatus and device manufacturing method
KR102010941B1 (ko) 2015-03-25 2019-08-14 에이에스엠엘 네델란즈 비.브이. 계측 방법, 계측 장치 및 디바이스 제조 방법
ES2795831T3 (es) 2015-04-07 2020-11-24 Shenzhen Xpectvision Tech Co Ltd Detector de rayos X semiconductor
US10775323B2 (en) * 2016-10-18 2020-09-15 Kla-Tencor Corporation Full beam metrology for X-ray scatterometry systems

Patent Citations (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH01102325A (ja) * 1987-10-16 1989-04-20 Nippon Telegr & Teleph Corp <Ntt> 凹面回折格子と円筒面ミラーを用いる分光器
JP2007528253A (ja) * 2004-03-10 2007-10-11 コーニンクレッカ フィリップス エレクトロニクス エヌ ヴィ フォーカスしたコヒーレント散乱コンピュータ断層撮影
JP2006170791A (ja) * 2004-12-15 2006-06-29 Anritsu Corp X線回折測定解析方法及びプログラム
JP2006339359A (ja) * 2005-06-01 2006-12-14 Seiko Epson Corp 微細構造体の製造方法、電子機器
JP2007305971A (ja) * 2006-03-27 2007-11-22 Jordan Valley Semiconductors Ltd X線を用いるオーバレイ計測
JP2008109104A (ja) * 2006-09-25 2008-05-08 Asml Netherlands Bv 角度分解分光リソグラフィキャラクタライゼイション方法およびデバイス
WO2010119844A1 (ja) * 2009-04-14 2010-10-21 株式会社リガク 表面微細構造計測方法、表面微細構造計測データ解析方法およびx線散乱測定装置
JP2010272758A (ja) * 2009-05-22 2010-12-02 Hitachi High-Technologies Corp 被エッチング材のプラズマエッチング方法
US9243886B1 (en) * 2012-06-26 2016-01-26 Kla-Tencor Corporation Optical metrology of periodic targets in presence of multiple diffraction orders
US20150117610A1 (en) * 2013-10-28 2015-04-30 Kla-Tencor Corporation Methods and apparatus for measuring semiconductor device overlay using x-ray metrology
US20150300965A1 (en) * 2014-04-21 2015-10-22 Kla-Tencor Corporation Scatterometry-Based Imaging and Critical Dimension Metrology
US20160202193A1 (en) * 2015-01-14 2016-07-14 Kla-Tencor Corporation Measurement System Optimization For X-Ray Based Metrology

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
白崎 博公: "光CD計測の計測原理と関連技術", 精密工学会誌, vol. 78, no. 2, JPN6021046040, 2012, pages 127 - 131, ISSN: 0004812198 *

Also Published As

Publication number Publication date
KR20190058672A (ko) 2019-05-29
KR102495770B1 (ko) 2023-02-02
JP7486621B2 (ja) 2024-05-17
KR102625635B1 (ko) 2024-01-16
CN113838729B (zh) 2023-01-17
CN113838729A (zh) 2021-12-24
WO2018075551A1 (en) 2018-04-26
JP2024019566A (ja) 2024-02-09
KR20230021767A (ko) 2023-02-14
IL303263A (en) 2023-07-01
IL265745B2 (en) 2023-11-01
US20200300790A1 (en) 2020-09-24
JP2023033401A (ja) 2023-03-10
JP7210460B2 (ja) 2023-01-23
TW201825867A (zh) 2018-07-16
IL265745B1 (en) 2023-07-01
US20220268714A1 (en) 2022-08-25
US20180106735A1 (en) 2018-04-19
DE112017005271T5 (de) 2019-08-14
CN109844898A (zh) 2019-06-04
IL303263B1 (en) 2024-04-01
US10775323B2 (en) 2020-09-15
TWI753030B (zh) 2022-01-21
US11313816B2 (en) 2022-04-26
CN109844898B (zh) 2021-10-26
IL265745A (en) 2019-06-30

Similar Documents

Publication Publication Date Title
JP7486621B2 (ja) X線スキャトロメトリシステムのフルビーム計測
KR102363266B1 (ko) 고 애스펙트비 구조체에 대한 x 선 산란측정법 계측
US10359377B2 (en) Beam shaping slit for small spot size transmission small angle X-ray scatterometry
JP7376666B2 (ja) 透過型小角x線散乱計量システム
CN111819675B (zh) 用于实时测量控制的方法及系统
US11990380B2 (en) Methods and systems for combining x-ray metrology data sets to improve parameter estimation
US10859518B2 (en) X-ray zoom lens for small angle x-ray scatterometry

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20201014

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20201014

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20211026

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20211130

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20220224

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20220628

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20220920

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20221213

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20230111

R150 Certificate of patent or registration of utility model

Ref document number: 7210460

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150