CN113838729A - 用于x射线散射测量系统的全光束度量 - Google Patents

用于x射线散射测量系统的全光束度量 Download PDF

Info

Publication number
CN113838729A
CN113838729A CN202111172803.1A CN202111172803A CN113838729A CN 113838729 A CN113838729 A CN 113838729A CN 202111172803 A CN202111172803 A CN 202111172803A CN 113838729 A CN113838729 A CN 113838729A
Authority
CN
China
Prior art keywords
ray
diffraction order
sample
metrology system
measurement target
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN202111172803.1A
Other languages
English (en)
Other versions
CN113838729B (zh
Inventor
A·吉里纽
T·G·奇乌拉
J·亨奇
A·韦尔德曼
S·佐卢布斯基
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
KLA Corp
Original Assignee
KLA Tencor Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by KLA Tencor Corp filed Critical KLA Tencor Corp
Publication of CN113838729A publication Critical patent/CN113838729A/zh
Application granted granted Critical
Publication of CN113838729B publication Critical patent/CN113838729B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/252Tubes for spot-analysing by electron or ion beams; Microanalysers
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B15/00Measuring arrangements characterised by the use of electromagnetic waves or particle radiation, e.g. by the use of microwaves, X-rays, gamma rays or electrons
    • G01B15/04Measuring arrangements characterised by the use of electromagnetic waves or particle radiation, e.g. by the use of microwaves, X-rays, gamma rays or electrons for measuring contours or curvatures
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N23/00Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00
    • G01N23/20Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by using diffraction of the radiation by the materials, e.g. for investigating crystal structure; by using scattering of the radiation by the materials, e.g. for investigating non-crystalline materials; by using reflection of the radiation by the materials
    • G01N23/20066Measuring inelastic scatter of gamma rays, e.g. Compton effect
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N23/00Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00
    • G01N23/20Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by using diffraction of the radiation by the materials, e.g. for investigating crystal structure; by using scattering of the radiation by the materials, e.g. for investigating non-crystalline materials; by using reflection of the radiation by the materials
    • G01N23/205Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by using diffraction of the radiation by the materials, e.g. for investigating crystal structure; by using scattering of the radiation by the materials, e.g. for investigating non-crystalline materials; by using reflection of the radiation by the materials using diffraction cameras
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N23/00Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00
    • G01N23/20Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by using diffraction of the radiation by the materials, e.g. for investigating crystal structure; by using scattering of the radiation by the materials, e.g. for investigating non-crystalline materials; by using reflection of the radiation by the materials
    • G01N23/2055Analysing diffraction patterns
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T7/00Image analysis
    • G06T7/60Analysis of geometric attributes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/02Details
    • H01J37/04Arrangements of electrodes and associated parts for generating or controlling the discharge, e.g. electron-optical arrangement, ion-optical arrangement
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B2210/00Aspects not specifically covered by any group under G01B, e.g. of wheel alignment, caliper-like sensors
    • G01B2210/56Measuring geometric parameters of semiconductor structures, e.g. profile, critical dimensions or trench depth
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N2223/00Investigating materials by wave or particle radiation
    • G01N2223/05Investigating materials by wave or particle radiation by diffraction, scatter or reflection
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N2223/00Investigating materials by wave or particle radiation
    • G01N2223/05Investigating materials by wave or particle radiation by diffraction, scatter or reflection
    • G01N2223/056Investigating materials by wave or particle radiation by diffraction, scatter or reflection diffraction
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N2223/00Investigating materials by wave or particle radiation
    • G01N2223/05Investigating materials by wave or particle radiation by diffraction, scatter or reflection
    • G01N2223/056Investigating materials by wave or particle radiation by diffraction, scatter or reflection diffraction
    • G01N2223/0566Investigating materials by wave or particle radiation by diffraction, scatter or reflection diffraction analysing diffraction pattern
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N2223/00Investigating materials by wave or particle radiation
    • G01N2223/30Accessories, mechanical or electrical features
    • G01N2223/302Accessories, mechanical or electrical features comparative arrangements
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N2223/00Investigating materials by wave or particle radiation
    • G01N2223/60Specific applications or type of materials
    • G01N2223/611Specific applications or type of materials patterned objects; electronic devices
    • G01N2223/6116Specific applications or type of materials patterned objects; electronic devices semiconductor wafer

Landscapes

  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Analytical Chemistry (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Health & Medical Sciences (AREA)
  • Biochemistry (AREA)
  • General Health & Medical Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Immunology (AREA)
  • Pathology (AREA)
  • Engineering & Computer Science (AREA)
  • Geometry (AREA)
  • Computer Vision & Pattern Recognition (AREA)
  • Theoretical Computer Science (AREA)
  • Electromagnetism (AREA)
  • Analysing Materials By The Use Of Radiation (AREA)

Abstract

本发明涉及用于X射线散射测量系统的全光束度量。本文中描述用于通过全光束X射线散射测量而特性化半导体装置的尺寸及材料性质的方法及系统。全光束X射线散射测量涉及使用X射线光束照明样本且同时针对相对于所述样本的一或多个入射角检测所得零衍射级及较高衍射级的强度。直射光束及经散射级的同时测量实现具有经改进准确度的高处理量测量。全光束X射线散射测量系统包含:一或多个光子计数检测器,其具有高动态范围;及厚、高吸收性晶体衬底,其吸收具有最小寄生反向散射的直射光束。在其它方面中,基于零衍射级光束执行基于模型的测量,且基于所述经测量零级光束的性质估计及控制所述全光束X射线散射测量系统的测量性能。

Description

用于X射线散射测量系统的全光束度量
本申请是发明名称为“用于X射线散射测量系统的全光束度量”,申请号为201780064269.8,申请日为2017年10月17日的发明专利申请的分案申请。
相关申请案的交叉参考
本专利申请案根据35 U.S.C.§119规定主张2016年10月18日申请的美国临时专利申请案第62/409,758号的优先权,所述案的标的物全文以引用方式并入本文中。
技术领域
所描述实施例涉及度量系统及方法,且更具体来说涉及用于改进测量准确度的方法及系统。
背景技术
通常通过应用于样品的一系列处理步骤来制造半导体装置,例如逻辑及存储器装置。通过这些处理步骤形成半导体装置的各种特征及多个结构层级。例如,其中光刻是一种涉及在半导体晶片上产生图案的半导体制作工艺。半导体制作工艺的额外实例包含(但不限于)化学机械抛光、蚀刻、沉积及离子植入。多个半导体装置可制造在单个半导体晶片上且接着分离成个别半导体装置。
在半导体制作工艺期间的各个步骤使用度量工艺以检测晶片上的缺陷以促进较高良率。通常使用数种基于度量的技术(包含散射测量与反射测量实施方案以及相关联的分析算法)来特性化纳米级结构的临界尺寸、膜厚度、组合物及其它参数。
传统上,对由薄膜及/或重复周期性结构组成的目标执行散射测量临界尺寸(SCR)测量。在装置制造期间,这些膜及周期性结构通常表示实际装置几何形状及材料结构或中间设计。随着装置(例如,逻辑及存储器装置)迈向更小纳米级尺寸,特性化变得更困难。并入复杂三维几何形状及具有多样化物理性质的材料的装置造成特性化困难。例如,现代存储器结构常常是高高宽比、三维结构,此使得光学辐射难以穿透到底层。利用红外光到可见光的光学度量工具可穿透许多半透明材料层,但提供良好穿透深度的较长波长不提供对小异常的足够灵敏度。另外,特性化复杂结构(例如,FinFET)所需的增大数量个参数导致参数相关性增加。因此,特性化目标的参数常常无法可靠地脱离可用测量。
在一个实例中,已采用较长波长(例如,近红外)以试图克服利用多晶硅作为堆叠中的一个替代材料的3D FLASH装置的穿透问题。但是,3D FLASH的镜状结构随着照明更深地传播到膜堆叠中而本质上引起减小的光强度。这引起深度下的灵敏度损耗及相关性问题。在此案例中,SCD仅能够依高灵敏度及低相关性成功提取精简组的度量尺寸。
在另一实例中,在现代半导体结构中越来越多地采用不透明高k材料。光学辐射通常无法穿透由这些材料构成的层。因此,使用薄膜散射测量工具(例如椭偏仪或反射计)的测量变得越来越具挑战性。
响应于这些挑战,已开发更复杂的光学度量工具。举例来说,已开发具有多个照明角度、较短照明波长、较广照明波长范围及从反射信号的更完整信息获取(例如,除了较常规的反射率或椭偏测量信号外,也测量多个穆勒(Mueller)矩阵元素)的工具。但是,这些方法未可靠克服与许多先进目标(例如,复杂3D结构、小于10nm的结构、采用不透明材料的结构)的测量及测量应用(例如,线边缘粗糙度及线宽度粗糙度测量)相关联的根本挑战。
原子力显微镜(AFM)及扫描穿隧显微镜(STM)能够达成原子分辨率但其仅可探测样品的表面。另外,AFM及STM显微镜需要长扫描时间。扫描电子显微镜(SEM)达成中间分辨率级别,但无法穿透结构到足够深度。因此,没有良好特性化高高宽比孔。另外,样品所需的充电对成像性能具有不利影响。X射线反射计也遭受当测量高高宽比结构时限制其的有效性的穿透问题。
为了克服穿透深度问题,结合破坏性样本制备技术(例如聚焦离子束(FIB)加工、离子铣削、毯覆式或选择性蚀刻等)采用传统成像技术(例如TEM、SEM等)。举例来说,透射电子显微镜(TEM)达成高分辨率级别且能够探测任意深度,但TEM需要样品的破坏性分段。材料移除及测量的若干反复通常提供遍及三维结构测量临界度量参数所需的信息。但是,这些技术需要样本破坏及很长处理时间。完成这些类型的测量的复杂性及时间归因于蚀刻及度量步骤的漂移而引入很大的不准确性。另外,这些技术需要引入配准误差的若干反复。
X射线散射测量系统有希望解决具挑战性的测量应用。但是,当前实施方案阻挡零级光且仅收集经散射级。此方法引入多个缺点。首先,未同时收集直射光束及经散射级。其次,由于直射光束比经收集的经散射级亮许多级,所以丢失高信噪比(SNR)光束及系统信息。最后,丢失嵌入于根据散射角变化的总散射光中的信号信息。
尽管具有这些缺点,但只要入射通量极稳定或良好地特性化,依赖于经散射级的测量仅提供足够信号信息来确定一些所关注样品性质。在一些实例中,周期性地测量入射通量,使得可在测量中校正通量偏差。但是,在一些测量应用中,此方法过慢、不够准确或两者兼有。
在当前X射线散射测量系统中,出于若干理由而阻挡直射光束。其中一个理由是:可用检测器不能够解析具有大动态范围的信号。在典型半导体测量应用中,经散射信号通常比直射光束小五个到七个数量级。另外,相对高通量直射光束可将电荷陷获于检测器中或使传感器饱和超过破坏阈值。
为了进一步改进装置性能,半导体产业继续关注于垂直集成而非横向按比例调整。因此,复杂、完全三维结构的准确测量对确保可行性及持续按比例调整改进至关重要。未来度量应用归因于越来越小的分辨率要求、多参数相关性、越来越复杂的几何结构(包含高高宽比结构)及不透明材料的越来越多的使用而提出度量挑战。因此,期望用于改进X射线散射测量的方法及系统。
发明内容
本文中描述用于通过全光束X射线散射测量而特性化半导体装置的尺寸及材料性质的方法及系统。
在一个方面中,一种全光束X射线散射测量涉及使用X射线光束照明样本且同时针对相对于所述样本的一或多个入射角检测所得零衍射级及较高衍射级的强度。直射光束及经散射级的同时测量实现具有经改进准确度的高处理量测量。
在另一方面中,一种全光束X射线散射测量系统包含:一或多个光子计数检测器,所述一或多个光子计数检测器具有高动态范围(例如,大于105);及厚、高吸收性晶体衬底,其吸收不具破坏且具有最小寄生反向散射的直射光束。在一些实施例中,单个光子计数检测器检测经检测光子的位置及数量。在一些实施例中,X射线检测器解析一或多个X射线光子能量。
在进一步方面中,相对于传入X射线扫描检测器以减轻来自入射零级光束的破坏或过度充电。
在另一进一步方面中,基于经测量零级光束形状而对检测器上的重叠衍射级解卷积。
在另一进一步方面中,在测量期间提取零级光束轮廓以减轻测量期间的漂移。
在另一进一步方面中,相对于经测量零级光束而估计较高衍射级的强度。在一些实施例中,通过简单强度除法或以其它方式相对于经测量零级而估计每一较高衍射级的强度。如此,显著降低与相对弱、较高级信号相关联的测量不确定性。
在另一方面中,基于经测量零级光束的性质而估计全光束X射线散射测量系统的测量质量及性能。零级光束的经测量性质包含(但不限于)光束形状、强度、位置、轮廓、倾斜度、旋转、非对称性或其任何组合。
在进一步方面中,基于经测量零级光束而控制度量系统的测量质量及性能。在一些实例中,前文中所描述的测量质量及性能的估计作为输入提供到反馈控制器。所述反馈控制器传达控制命令,所述控制命令导致度量系统的一或多个元件的状态的改变,其改进测量系统质量及性能。
在一些实例中,基于全光束X射线散射测量的度量涉及通过使用经测量数据反解预定测量模型而确定样本的尺寸。所述测量模型包含数个(大约十个)可调整参数且表示样品的几何与光学性质及测量系统的光学性质。反解法包含(但不限于)基于模型的回归、断层摄影、机器学习或其任何组合。如此,通过对最小化经测量的散射X射线强度与经模型化结果之间的误差的参数化测量模型的值求解而估计目标轮廓参数。
在另一进一步方面中,经测量零级强度在回归期间作为输入提供到测量模型。
在另一进一步方面中,在与度量目标的基于模型的测量相关联的测量模型中考虑经测量级的发散。
在另一进一步方面中,度量系统经配置以产生样品的经测量结构的结构模型(例如,几何模型、材料模型或组合的几何及材料模型),从所述结构模型产生包含至少一个几何参数的全光束X射线散射测量响应模型,且通过使用响应模型执行测量数据的拟合分析而解析至少一个样品参数值。如此,经模拟全光束X射线散射测量信号与经测量数据的比较实现例如电子密度及元素识别及样本的组合物的几何以及材料性质的确定。
在进一步方面中,基于依入射X射线光束相对于测量目标的单个定向执行的全光束X射线散射测量来确定一或多个所关注参数的值的初始估计。所述初始估计值实施为针对使用依多个定向从测量收集的测量数据的测量模型的回归的所关注参数的起始值。如此,使用相对少量的计算工作量确定所关注参数的接近估计,且通过将此接近估计实施为针对更大数据集内的回归的起始点,使用较少总体计算工作量获得所述所关注参数的细化估计。
在进一步方面中,使用全光束X射线散射测量数据以基于所述经检测衍射级的所述经测量强度产生经测量结构的图像。在一些实施例中,一般化响应函数模型以描述从通用电子密度网的散射。使此模型与经测量信号匹配同时约束此网中的模型化电子密度以实施连续及稀疏边缘提供了所述样本的三维图像。
上文是发明内容且因此必然含有细节的简化、概括及省略;因此,所属领域的技术人员将了解,发明内容仅为阐释性且不以任何方式限制。本文中所述的装置及/或工艺的其它方面、发明特征及优点将在本文中所陈述的非限制性具体实施方式中变得显而易见。
附图说明
图1是说明经配置以根据本文中所描述的方法执行全光束X射线散射测量的度量系统100的图。
图2是说明在另一实施例中经配置以根据本文中所描述的方法执行全光束X射线散射测量的度量系统200的图。
图3描绘由全光束度量系统(例如度量系统100)测量的经散射级的图像171。
图4描绘由全光束度量系统(例如度量系统100)测量的经散射级的图像172。
图5描绘与图4中所描绘的图像172的横截面C相关联的强度轮廓的图表173。
图6描绘根据入射角变化的零级光束的散射效率。
图7描绘根据入射角变化的若干较高级的散射效率。
图8是说明与样品101分离的真空环境中所含的度量系统100及200的元件的图。
图9是说明经配置以根据本文中所描述的方法基于全光束X射线散射测量数据解析样品参数值的模型建立及分析引擎150的图。
图10A到10C分别描绘以本文中所描述的方式经受测量的典型3D快闪存储器装置190的等角视图、俯视图及横截面视图。
图11描绘依由角度φ及θ描述的特定定向入射在晶片101上的X射线照明光束117。
图12描绘高高宽比孔结构阵列310的俯视图。
图13A描绘理想高高宽比孔结构320的侧视图。
图13B描绘倾斜孔结构321的侧视图。
图13C描绘逐渐倾斜孔结构322的侧视图,其中倾斜度随深度逐渐增大。
图14描绘说明基于如本文中所描述的全光束X射线散射测量而测量结构的示范性方法300的流程图。
具体实施方式
现在将详细参考本发明的背景实例及一些实施例,在附图中说明其的实例。
本文中描述用于通过全光束X射线散射测量而特性化半导体装置的尺寸及材料性质的方法及系统。采用此类系统及技术来测量与不同半导体制作工艺相关联的结构及材料特性。在一些实例中,采用全光束X射线散射测量来测量高高宽比半导体结构的临界尺寸、厚度、覆盖及材料性质,包含但不限于自旋转移力矩随机存取存储器(STT-RAM)、三维NAND存储器(3D-NAND)或垂直NAND存储器(V-NAND)、动态随机存取存储器(DRAM)、三维快闪存储器(3D-FLASH)、电阻性随机存取存储器(Re-RAM)及相变随机存取存储器(PC-RAM)。
在一个方面中,全光束X射线散射测量涉及使用X射线光束照明样本且同时针对相对于所述样本的一或多个入射角检测所得零衍射级及较高衍射级的强度。直射光束及经散射级的同时测量实现具有经改进准确度的高处理量测量。在一些实施例中,0级光束是通过在无规范光束挡块的情况下执行测量而变得可用。
使用高亮度、全光束X射线散射测量使高通量X射线辐射能够穿透到目标的不透明区域中。使用全光束X射线散射测量的可测量几何参数的实例包含孔径、孔密度、线边缘粗糙度、线宽粗糙度、侧壁角、轮廓、临界尺寸、覆盖、边缘放置误差及节距。可测量材料参数的实例包含电子密度。在一些实例中,全光束X射线散射测量能够测量小于10nm的特征以及其中需要测量几何参数及材料参数的先进半导体结构,例如STT-RAM、V-NAND、DRAM、PC-RAM及Re-RAM。
典型X射线散射测量系统采用光束挡块来阻挡零级光束,同时收集较高衍射级。但是,在许多半导体度量应用中,此防止成功测量。对于处理流程的后段制程(BEOL)部分中的逻辑装置以及处理流程的前段制程(FEOL)部分中的存储器(例如,VNAND及DRAM),图案节距值是使得使用典型CD-SAXS系统,1衍射级及零级在检测器上经历大量空间重叠。如果使用光束挡块来拒斥零级,那么也拒斥1级光束的一部分。此引起1级光束强度测量的误差及依赖于1级光束的任何X射线散射测量的误差。通常,1级光束具有远大于较高级光束的衍射效率。因此,1级光束对测量成功是至关重要的。在没有1级光束的情况下,测量必须仅依赖于较高级。此显著增加达成适当信噪比(SNR)的测量时间,因为高于1的级的衍射效率远弱于1衍射级。
图1说明用于根据本文中提出的示范性方法测量样品的特性的度量工具100的实施例。如图1中展示,系统100可用于在安置在样品定位系统140上的样品101的检验区域102上方执行全光束X射线散射测量。在一些实施例中,检验区域102具有80微米或更小的光点大小。在一些实施例中,检验区域102具有50微米或更小的光点大小。在一些实施例中,检验区域102具有40微米或更小的光点大小。
在所描绘的实施例中,度量工具100包含经配置以产生适合于全光束X射线散射测量的X射线辐射的X射线照明源110。在一些实施例中,X射线照明系统110经配置以产生在0.01纳米与1纳米之间的波长。X射线照明源110产生入射在样品101的检验区域102上的X射线光束117。
一般来说,可设想能够在足以实现高处理量、线内度量的通量水平下产生高亮度X射线的任何适合高亮度X射线照明源供应用于全光束X射线散射测量的X射线照明。在一些实施例中,X射线源包含使X射线源能够依不同可选择波长递送X射线辐射的可调谐单色器。
在一些实施例中,采用发射具有大于15keV的光子能量的辐射的一或多个X射线源以确保X射线源依容许穿过整个装置以及晶片衬底的充分透射的波长供应光。通过非限制性实例,粒子加速器源、液体阳极源、旋转阳极源、固定固体阳极源、微聚焦源、微聚焦旋转阳极源及逆康普顿(Compton)源的任一者可用作X射线源110。在一个实例中,可设想可从(美国)加利福尼亚州帕洛阿尔托市(Palo Alto)的林肯科技公司(Lyncean Technologies,Inc.)买到的逆康普顿源。逆康普顿源具有能够在光子能量的范围内产生X射线,借此使X射线源能够依不同可选择波长递送X射线辐射的额外优点。
示范性X射线源包含经配置以轰击固体或液体目标以模拟X射线辐射的电子束源。图2描绘用于根据本文中提出的示范性方法测量样品的特性的度量工具200。度量工具100及200的相同数字的元件类似。但是,在图2中描绘的实施例中,X射线照明源110是基于液体金属的X射线照明系统。液体金属喷流119从液体金属容器111产生且收集在液体金属收集器112中。液体金属循环系统(未展示)将由收集器112收集的液体金属返回到液体金属容器111。液体金属喷流119包含一或多个元素。通过非限制性实例,液体金属喷流119包含铝、镓、铟、锡、铊及铋的任一者。如此,液体金属喷流119产生对应于其成分元素的X射线。在一个实施例中,液体金属喷流包含镓及铟合金。在一些实施例中,X射线照明系统110经配置以产生在0.01纳米与1纳米之间的波长。电子束源113(例如,电子枪)产生由电子光学器件114引导到液体金属喷流119的电子流118。适合电子光学器件114包含用于聚焦电子束且将束引导在液体金属喷流处的电磁铁、永久磁铁或电磁铁及永久磁铁的组合。液体金属喷流119及电子流118的重合产生入射在样品101的检验区域102上的X射线光束117。
在2011年4月19日颁予科磊(KLA-Tencor)公司的美国专利第7,929,667号中描述用于产生高亮度、液体金属X射线照明的方法及系统,所述专利的全文以引用方式并入本文中。
在一个实施例中,入射X射线光束117在24.2keV的铟kα线处。使用用于全光束X射线散射测量的多层X射线光学器件将X射线光束向下准直到小于1毫弧度发散。
在一些实施例中,在不使用定位于X射线源与所测量样品之间的屏幕的情况下达成本文中描述的X射线散射测量。在这些实施例中,在入射角、多个波长或两者的组合的范围内的全光束的经测量强度提供足够信息,以解析经测量结构的所要材料性质(例如,复折射率、电子密度或吸收率)的分布图(即,图像)。但是,在一些其它实例中,针孔或另一孔径定位在处于X射线源与所测量样品之间的另外不透明屏幕上以改进X射线光束的准直。针对孔径的若干位置测量衍射图案的强度。在一些其它实施例中,使用具有伪随机孔径图案的屏幕且针对多个屏幕测量衍射图案。也可设想这些方法提供额外信息以解析经测量结构的所要材料性质的三维分布。
在一些实施例中,入射X射线光束的轮廓受控于两个或更多个孔径、狭缝或其组合。在进一步实施例中,孔径、狭缝或两者经配置以协同样品的定向旋转以针对每一入射角、方位角或两者优化入射光束的轮廓。
如图1中描绘,X射线光学器件115塑形入射X射线光束117且将入射X射线光束117引导到样品101。在一些实例中,X射线光学器件115包含X射线单色器以使入射在样品101上的X射线光束单色化。在一个实例中,采用晶体单色器(例如洛克斯利-谭那-鲍文(Loxley-Tanner-Bowen)单色器)以使X射线辐射的光束单色化。在一些实例中,X射线光学器件115使用多层X射线光学器件将X射线光束117准直或聚焦到样品101的检验区域102上到小于1毫弧度发散。在一些实施例中,X射线光学器件115包含一或多个X射线准直镜、X射线孔径、X射线光束光阑、折射X射线光学器件、衍射光学器件(例如波带片)、镜面X射线光学器件(例如掠入射椭球面镜)、多毛细管光学器件(例如中空毛细管X射线波导)、多层光学器件或系统或其任何组合。在美国专利公开案第2015/0110249号中描述进一步细节,所述案的内容以全文引用的方式并入本文中。
一般来说,针对每一测量应用优化照明光学器件系统的焦平面。如此,系统100经配置以取决于测量应用而将焦平面定位在样品内的各种深度处。
X射线检测器116收集从样品101散射的X射线辐射125且根据全光束X射线散射测量模态产生指示对入射X射线辐射灵敏的样品101的性质的输出信号126。在一些实施例中,由X射线检测器116收集经散射X射线125,同时样品定位系统140定位且定向样品101以产生经角度解析的经散射X射线。
在一个方面中,全光束X射线散射测量系统包含:一或多个光子计数检测器,所述一或多个光子计数检测器具有高动态范围(例如,大于105);及厚、高吸收性晶体衬底,其吸收不具破坏且具有最小寄生反向散射的直射光束(即,零级光束)。在一些实施例中,单个光子计数检测器检测经检测光子的位置及数量。
全光束X射线散射测量需要收集零级光束以及较高衍射级。零级光束比其它级密集达若干数量级。如果零级光束未完全吸收在检测器的X射线敏感区段中,那么其将散射且产生寄生信号。这些寄生信号的强度限制测量的动态范围。例如,如果寄生信号是最大通量信号(即,零级信号)的10-4,那么将污染与许多较高级相关联的信号。因此,至关重要的是,检测器(例如,检测器116)展现X射线到电子空穴对的高转换效率及高X射线吸收以增大全光束度量的有效动态范围。
适于全光束X射线散射测量的示范性检测器材料包含碲化镉(CdTe)、锗(Ge)及砷化镓(GaAs)晶体等。在一些实施例中,检测器材料经选择以在对应于源能量的窄能量带中提供高转换效率。
在一些实施例中,检测器材料的厚度经选择以达成传入X射线的所要吸收。在一些实施例中,检测器相对于传入X射线光束(各种衍射级)倾斜以增大X射线光束穿过检测器材料的路径长度,且因此增加总吸收量。
在一些实施例中,采用双阈值检测器来改进SNR。
在进一步方面中,X射线检测器解析一或多个X射线光子能量且产生用于每一X射线能量分量的指示样品性质的信号。在一些实施例中,X射线检测器116包含CCD阵列、微通道板、光电二极管阵列、微带比例计数器、充气比例计数器、闪烁器或荧光材料的任一者。
如此,除像素位置及计数外,还由能量区别检测器内的X射线光子互动。在一些实施例中,通过比较X射线光子互动的能量与预定上阈值及预定下阈值而区别X射线光子互动。在一个实施例中,经由输出信号126将此信息传达到计算系统130以用于进一步处理及存储。
在进一步方面中,相对于传入X射线扫描检测器以减轻来自入射零级光束的破坏或过度充电。在一些实施例中,相对于传入X射线连续地扫描检测器以避免零级光束停留在检测器表面上的特定位置上达延长时间周期。在一些其它实施例中,相对于传入X射线周期性地移动检测器以避免使零级光束停留在检测器表面上的特定位置上达延长时间周期。在一些实施例中,扫描或周期性移动近似垂直于传入X射线。在一些实施例中,移动是旋转的(例如,检测器经旋转使得检测器表面上的特定位置在空间中描绘出圆)。在一些实施例中,移动是将零级光束的入射点移动到检测器表面上的各种不同位置的平移移动的组合。
在进一步方面中,采用全光束X射线散射测量系统以基于多个经测量衍射级(包含零级经散射光)而确定样品的性质(例如,结构参数值)。如图1中所描绘,度量工具100包含计算系统130,采用所述计算系统130以获取由检测器116产生的信号126且至少部分基于经获取信号而确定样品的性质。
在全光束X射线散射测量中,高高宽比、垂直制造结构将经准直X射线光束衍射成衍射级。每一衍射级沿特定、可预测方向行进。衍射级的角间距与样品的晶格常数除以波长成反比。由放置于距晶片某一距离处的检测器阵列检测衍射级。检测器的每一像素输出指示命中像素的光子的数量的信号。
衍射级的强度具有I(m,n,θ,φ,λ)形式,其中{m,n}是衍射级的整数指数,{θ,φ}是入射光束的仰角及方位角(即,入射主射线相对于固定到晶片的坐标系统的极坐标),且λ是入射X射线的波长。
在照明光离开照明且朝向样品传播时,若干噪声源扰动照明光。示范性干扰包含电子束电流波动、温度引发的光学漂移等。经扰动入射通量被表示为F0(1+n1)。
目标以取决于入射光束的方位角及仰角的方式使入射辐射散射。光散射成级(m,n)的效率可被定义为Smn(θ,φ)。在经衍射光从样品传播到检测器时,光束穿过类似地影响所有级且具有一些变动(1+n2)及寄生噪声(n3)的其它散射介质。如此,以时间t测量的每一级的总强度Imn可由方程式(1)表达。
Imn=Smn(θ,φ)(1+n2)(1+n1)F0t+n3 (1)
图3描绘由全光束度量系统(例如度量系统100)测量的经散射级的图像171。如图3中所说明,图像中心中的亮光点与零级光束相关联。
可以许多方式提取每一级的强度。在一些实施例中,衍射级在检测器处空间分离。在这些实施例中,由检测器阵列个别地检测衍射级,且组合(即,相加)与相同衍射级相关联的像素的输出。如此,通过累积与每一特定衍射级相关联的像素的光子计数而区别经检测衍射级。此案例更有可能在测量相对小节距特征时或在使用具有相对小发散的光束测量时发生。
在一些其它实施例中,衍射级在检测器处空间上重叠,且无法仅组合像素输出以确定与特定衍射级相关联的强度。在这些实施例中,采用测量模型以对衍射级解卷积以区别每一经检测衍射级的经测量强度。此案例更有可能在测量相对大节距特征时或在使用具有相对大发散的光束测量时发生。
在进一步方面中,基于经测量零级光束形状而对经重叠级解卷积。在一些实施例中,实时执行此解卷积。基于零级光束的轮廓而模型化较高经衍射级(即,大于零的级)的光束轮廓。图4描绘由全光束度量系统(例如度量系统100)测量的经散射级的图像172。图5描绘与图4中所描绘的图像172的横截面C相关联的强度轮廓的图表173。相对高强度零级光束提供用来模型化较高衍射级的极准确光束轮廓。
在另一进一步方面中,在测量期间提取零级光束轮廓以减轻测量期间的漂移。
在一些实施例中,在光束路径中没有目标的情况下测量零级光束轮廓。在一些实施例中,在光束路径中具有非散射目标的情况下测量零级光束轮廓使得零衍射级是在检测器上测量的唯一光束。在一些实施例中,在具有已知散射性质的已知目标的情况下测量零级光束轮廓。
在另一进一步方面中,基于经测量零级光束而估计较高衍射级的强度。在一些实施例中,通过简单强度除法或以其它方式相对于经测量零级而估计每一较高衍射级的强度。如此,显著降低与相对弱、较高级信号相关联的测量不确定性。
通过基于同时经测量零级光束而估计较高衍射级的强度,在数据收集期间使散射信号与系统扰动分离。实时减轻归因于光学组件(例如,狭缝、光学器件、光点形状)的未对准的扰动及沿光束路径(例如,n1及n2)的扰动。通过使用所有经散射强度(包含零级),使经散射强度对经测量样品的厚度或材料密度的相依性与晶片之前及之后的通量扰动隔离。
对于一组入射角{θ,φ},经测量样品的散射效率使经提取散射强度与度量目标的几何形状及材料相关。图6描绘根据入射角θ变化的零级光束的散射效率S00。S00取决于入射角,因为穿过目标的透射在较高入射角下归因于路径长度增大而减小。此外,S00取决于入射角,因为在入射角与目标散射对准(例如,法向入射)时能量离开零级且进入较高衍射级。
图7描绘根据入射角θ而变化的若干较高级的散射效率。曲线174描绘S11,曲线175描绘S13,曲线176描绘S20,且曲线177描绘S22。所有较高级的散射强度通常取决于散射深度或密度。一般来说,零级的散射效率随着散射深度增大而减小,同时每隔一个经衍射级的散射效率随着散射深度增大而增大。
基于同时经测量零级光束而估计较高衍射级的强度也增大测量信噪比(SNR)。此能够更精确地测量散射深度及材料密度,且因此更精确地估计目标轮廓。
在一些实例中,基于全光束X射线散射测量的度量涉及通过使用经测量数据反解预定测量模型而确定样本的尺寸。所述测量模型包含数个(大约十个)可调整参数且表示样品的几何与光学性质及测量系统的光学性质。反解法包含(但不限于)基于模型的回归、断层摄影、机器学习或其任何组合。如此,通过对最小化经测量的散射X射线强度与经模型化结果之间的误差的参数化测量模型的值求解而估计目标轮廓参数。
在另一进一步方面中,经测量零级强度在回归期间作为输入提供到测量模型。在未测量零级时,总通量的值必然连同其它模型参数浮动。此导致精确度损耗。此外,对于除零级外的所有经散射级,经散射强度仅根据散射对比度(即,材料的折射率之间的差)而变化。但是,对于零级,经散射强度根据折射率的绝对值(即,非差值)而变化。此额外信息改进测量精确度。
在另一进一步方面中,在与度量目标的基于模型的测量相关联的测量模型中考虑经测量级的发散。在一些实例中,经解析光束具有像素相依散射效率,因为每一像素是归因于系统发散而稍有不同的入射角{θ,φ}的平均值。本发明者已发现,可通过基于全光束X射线散射测量而优化基于模型的测量的发散来减小测量时间。过少发散导致测量时间增加且过多发散导致过度相关及测量精确度损耗。
在另一方面中,基于经测量零级光束的性质估计全光束X射线散射测量系统的测量质量及性能。零级光束的经测量性质包含(但不限于)光束形状、强度、位置、轮廓、倾斜度、旋转、非对称性或其任何组合。
在一些实例中,基于由检测器检测到的所有光的总和而估计照明源的亮度。在没有外部扰动的情况下,总经测量通量仅取决于目标吸收。在一些实例中,在没有目标的情况下执行测量。在这些实例中,总经测量通量提供源亮度的直接估计。在一些其它实例中,采用具有已知吸收特性的目标。在这些实例中,基于由已知目标吸收校正的经测量通量而估计源亮度。
在一些实例中,基于由检测器检测到的所有光的总和而估计系统的透射效率。在这些实例中,在由照明源发射的光离开照明源时但在与照明光学器件相互作用前测量所述光。另外,加总由检测器检测到的光。在检测器处检测到的光与由照明源发射的光之间的通量的比率提供光学系统的透射效率的估计。在一些实例中,在没有目标的情况下执行测量。在一些其它实例中,采用具有已知吸收特性的目标。
在一些实例中,基于零级光束入射在检测器上的位置而估计检测器与光束轴的相对对准。
在一些实例中,基于在检测器处测量的零级光束的形状(例如,非对称性、粗糙度、旋转)而估计光学子系统的缺陷或未对准。可如此特性化光束塑形光学器件、狭缝、孔径、照明源等的缺陷或未对准。在许多实例中,照明光学器件的斜率误差自身表现为在检测器处检测到的光束形状的精细结构。经检测光束形状的小变动对应于光束在照明光学器件上的位置。另外,通过监测归因于相对于归因于狭缝的尖锐边缘的位置的光学斜率误差的精细结构的位置而确定光束在狭缝上的位置。
在进一步方面中,基于经测量零级光束而控制度量系统的测量质量及性能。在一些实例中,前文中所描述的测量质量及性能的估计作为输入提供到反馈控制器(例如,计算系统130)。所述反馈控制器传达控制命令,所述控制命令导致度量系统的一或多个元件的状态改变,其改进测量系统质量及性能。
在一些实例中,将控制命令提供到照明源。作为响应,照明源的电状态经调整以改变经扫描光点大小及形状、照明功率、光点偏移、入射角等。
在一些实例中,将控制命令提供到控制度量系统的一或多个光学元件的位置的一或多个定位装置。作为响应,一或多个定位装置改变一或多个光学元件的位置/定向以调整入射角、照明源与照明光学器件之间的焦距、光束定位、光束光点在光学器件上的位置,以最小化表面粗糙度等的影响。
一般来说,可在光束路径中存在目标的情况下或在光束路径中不存在目标的情况下执行如本文中所描述的测量质量及性能的估计及控制。
在进一步方面中,在提供足够分辨率及穿透深度以透过其整个深度特性化高高宽比结构的射角范围内执行全光束X射线散射测量。
收集根据相对于晶片表面法线的X射线入射角而变化的经衍射辐射的强度的测量。多个衍射级中所含有的信息在考虑中的每一模型参数之间通常是独有的。因此,X射线散射产生具有小误差及减小的参数相关性的针对所关注参数的值的估计结果。
在一些实施例中,X射线检测器116维持在与样品101相同的大气环境(例如,气体冲洗环境)中。但是,在一些实施例中,样品101与X射线检测器116之间的距离较长且环境干扰(例如,空气紊流)给经检测信号带来噪声。因此,在一些实施例中,X射线检测器的一或多者维持在通过真空窗而与样品(例如,样品101)分离的局部真空环境中。
类似地,在一些实施例中,X射线照明源110、照明光学器件115或两者维持在与样品101相同的大气环境(例如,气体冲洗环境)中。但是,在一些实施例中,X射线照明源110与照明光学器件115之间的光学路径长度及照明光学器件115与样品101之间的光学路径长度较长且环境干扰(例如,空气紊流)给照明光束带来噪声。因此,在一些实施例中,X射线照明源、照明光学器件115或两者维持在通过真空窗而与样品(例如,样品101)分离的局部真空环境中。
图8是说明在一个实施例中含有X射线照明源110及照明光学器件115的真空腔室160及含有X射线检测器116的真空腔室163的图。在优选实施例中,真空腔室160包含X射线照明源110与样品101之间的光学路径的大部分,且真空腔室163包含样品101与X射线检测器116之间的光学路径的大部分。真空腔室160及真空腔室163的开口分别由真空窗161及164覆盖。真空窗161及164可由对X射线辐射大体上透明的任何合适材料(例如,铍)构成。在照明光束117朝向样品101传播时,其穿过真空窗161。在与样品101相互作用后,经散射X射线辐射125穿过真空窗164,进入真空腔室160且入射在X射线检测器116上。在真空腔室160内维持合适真空环境162以最小化对照明光束117的干扰,且在真空腔室163内维持合适真空环境165以最小化对经散射X射线辐射125的干扰。合适真空环境可包含任何合适水平的真空、任何合适冲洗环境(包含惰性气体(例如,氦))或其任何组合。如此,尽可能多的光束路径定位在真空中以最大化通量且最小化扰动。
在一些实施例中,整个光学系统(包含样品101)维持在真空中。但是,一般来说,归因于与样品定位系统140的构造相关联的复杂度,与将样品101维持在真空中相关联的成本很高。
在另一进一步方面中,计算系统130经配置以产生样品的经测量结构的结构模型(例如,几何模型、材料模型或经组合几何及材料模型),从结构模型产生包含至少一个几何参数的全光束X射线散射测量响应模型,且通过使用全光束X射线散射测量响应模型对全光束X射线散射测量数据执行拟合分析而解析至少一个样品参数值。使用分析引擎以比较经模拟全光束X射线散射测量信号与经测量数据,借此允许确定几何以及材料性质,例如样本的电子密度。在图1中所描绘的实施例中,计算系统130经配置为模型建立及分析引擎,所述模型建立及分析引擎经配置以实施如本文中所描述的模型建立及分析功能。
图9是说明由计算系统130实施的示范性模型建立及分析引擎150的图。如图9中所描绘,模型建立及分析引擎150包含产生样品的经测量结构的结构模型152的结构模型建立模块151。在一些实施例中,结构模型152还包含样品的材料性质。接收结构模型152作为到全光束X射线散射测量响应函数建立模块153的输入。全光束X射线散射测量响应函数建立模块153至少部分基于结构模型152而产生全光束X射线散射测量响应函数模型155。在一些实例中,全光束X射线散射测量响应函数模型155是基于X射线形状因子
Figure BDA0003294065030000151
其中F是形状因子,q是散射向量,且ρ(r)是球面坐标中样品的电子密度。接着通过下列方程式给定X射线散射强度
Figure BDA0003294065030000152
接收全光束X射线散射测量响应函数模型155作为到拟合分析模块157的输入。拟合分析模块157比较经模型化全光束X射线散射测量响应与对应经测量数据以确定样品的几何以及材料性质。
在一些实例中,通过最小化卡方值而达成经模型化数据到实验数据的拟合。例如,对于全光束X射线散射测量,卡方值可被定义为
Figure BDA0003294065030000153
其中,
Figure BDA0003294065030000154
是在“通道”j中的经测量全光束X射线散射测量信号126,其中指数j描述一组系统参数,例如衍射级、能量、角坐标等。
Figure BDA0003294065030000155
是针对一组结构(目标)参数v1,...,vL估计的“通道”j的经模型化全光束X射线散射测量信号Sj,其中这些参数描述几何(CD、侧壁角、覆盖等)及材料(电子密度等)。σSAXS,j是与第j个通道相关联的不确定性。NSAXS是X射线度量中的通道的总数量。L是特性化度量目标的参数的数量。
方程式(4)假定与不同通道相关联的不确定性不相关。在其中与不同通道相关联的不确定性相关的实例中,可计算不确定性之间的协方差。在这些实例中,针对全光束X射线散射测量的卡方值可表达为
Figure BDA0003294065030000161
其中VSAXS是SAXS通道不确定性的协方差矩阵且T表示转置。
在一些实例中,拟合分析模块157通过使用全光束X射线散射测量响应模型155对全光束X射线散射测量数据126执行拟合分析而解析至少一个样品参数值。在一些实例中,优化
Figure BDA0003294065030000162
如前文描述,通过最小化卡方值而达成全光束X射线散射测量数据的拟合。但是,一般来说,可通过其它函数达成全光束X射线散射测量数据的拟合。
全光束X射线散射测量度量数据的拟合对于提供对所关注几何及/或材料参数的灵敏度的任何类型的全光束X射线散射测量技术是有利的。样品参数可为确定性(例如,CD、SWA等)或统计性(例如,侧壁粗糙度的均方根高度、粗糙度相关性长度等),只要使用描述与样品的全光束X射线散射测量光束互动的适当模型即可。
一般来说,计算系统130经配置以采用实时临界尺寸(RTCD)实时存取模型参数,或其可存取预计算模型库以确定与样品101相关联的至少一个样品参数值的值。一般来说,可使用某个形式的CD引擎以评估样品的经指派CD参数同与经测量样品相关联的CD参数之间的差异。在2010年11月2日颁予科磊公司(KLA-Tencor Corp.)的美国专利第7,826,071号中描述用于计算样品参数值的示范性方法及系统,所述专利的全文以引用的方式并入本文中。
在一些实例中,模型建立及分析引擎150通过侧馈分析、前馈分析及平行分析的任何组合而改进经测量参数的准确度。侧馈分析是指在相同样品的不同区域上获取多个数据集且将从第一数据集确定的共同参数传递到第二数据集上以用于分析。前馈分析是指在不同样品上获取数据集且使用逐步复制精确参数前馈方法将共同参数正向传递到后续分析。平行分析是指将非线性拟合方法平行或同时应用到多个数据集,其中在拟合期间耦合至少一个共同参数。
多工具及结构分析是指基于回归、查找表(即,“库”匹配)或多个数据集的另一拟合程序的前馈、侧馈或平行分析。在2009年1月13日颁予科磊公司(KLA-Tencor Corp.)的美国专利第7,478,019号中描述用于多工具及结构分析的示范性方法及系统,所述专利的全文以引用的方式并入本文中。
在一个进一步方面中,度量工具100包含经配置以实施如本文中描述的光束控制功能性的计算系统(例如,计算系统130)。在图1中描绘的实施例中,计算系统130经配置为可操作以控制例如入射照明光束117的强度、发散、光点大小、偏光、光谱及定位的任何照明性质的光束控制器。
如图1中说明,计算系统130通信耦合到检测器116。计算系统130经配置以从检测器116接收测量数据126。在一个实例中,测量数据126包含样品的经测量响应的指示(即,衍射级的强度)。基于经测量响应在检测器116的表面上的分布,通过计算系统130确定照明光束117入射在样品101上的位置及区域。在一个实例中,通过计算系统130应用图案识别技术以基于测量数据126确定照明光束117入射在样品101上的位置及区域。在一些实例中,计算系统130将命令信号136传达到照明光学器件115以选择所要照明波长且重新引导且重新塑形照明光束117,使得入射照明光束117到达相对于样品101的所要位置及角定向。在一些其它实例中,计算系统130将命令信号传达到晶片定位系统140以定位且定向样品101,使得入射照明光束117到达相对于样品101的所要位置及角定向。在一些其它实例中,计算系统130将命令信号137传达到X射线源110以选择所要照明波长且重新引导且重新塑形照明光束117,使得入射照明光束117到达相对于样品101的所要位置及角定向。
在一些实施例中,可期望依由围绕由图1中描绘的坐标系统146指示的x轴及y轴的旋转描述的不同定向执行测量。此通过扩展可用于分析的数据集的数量及多样性以包含各种大角度、平面外定向而增大经测量参数的精确度及准确度且减少参数之间的相关性。测量具有较深、较多样数据集的样品参数也减少参数之间的相关性且改进测量准确度。举例来说,依法向定向,全光束X射线散射测量能够解析特征的临界尺寸,但对于特征的侧壁角及高度在很大程度上不灵敏。但是,通过收集在平面外角位置的广范围内的测量数据,可解析特征的侧壁角及高度。
如图1中说明,度量工具100包含经配置以在相对于散射计的平面外角定向的大范围内对准样品101且定向样品101两者的样品定位系统140。换句话说,样品定位系统140经配置以使样品101绕与样品101的表面在平面内对准的一或多个旋转轴在大角度范围内旋转。在一些实施例中,样品定位系统140经配置以使样品101围绕与样品101的表面在平面内对准的一或多个旋转轴在至少90度的范围内旋转。在一些实施例中,样品定位系统经配置以使样品101围绕与样品101的表面在平面内对准的一或多个旋转轴在至少60度的范围内旋转。在一些其它实施例中,样品定位系统经配置以使样品101围绕与样品101的表面在平面内对准的一或多个旋转轴在至少1度的范围内旋转。如此,通过度量系统100在样品101的表面上的任何数量个位置上方收集样品101的角度解析测量。在一个实例中,计算系统130将指示样品101的所要位置的命令信号传达到样品定位系统140的运动控制器145。作为响应,运动控制器145产生命令信号到样品定位系统140的各种致动器以达成样品101的所要定位。
通过非限制性实例,如图1中说明,样品定位系统140包含边缘夹持卡盘141以将样品101固定附接到样品定位系统140。旋转致动器142经配置以使边缘夹持卡盘141及经附接样品101相对于周边框架143旋转。在所描绘的实施例中,旋转致动器142经配置以使样品101围绕图1中说明的坐标系统146的x轴旋转。如图1中描绘,样品101绕z轴的旋转是样品101的平面内旋转。围绕x轴及y轴(未展示)的旋转是相对于度量系统100的度量元件有效倾斜样品的表面的样品101的平面外旋转。虽然未说明第二旋转致动器,但第二旋转致动器经配置以使样品101围绕y轴旋转。线性致动器144经配置以在x方向上平移周边框架143。另一线性致动器(未展示)经配置以在y方向上平移周边框架143。如此,样品101的表面上的每一位置可用于在平面外角位置的范围内的测量。举例来说,在一个实施例中,在相对于样品101的法向定向-45度到+45度的范围内的若干角度增量内测量样品101的位置。
一般来说,样品定位系统140可包含机械元件的任何适合组合以达成所要线性及角度定位性能,包含(但不限于)测角器台、六足台、角度台及线性台。
在进一步方面中,基于依入射X射线光束相对于测量目标的单个定向执行的全光束X射线散射测量来确定一或多个所关注参数的值的初始估计。初始估计值实施为针对使用依多个定向从全光束X射线散射测量收集的测量数据的测量模型的回归的所关注参数的起始值。如此,使用相对少量的计算工作量确定所关注参数的接近估计,且通过将此接近估计实施为针对大得多的数据集内的回归的起始点,使用较少总体计算工作量获得所关注参数的细化估计。
在进一步方面中,使用全光束X射线散射测量数据以基于经检测衍射级的经测量强度产生经测量结构的图像。在一些实施例中,一般化全光束X射线散射测量响应函数模型以描述从通用电子密度网的散射。使此模型与经测量信号匹配,同时约束此网中的模型化电子密度以实施连续及稀疏边缘提供了样本的三维图像。
虽然基于模型的几何参数反演对于基于全光束X射线散射测量的临界尺寸(CD)度量优选,但从相同全光束X射线散射测量数据产生的样品的图可用于当经测量样品偏离几何模型的假定时识别且校正模型误差。
在一些实例中,比较图像与由相同散射测量数据的基于模型的几何参数反演估计的结构特性。使用差异以更新经测量结构的几何模型且改进测量性能。收敛于准确参数测量模型的能力在测量集成电路以控制、监测且故障查找其制程时尤其重要。
在一些实例中,图像是电子密度、吸收性、复折射率或这些材料特性的组合的二维(2-D)图。在一些实例中,图像是电子密度、吸收性、复折射率或这些材料特性的组合的三维(3-D)图。使用相对少的物理约束产生所述图。在一些实例中,直接从所得图估计一或多个所关注参数,例如临界尺寸(CD)、侧壁角(SWA)、覆盖、边缘放置误差、节距游动(pitchwalk)等。在一些其它实例中,图可用于当样本几何形状或材料偏离到由用于基于模型的CD测量的参数结构模型所设想的预期值的范围外时对晶片工艺除错。在一个实例中,使用图与由参数结构模型根据其经测量参数预测的结构的演现之间的差异,以更新参数结构模型且改进其测量性能。在美国专利公开案第2015/0300965号中描述进一步细节,所述案的内容以全文引用的方式并入本文中。在美国专利公开案第2015/0117610号中描述额外细节,所述案的内容以全文引用的方式并入本文中。
在进一步方面中,采用模型建立及分析引擎150以产生针对组合的X射线及光学测量分析的模型。在一些实例中,光学模拟是基于(例如)严格耦合波分析(RCWA),其中求解马克士威(Maxwell)方程式以计算光学信号,例如针对不同偏光的反射率、椭偏测量参数、相位变化等。
基于使用组合的几何参数化响应模型的依多个不同入射角的X射线衍射级的经检测强度及经检测光学强度的组合拟合分析来确定一或多个所关注参数的值。通过可机械地集成或可未机械地集成X射线度量系统(例如分别在图1及2中描绘的系统100及200)的光学度量工具测量光学强度。在美国专利公开案第2014/0019097号及美国专利公开案第2013/0304424号中描述进一步细节,所述案的每一者的内容以全文引用的方式并入本文中。
如本文中描述,依照明X射线光束相对于半导体晶片的表面法线的多个定向执行全光束X射线散射测量。通过晶片101相对于X射线照明光束的任何两个角旋转描述每一定向或反之亦然。在一个实例中,可关于固定到晶片的坐标系统描述定向。图11描绘依由角度φ及θ描述的特定定向入射在晶片101上的X射线照明光束117。坐标系XYZ固定到度量系统且坐标系X′Y′Z′固定到晶片101。Z与法向于晶片101的表面的轴对准。X及Y在与晶片101的表面对准的平面中。类似地,Z′与法向于晶片101的表面的轴对准,且X′及Y′在与晶片101的表面对准的平面中。如图11中描绘,X射线照明光束117位于X′Z′平面内。角度φ描述X射线照明光束117相对于X′Z′平面中的晶片的表面法线的定向。此外,角度θ描述X′Z′平面相对于XZ平面的定向。θ及φ一起唯一界定X射线照明光束117相对于晶片101的表面的定向。在此实例中,由围绕法向于晶片101的表面的轴(即,Z轴)的旋转及围绕与晶片101的表面对准的轴(即,Y′轴)的旋转描述X射线照明光束相对于晶片101的表面的定向。在一些其它实例中,由围绕与晶片101的表面对准的第一轴及与晶片101的表面对准且垂直于第一轴的另一轴的旋转描述X射线照明光束相对于晶片101的表面的定向,如参考图1描述。
在一些实施例中,通过如本文中描述的全光束X射线散射测量特性化的度量目标定位于被测量晶片的划道内。在这些实施例中,度量目标经定大小以配合在划道的宽度内。在一些实例中,划道宽度小于80微米。在一些实例中,划道小于50微米。一般来说,半导体制造中采用的划道的宽度趋于较小。
在一些实施例中,通过如本文中描述的全光束X射线散射测量特性化的度量目标定位于被测量晶片的作用裸片区域内,且是功能集成电路的部分(例如,存储器、图像传感器、逻辑装置等)。
一般来说,优选照明光束光点大小紧密匹配被测量度量目标的横向尺寸,以最小化来自被测量度量目标周围的结构的污染信号。在一些实施例中,被测量度量目标在任何横向尺寸中小于70微米。在一些实施例中,被测量度量目标在任何横向尺寸中小于50微米。在一些实施例中,被测量度量目标在任何横向尺寸中小于40微米。在一些实施例中,被测量度量目标在任何横向尺寸中小于10微米。在一些实施例中,被测量度量目标通过大于1微米的总体高度(或等效地,深度)特性化。在一些实施例中,被测量度量目标通过大于2微米的总体高度(或等效地,深度)特性化。
一般来说,度量目标通过定义为度量目标的最大高度尺寸(即,法向于晶片表面的尺寸)除以最大横向范围尺寸(即,与晶片表面对准的尺寸)的高宽比特性化。在一些实施例中,被测量度量目标具有至少20的高宽比。在一些实施例中,度量目标具有至少40的高宽比。
图10A到10C分别描绘以本文中描述的方式经受测量的典型3D快闪存储器装置190的等角视图、俯视图及横截面视图。存储器装置190的总高度(或等效地,深度)在从1微米到几微米的范围内。存储器装置190是垂直制造装置。垂直制造装置(例如存储器装置190)将常规平坦存储器装置基本上转动90度,使位线及单元串垂直定向(垂直于晶片表面)。为了提供足够存储器容量,大量不同材料的交替层沉积在晶片上。此需要图案化工艺针对具有100纳米或更少的最大横向范围的结构适当执行到几微米的深度。因此,25比1或50比1的高宽比并不罕见。
图12描绘高高宽比孔结构阵列310的俯视图。如图7中描绘,沿着平面311、312、313及314(从图向内及向外延伸)最紧密图案化孔结构阵列。在一些实施例中,优选依入射X射线照明光束相对于位于其中最紧密图案化高高宽比结构阵列的平面内的被测量晶片的表面的定向执行如本文中描述的高高宽比结构的测量。在图12中描绘的实例中,优选将X射线照明提供到在其中最紧密图案化孔结构阵列的平面311及312及313及314内的孔结构阵列310。
图13A描绘理想高高宽比孔结构320的侧视图。图13B描绘倾斜孔结构321的侧视图。图13C描绘逐渐倾斜孔结构322的侧视图,其中倾斜度随深度逐渐增大。在许多实例中,不期望孔结构321及322。在一些实施例中,类似于孔结构321及322的孔结构通过如本文中所描述的全光束X射线散射测量而特性化。在一个实例中,孔结构321通过倾斜角参数α而特性化。此外,依相对于表面法线的角度φ且依相反角度-φ将X射线照明光束117提供到孔结构321,如(例如)参考图11描述。在一些实施例中,在此两个照明案例中发生的经测量T-SAX信号的差异提供足够信号信息以准确估计倾斜角度α。
在另一实例中,孔结构322通过若干倾斜角度参数α1、α2及α3分段特性化。类似地,按相对于表面法线的角度φ且按相反角度-φ将X射线照明光束117提供到孔结构322,如(例如)参考图11描述。在一些实施例中,在此两个照明案例中发生的经测量T-SAX信号的差异提供足够信号信息以准确估计倾斜角度α1、α2及α3
应认知,可由单个计算机系统130或替代地多计算机系统130实行贯穿本发明描述的各种步骤。再者,系统100的不同子系统(例如样品定位系统140)可包含适合于实行本文中描述的步骤的至少部分的计算机系统。因此,不应将前述描述解译为对本发明的限制,而仅为阐释。此外,一或多个计算系统130可经配置以执行本文中描述的任何方法实施例的任何(若干)其它步骤。
另外,计算机系统130可以此项技术中已知的任何方式通信耦合到检测器116及照明光学器件115。举例来说,一或多个计算系统130可耦合到分别与检测器116及照明光学器件115相关联的计算系统。在另一实例中,可通过耦合到计算机系统130的单个计算机系统直接控制检测器116及照明光学器件115的任一者。
计算机系统130可经配置以通过可包含有线及/或无线部分的传输媒体从系统的子系统(例如,检测器116及照明光学器件115及类似者)接收及/或获取数据或信息。如此,传输媒体可充当计算机系统130与系统100的其它子系统之间的数据链路。
度量系统100的计算机系统130可经配置以通过可包含有线及/或无线部分的传输媒体从其它系统接收及/或获取数据或信息(例如,测量结果、模型化输入、模型化结果等)。如此,传输媒体可充当计算机系统130与其它系统(例如,存储器板上度量系统100、外部存储器或外部系统)之间的数据链路。举例来说,计算系统130可经配置以经由数据链路从存储媒体(即,存储器132或180)接收测量数据(例如,信号126)。举例来说,使用任何检测器116的光谱仪获得的光谱结果可存储在永久或半永久存储器装置(例如,存储器132或180)中。在此方面,可从板上存储器或从外部存储器系统导入测量结果。再者,计算机系统130可经由传输媒体将数据发送到其它系统。举例来说,由计算机系统130确定的样品参数值170可存储在永久或半永久存储器装置(例如,存储器180)中。在此方面,可将测量结果导出到另一系统。
计算系统130可包含(但不限于)个人计算机系统、主计算机系统、工作站、图像计算机、并行处理器或此项技术中已知的任何其它装置。一般来说,术语“计算系统”可广泛地定义为涵盖具有执行来自存储器媒体的指令的一或多个处理器的任何装置。
可经由例如导线、电缆或无线传输链路的传输媒体传输实施例如本文中描述的方法的方法的程序指令134。举例来说,如图1中说明,经由总线133将存储在存储器132中的程序指令传输到处理器131。程序指令134存储在计算机可读媒体(例如,存储器132)中。示范性计算机可读媒体包含只读存储器、随机存取存储器、磁盘或光盘或磁带。
在一些实施例中,实施如本文中描述的散射测量分析作为制造工艺工具的部分。制造工艺工具的实例包含(但不限于)光刻曝光工具、膜沉积工具、植入工具及蚀刻工具。如此,使用全光束X射线散射测量分析的结果以控制制造工艺。在一个实例中,将从一或多个目标收集的全光束X射线散射测量数据发送到制造工艺工具。如本文中描述般分析全光束X射线散射测量数据且使用结果来调整制造工艺工具的操作。
可使用如本文中描述的散射测量以确定各种半导体结构的特性。示范性结构包含(但不限于)FinFET、低维结构(例如纳米线或石墨烯)、亚10nm结构、光刻结构、衬底穿孔(TSV)、存储器结构(例如DRAM、DRAM 4F2、FLASH、MRAM)及高高宽比存储器结构。示范性结构特性包含(但不限于)几何参数(例如线边缘粗糙度、线宽度粗糙度、孔径、孔密度、侧壁角、轮廓、临界尺寸、节距)及材料参数(例如电子密度、组合物、晶粒结构、形态、应力、应变及元素识别)。
图14说明适于由本发明的度量系统100及200实施的方法300。在一个方面中,应认知,可经由由计算系统130的一或多个处理器执行的预编程算法实行方法300的数据处理方块。虽然在度量系统100及200的背景内容中提出下文描述,但本文中应认知,度量系统100及200的特定结构方面不表示限制且应仅解译为阐释性。
在方块301中,依相对于形成在晶片表面上的测量目标的多个不同定向使用X射线辐射的经聚焦光束照明测量目标。
在方块302中,在每一定向同时检测与响应于X射线辐射的入射光束而从测量目标散射的一定量的辐射的零衍射级相关联的强度及与较高衍射级相关联的强度。
在方块303中,基于在多个定向的衍射级的经检测强度确定与测量目标的模型相关联的所关注参数的值。
如本文中描述,术语“临界尺寸”包含结构的任何临界尺寸(例如,底部临界尺寸、中间临界尺寸、顶部临界尺寸、侧壁角、光栅高度等)、任何两个或两个以上结构之间的临界尺寸(例如,两个结构之间的距离)及两个或两个以上结构之间的位移(例如,覆盖光栅结构之间的覆盖位移等)。结构可包含三维结构、图案化结构、覆盖结构等。
如本文中描述,术语“临界尺寸应用”或“临界尺寸测量应用”包含任何临界尺寸测量。
如本文中描述,术语“度量系统”包含至少部分采用以在任何方面中特性化样品的任何系统,包含临界尺寸应用及覆盖度量应用。但是,此类技术术语不限制如本文中描述的术语“度量系统”的范围。另外,本文中描述的度量系统可经配置以测量图案化晶片及/或未经图案化晶片。度量系统可配置为LED检验工具、边缘检验工具、背侧检验工具、宏检验工具或多模式检验工具(涉及同时来自一或多个平台的数据)及受益于本文中描述的测量技术的任何其它度量或检验工具。
本文中针对可用于处理样品的半导体处理系统(例如,检验系统或光刻系统)描述各项实施例。术语“样品”在本文中用以指晶片、光罩或可通过此项技术中已知的构件处理(例如,印刷或检验缺陷)的任何其它样本。
如本文中使用,术语“晶片”大体上是指由半导体或非半导体材料形成的衬底。实例包含(但不限于)单晶硅、砷化镓及磷化铟。此类衬底通常可在半导体制造厂中找到及/或处理。在一些情况中,晶片可仅包含衬底(即,裸晶片)。或者,晶片可包含形成在衬底上的一或多个不同材料层。形成在晶片上的一或多个层可“经图案化”或“未经图案化”。举例来说,晶片可包含具有可重复图案特征的多个裸片。
“光罩”可为处于光罩制造工艺的任何阶段的光罩,或为可或可不经释放以在半导体制造厂中使用的成品光罩。光罩或“掩膜”大体上定义为具有形成在其上且以图案配置的大体上不透明区域的大体上透明衬底。衬底可包含(例如)玻璃材料,例如非晶SiO2。可在光刻工艺的曝光步骤期间将光罩安置在覆盖有光阻剂的晶片上方,使得可将光罩上的图案转印到光阻剂。
形成在晶片上的一或多个层可经图案化或未经图案化。例如,晶片可包含每一者具有可重复图案特征的多个裸片。此类材料层的形成及处理最终可导致完成装置。许多不同类型的装置可形成在晶片上,且如本文中使用的术语晶片希望涵盖其上制造此项技术中已知的任何类型的装置的晶片。
在一或多个示范性实施例中,所描述的功能可实施于硬件、软件、固件或其任何组合中。如果在软件中实施,那么功能可作为一或多个指令或代码存储在计算机可读媒体上或经由所述计算机可读媒体传输。计算机可读媒体包含计算机存储媒体及通信媒体两者,包含促进计算机程序从一个位置到另一位置的传送的任何媒体。存储媒体可为可通过通用计算机或专用计算机存取的任何可用媒体。举例来说(且非限制),此计算机可读媒体可包括RAM、ROM、EEPROM、CD-ROM或其它光盘存储器、磁盘存储器或其它磁性存储装置或可用于载送或存储呈指令或数据结构的形式的所要程序代码构件且可通过通用或专用计算机或通用或专用处理器存取的任何其它媒体。再者,任何连接被适当地称为计算机可读媒体。例如,如果使用同轴电缆、光纤电缆、双绞线、数字订户线(DSL)或无线技术(例如红外线、无线电及微波)从网站、服务器或其它远程源传输软件,那么同轴电缆、光纤电缆、双绞线、DSL或无线技术(例如红外线、无线电及微波)包含在媒体的定义中。如本文中使用,磁盘及光盘包含光盘(CD)、激光光盘、XRF碟、数字多功能光盘(DVD)、软盘及蓝光光盘,其中磁盘通常磁性地重现数据而光盘用激光光学地重现数据。上述组合也应包含在计算机可读媒体的范围内。
尽管上文为指导目的而描述某些特定实施例,但本专利文献的教示具有一般适用性且不限于上文中描述的特定实施例。因此,在不脱离如权利要求书中阐述的本发明的范围的情况下,可实践所描述的实施例的各种特征的各种修改、调适及组合。

Claims (20)

1.一种度量系统,其包括:
X射线照明源,其经配置以产生一定量的X射线辐射;
X射线照明光学器件子系统,其经配置以相对于形成在晶片表面上的测量目标的多个定向,使用一定量的X射线辐射照明所述测量目标;
X射线检测器,其经配置以在每一定向同时检测响应于所述入射X射线辐射而从所述测量目标散射的一定量的辐射的与零衍射级相关联的强度以及与较高衍射级相关联的强度,其中所述零衍射级以及所述较高衍射级以所述多个定向中的至少一者在所述X射线检测器处重叠;及
计算系统,其经配置以基于在所述多个不同定向的所述衍射级的经检测强度而确定与所述测量目标的模型相关联的所关注参数的值。
2.根据权利要求1所述的度量系统,其中所述测量目标的所述模型包含自所述X射线照明源至所述X射线检测器的所述X射线辐射的发散的值。
3.根据权利要求1所述的度量系统,所述计算系统进一步经配置以:
基于经检测零衍射级的性质而确定测量质量以及性能的指示。
4.根据权利要求3所述的度量系统,其中所述测量质量以及性能的指示是所述X射线检测器与所述入射X射线辐射的轴的对准、所述X射线照明源的亮度、所述X射线照明源的对准、及所述X射线照明光学器件子系统的元件中的任一者或两者。
5.根据权利要求3所述的度量系统,其中所述计算系统进一步经配置以:
基于所述测量质量以及性能的指示而将命令信号传达到所述度量系统的元件以调整所述度量系统。
6.根据权利要求1所述的度量系统,其中所述计算系统进一步经配置以:
基于所述零衍射级的经测量光束轮廓而确定所述较高衍射级的光束轮廓的模型。
7.根据权利要求1所述的度量系统,其中所述计算系统进一步经配置以:
通过将所述较高衍射级的经测量强度除以所述零衍射级的经测量强度而估计相对于所述零衍射级的所述经测量强度的所述较高衍射级的强度。
8.根据权利要求1所述的度量系统,其中所述X射线检测器的光敏体积包含碲化镉、锗、砷化镓或其任何组合。
9.根据权利要求1所述的度量系统,其中所述测量目标包含一或多个高高宽比结构。
10.根据权利要求9所述的度量系统,其中所述一或多个高高宽比结构是下列项中的任一者:自旋转移力矩随机存取存储器STT-RAM、三维NAND存储器3D-NAND、动态随机存取存储器DRAM、三维快闪存储器3D-FLASH、电阻性随机存取存储器Re-RAMPC及相变随机存取存储器PC-RAM。
11.一种度量系统,其包括:
X射线照明源,其经配置以产生一定量的X射线辐射;
X射线照明光学器件子系统,其经配置以相对于形成在晶片表面上的测量目标的多个定向,使用一定量的X射线辐射照明所述测量目标;
X射线检测器,其经配置以在每一定向同时检测响应于所述入射X射线辐射而从所述测量目标散射的一定量的辐射的与零衍射级相关联的强度以及与较高衍射级相关联的强度;及
计算系统,其经配置以基于具有在所述多个不同定向的所述零衍射级的经检测强度以及所述较高衍射级的经检测强度的所述测量目标的所述模型的回归而确定与所述测量目标的模型相关联的所关注参数的值。
12.根据权利要求11所述的度量系统,其中所述零衍射级以及所述较高衍射级在所述X射线检测器处重叠。
13.根据权利要求11所述的度量系统,其中所述测量目标的所述模型包含自所述X射线照明源至所述X射线检测器的所述X射线辐射的发散的值。
14.根据权利要求11所述的度量系统,其中所述计算系统进一步经配置以:
基于经检测零衍射级的性质而确定测量质量以及性能的指示。
15.根据权利要求11所述的度量系统,其中所述计算系统进一步经配置以:
基于所述零衍射级的经测量光束轮廓而确定所述较高衍射级的光束轮廓的模型。
16.根据权利要求11所述的度量系统,其中所述计算系统进一步经配置以:
通过将所述较高衍射级的经测量强度除以所述零衍射级的经测量强度而估计相对于所述零衍射级的所述经测量强度的所述较高衍射级的强度。
17.根据权利要求11所述的度量系统,其中所述测量目标包含一或多个高高宽比结构。
18.根据权利要求17所述的度量系统,其中所述一或多个高高宽比结构是下列项中的任一者:自旋转移力矩随机存取存储器STT-RAM、三维NAND存储器3D-NAND、动态随机存取存储器DRAM、三维快闪存储器3D-FLASH、电阻性随机存取存储器Re-RAMPC及相变随机存取存储器PC-RAM。
19.根据权利要求11所述的度量系统,其中所述计算系统进一步经配置以基于在所述多个不同定向的所述衍射级的所述经检测强度,通过匹配泛化为通用电子密度网的全光束X射线散射测量响应函数模型与在所述多个不同定向的所述衍射级的所述经检测强度而确定所述测量目标的多维图像。
20.一种度量系统,其包括:
X射线照明源,其经配置以产生一定量的X射线辐射;
X射线照明光学器件子系统,其经配置以相对于形成在晶片表面上的测量目标的多个定向,使用一定量的X射线辐射照明所述测量目标;
X射线检测器,其经配置以在每一定向同时检测响应于所述入射X射线辐射而从所述测量目标散射的一定量的辐射的与零衍射级相关联的强度以及与较高衍射级相关联的强度;及
计算系统,其经配置以:
基于所述零衍射级的经测量光束轮廓而确定所述较高衍射级的光束轮廓的模型;以及
基于在所述多个不同定向的所述衍射级的经检测强度而确定与所述测量目标的模型相关联的所关注参数的值。
CN202111172803.1A 2016-10-18 2017-10-17 用于x射线散射测量系统的全光束度量 Active CN113838729B (zh)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US201662409758P 2016-10-18 2016-10-18
US62/409,758 2016-10-18
US15/419,130 2017-01-30
US15/419,130 US10775323B2 (en) 2016-10-18 2017-01-30 Full beam metrology for X-ray scatterometry systems
PCT/US2017/057034 WO2018075551A1 (en) 2016-10-18 2017-10-17 Full beam metrology for x-ray scatterometry systems
CN201780064269.8A CN109844898B (zh) 2016-10-18 2017-10-17 用于x射线散射测量系统的全光束度量

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CN201780064269.8A Division CN109844898B (zh) 2016-10-18 2017-10-17 用于x射线散射测量系统的全光束度量

Publications (2)

Publication Number Publication Date
CN113838729A true CN113838729A (zh) 2021-12-24
CN113838729B CN113838729B (zh) 2023-01-17

Family

ID=61903805

Family Applications (2)

Application Number Title Priority Date Filing Date
CN202111172803.1A Active CN113838729B (zh) 2016-10-18 2017-10-17 用于x射线散射测量系统的全光束度量
CN201780064269.8A Active CN109844898B (zh) 2016-10-18 2017-10-17 用于x射线散射测量系统的全光束度量

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN201780064269.8A Active CN109844898B (zh) 2016-10-18 2017-10-17 用于x射线散射测量系统的全光束度量

Country Status (8)

Country Link
US (3) US10775323B2 (zh)
JP (3) JP7210460B2 (zh)
KR (2) KR102495770B1 (zh)
CN (2) CN113838729B (zh)
DE (1) DE112017005271T5 (zh)
IL (2) IL265745B2 (zh)
TW (1) TWI753030B (zh)
WO (1) WO2018075551A1 (zh)

Families Citing this family (56)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10295485B2 (en) 2013-12-05 2019-05-21 Sigray, Inc. X-ray transmission spectrometer system
JP6999268B2 (ja) 2016-01-11 2022-01-18 ブルカー テクノロジーズ リミテッド X線スキャタロメトリーのための方法および装置
US10775323B2 (en) * 2016-10-18 2020-09-15 Kla-Tencor Corporation Full beam metrology for X-ray scatterometry systems
US10481111B2 (en) * 2016-10-21 2019-11-19 Kla-Tencor Corporation Calibration of a small angle X-ray scatterometry based metrology system
US11073487B2 (en) 2017-05-11 2021-07-27 Kla-Tencor Corporation Methods and systems for characterization of an x-ray beam with high spatial resolution
US10727142B2 (en) 2017-05-30 2020-07-28 Kla-Tencor Corporation Process monitoring of deep structures with X-ray scatterometry
US10983227B2 (en) 2017-08-14 2021-04-20 Kla-Tencor Corporation On-device metrology using target decomposition
US11317500B2 (en) 2017-08-30 2022-04-26 Kla-Tencor Corporation Bright and clean x-ray source for x-ray based metrology
US10748736B2 (en) 2017-10-18 2020-08-18 Kla-Tencor Corporation Liquid metal rotating anode X-ray source for semiconductor metrology
US11156548B2 (en) 2017-12-08 2021-10-26 Kla-Tencor Corporation Measurement methodology of advanced nanostructures
US10895541B2 (en) 2018-01-06 2021-01-19 Kla-Tencor Corporation Systems and methods for combined x-ray reflectometry and photoelectron spectroscopy
US10794839B2 (en) 2019-02-22 2020-10-06 Kla Corporation Visualization of three-dimensional semiconductor structures
US11519869B2 (en) 2018-03-20 2022-12-06 Kla Tencor Corporation Methods and systems for real time measurement control
US10816486B2 (en) 2018-03-28 2020-10-27 Kla-Tencor Corporation Multilayer targets for calibration and alignment of X-ray based measurement systems
US10816487B2 (en) 2018-04-12 2020-10-27 Bruker Technologies Ltd. Image contrast in X-ray topography imaging for defect inspection
JP2019191169A (ja) 2018-04-23 2019-10-31 ブルカー ジェイヴィ イスラエル リミテッドBruker Jv Israel Ltd. 小角x線散乱測定用のx線源光学系
US10989822B2 (en) 2018-06-04 2021-04-27 Sigray, Inc. Wavelength dispersive x-ray spectrometer
WO2020008420A2 (en) 2018-07-05 2020-01-09 Bruker Jv Israel Ltd. Small-angle x-ray scatterometry
WO2020023408A1 (en) 2018-07-26 2020-01-30 Sigray, Inc. High brightness x-ray reflection source
US10677586B2 (en) * 2018-07-27 2020-06-09 Kla-Tencor Corporation Phase revealing optical and X-ray semiconductor metrology
WO2020028412A1 (en) * 2018-07-31 2020-02-06 Lam Research Corporation Determining tilt angle in patterned arrays of high aspect ratio structures
WO2020051221A2 (en) 2018-09-07 2020-03-12 Sigray, Inc. System and method for depth-selectable x-ray analysis
US11476144B2 (en) 2018-12-03 2022-10-18 Kla Corporation Single cell in-die metrology targets and measurement methods
WO2020122996A1 (en) * 2018-12-12 2020-06-18 Kla Corporation Multiple-tool parameter set configuration and misregistration measurement system and method
US11990380B2 (en) 2019-04-19 2024-05-21 Kla Corporation Methods and systems for combining x-ray metrology data sets to improve parameter estimation
JP7168985B2 (ja) * 2019-04-22 2022-11-10 株式会社リガク 微細構造の解析方法、装置およびプログラム
US11460418B2 (en) 2019-08-26 2022-10-04 Kla Corporation Methods and systems for semiconductor metrology based on wavelength resolved soft X-ray reflectometry
US11143605B2 (en) 2019-09-03 2021-10-12 Sigray, Inc. System and method for computed laminography x-ray fluorescence imaging
US11867595B2 (en) 2019-10-14 2024-01-09 Industrial Technology Research Institute X-ray reflectometry apparatus and method thereof for measuring three dimensional nanostructures on flat substrate
US11272607B2 (en) 2019-11-01 2022-03-08 Kla Corporation Laser produced plasma illuminator with low atomic number cryogenic target
US11259394B2 (en) 2019-11-01 2022-02-22 Kla Corporation Laser produced plasma illuminator with liquid sheet jet target
US11610297B2 (en) * 2019-12-02 2023-03-21 Kla Corporation Tomography based semiconductor measurements using simplified models
US11520321B2 (en) 2019-12-02 2022-12-06 Kla Corporation Measurement recipe optimization based on probabilistic domain knowledge and physical realization
US11698251B2 (en) 2020-01-07 2023-07-11 Kla Corporation Methods and systems for overlay measurement based on soft X-ray Scatterometry
US11719652B2 (en) 2020-02-04 2023-08-08 Kla Corporation Semiconductor metrology and inspection based on an x-ray source with an electron emitter array
US11175243B1 (en) 2020-02-06 2021-11-16 Sigray, Inc. X-ray dark-field in-line inspection for semiconductor samples
US11513085B2 (en) 2020-02-20 2022-11-29 Kla Corporation Measurement and control of wafer tilt for x-ray based metrology
US11143604B1 (en) 2020-04-06 2021-10-12 Kla Corporation Soft x-ray optics with improved filtering
US11761913B2 (en) * 2020-05-04 2023-09-19 Bruker Technologies Ltd. Transmission X-ray critical dimension (T-XCD) characterization of shift and tilt of stacks of high-aspect-ratio (HAR) structures
DE112021002841T5 (de) 2020-05-18 2023-03-23 Sigray, Inc. System und Verfahren für Röntgenabsorptionsspektroskopie unter Verwendung eines Kristallanalysators und mehrerer Detektorelemente
CN111678932B (zh) * 2020-06-09 2023-09-15 首钢集团有限公司 一种电子背散射衍射的分析方法
US11175245B1 (en) 2020-06-15 2021-11-16 American Science And Engineering, Inc. Scatter X-ray imaging with adaptive scanning beam intensity
GB2610134A (en) * 2020-06-15 2023-02-22 American Science & Eng Inc Scatter X-ray imaging with adaptive scanning beam intensity
US20230304944A1 (en) * 2020-09-08 2023-09-28 Massachusetts Institute Of Technology Automated Optical Measurement System To Determine Semiconductor Properties
JP2023542674A (ja) 2020-09-17 2023-10-11 シグレイ、インコーポレイテッド X線を用いた深さ分解計測および分析のためのシステムおよび方法
US11530913B2 (en) 2020-09-24 2022-12-20 Kla Corporation Methods and systems for determining quality of semiconductor measurements
US11899375B2 (en) 2020-11-20 2024-02-13 Kla Corporation Massive overlay metrology sampling with multiple measurement columns
KR20230109735A (ko) 2020-12-07 2023-07-20 시그레이, 아이엔씨. 투과 x-선 소스를 이용한 고처리량 3D x-선 이미징 시스템
US20220196576A1 (en) * 2020-12-17 2022-06-23 Kla Corporation Methods And Systems For Compact, Small Spot Size Soft X-Ray Scatterometry
US11604420B2 (en) 2021-05-03 2023-03-14 Kla Corporation Self-calibrating overlay metrology
US11604063B2 (en) 2021-06-24 2023-03-14 Kla Corporation Self-calibrated overlay metrology using a skew training sample
US11781999B2 (en) 2021-09-05 2023-10-10 Bruker Technologies Ltd. Spot-size control in reflection-based and scatterometry-based X-ray metrology systems
CN113848219A (zh) * 2021-09-09 2021-12-28 齐鲁中科电工先进电磁驱动技术研究院 一种基于造布生产的调控方法、装置及造布调控系统
US11992350B2 (en) 2022-03-15 2024-05-28 Sigray, Inc. System and method for compact laminography utilizing microfocus transmission x-ray source and variable magnification x-ray detector
WO2023215204A1 (en) 2022-05-02 2023-11-09 Sigray, Inc. X-ray sequential array wavelength dispersive spectrometer
US11955308B1 (en) 2022-09-22 2024-04-09 Kla Corporation Water cooled, air bearing based rotating anode x-ray illumination source

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101849178A (zh) * 2007-10-03 2010-09-29 联邦科学与工业研究组织 线上能量分散x射线衍射分析仪
CN102498441A (zh) * 2009-07-31 2012-06-13 Asml荷兰有限公司 量测方法和设备、光刻系统以及光刻处理单元
CN102636963A (zh) * 2011-02-11 2012-08-15 Asml荷兰有限公司 检查设备和方法、光刻设备和处理单元、器件制造方法
TW201350839A (zh) * 2012-05-08 2013-12-16 Kla Tencor Corp 具有組合x光及光學散射計之計量工具
CN104220865A (zh) * 2012-02-24 2014-12-17 科磊股份有限公司 使用具有场增强元件的目标的光学计量
US20150117610A1 (en) * 2013-10-28 2015-04-30 Kla-Tencor Corporation Methods and apparatus for measuring semiconductor device overlay using x-ray metrology
US9243886B1 (en) * 2012-06-26 2016-01-26 Kla-Tencor Corporation Optical metrology of periodic targets in presence of multiple diffraction orders
CN105359039A (zh) * 2013-07-03 2016-02-24 Asml荷兰有限公司 检验设备和方法、光刻设备、光刻处理单元以及器件制造方法

Family Cites Families (57)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH01102325A (ja) * 1987-10-16 1989-04-20 Nippon Telegr & Teleph Corp <Ntt> 凹面回折格子と円筒面ミラーを用いる分光器
US5608526A (en) 1995-01-19 1997-03-04 Tencor Instruments Focused beam spectroscopic ellipsometry method and system
US6023338A (en) 1996-07-12 2000-02-08 Bareket; Noah Overlay alignment measurement of wafers
US5859424A (en) 1997-04-08 1999-01-12 Kla-Tencor Corporation Apodizing filter system useful for reducing spot size in optical measurements and other applications
US6429943B1 (en) 2000-03-29 2002-08-06 Therma-Wave, Inc. Critical dimension analysis with simultaneous multiple angle of incidence measurements
US6787773B1 (en) 2000-06-07 2004-09-07 Kla-Tencor Corporation Film thickness measurement using electron-beam induced x-ray microanalysis
US7317531B2 (en) 2002-12-05 2008-01-08 Kla-Tencor Technologies Corporation Apparatus and methods for detecting overlay errors using scatterometry
US7541201B2 (en) 2000-08-30 2009-06-02 Kla-Tencor Technologies Corporation Apparatus and methods for determining overlay of structures having rotational or mirror symmetry
US7068833B1 (en) 2000-08-30 2006-06-27 Kla-Tencor Corporation Overlay marks, methods of overlay mark design and methods of overlay measurements
US20030002043A1 (en) 2001-04-10 2003-01-02 Kla-Tencor Corporation Periodic patterns and technique to control misalignment
US6716646B1 (en) 2001-07-16 2004-04-06 Advanced Micro Devices, Inc. Method and apparatus for performing overlay measurements using scatterometry
AU2002360738A1 (en) 2001-12-19 2003-07-09 Kla-Tencor Technologies Corporation Parametric profiling using optical spectroscopic systems
GB0201773D0 (en) * 2002-01-25 2002-03-13 Isis Innovation X-ray diffraction method
US6778275B2 (en) 2002-02-20 2004-08-17 Micron Technology, Inc. Aberration mark and method for estimating overlay error and optical aberrations
US6992764B1 (en) 2002-09-30 2006-01-31 Nanometrics Incorporated Measuring an alignment target with a single polarization state
US7092485B2 (en) * 2003-05-27 2006-08-15 Control Screening, Llc X-ray inspection system for detecting explosives and other contraband
US7842933B2 (en) 2003-10-22 2010-11-30 Applied Materials Israel, Ltd. System and method for measuring overlay errors
US6937337B2 (en) 2003-11-19 2005-08-30 International Business Machines Corporation Overlay target and measurement method using reference and sub-grids
US7502437B2 (en) 2004-03-10 2009-03-10 Koninklijke Philips Electronics N.V. Focused coherent-scatter computer tomography
US7321426B1 (en) 2004-06-02 2008-01-22 Kla-Tencor Technologies Corporation Optical metrology on patterned samples
JP3983762B2 (ja) * 2004-12-15 2007-09-26 アンリツ株式会社 X線回折測定解析方法及びプログラム
US7478019B2 (en) 2005-01-26 2009-01-13 Kla-Tencor Corporation Multiple tool and structure analysis
JP2006339359A (ja) * 2005-06-01 2006-12-14 Seiko Epson Corp 微細構造体の製造方法、電子機器
JP4585926B2 (ja) 2005-06-17 2010-11-24 株式会社日立ハイテクノロジーズ パターンレイヤーデータ生成装置、それを用いたパターンレイヤーデータ生成システム、半導体パターン表示装置、パターンレイヤーデータ生成方法、及びコンピュータプログラム
US7567351B2 (en) 2006-02-02 2009-07-28 Kla-Tencor Corporation High resolution monitoring of CD variations
JP4887062B2 (ja) 2006-03-14 2012-02-29 株式会社日立ハイテクノロジーズ 試料寸法測定方法、及び試料寸法測定装置
US7481579B2 (en) * 2006-03-27 2009-01-27 Jordan Valley Applied Radiation Ltd. Overlay metrology using X-rays
US7573584B2 (en) 2006-09-25 2009-08-11 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US7873585B2 (en) 2007-08-31 2011-01-18 Kla-Tencor Technologies Corporation Apparatus and methods for predicting a semiconductor parameter across an area of a wafer
US7742563B2 (en) * 2008-09-10 2010-06-22 Morpho Detection, Inc. X-ray source and detector configuration for a non-translational x-ray diffraction system
US7929667B1 (en) 2008-10-02 2011-04-19 Kla-Tencor Corporation High brightness X-ray metrology
US8068662B2 (en) 2009-03-30 2011-11-29 Hermes Microvision, Inc. Method and system for determining a defect during charged particle beam inspection of a sample
US8908830B2 (en) * 2009-04-14 2014-12-09 Rigaku Corporation Surface microstructure measurement method, surface microstructure measurement data analysis method and X-ray scattering measurement device
JP2010272758A (ja) * 2009-05-22 2010-12-02 Hitachi High-Technologies Corp 被エッチング材のプラズマエッチング方法
JP5764380B2 (ja) 2010-04-29 2015-08-19 エフ イー アイ カンパニFei Company Sem画像化法
US9046475B2 (en) 2011-05-19 2015-06-02 Applied Materials Israel, Ltd. High electron energy based overlay error measurement methods and systems
US8873596B2 (en) * 2011-07-22 2014-10-28 Kla-Tencor Corporation Laser with high quality, stable output beam, and long life high conversion efficiency non-linear crystal
US10107621B2 (en) 2012-02-15 2018-10-23 Nanometrics Incorporated Image based overlay measurement with finite gratings
US10801975B2 (en) 2012-05-08 2020-10-13 Kla-Tencor Corporation Metrology tool with combined X-ray and optical scatterometers
US10013518B2 (en) 2012-07-10 2018-07-03 Kla-Tencor Corporation Model building and analysis engine for combined X-ray and optical metrology
US9581430B2 (en) 2012-10-19 2017-02-28 Kla-Tencor Corporation Phase characterization of targets
US10769320B2 (en) 2012-12-18 2020-09-08 Kla-Tencor Corporation Integrated use of model-based metrology and a process model
US9291554B2 (en) 2013-02-05 2016-03-22 Kla-Tencor Corporation Method of electromagnetic modeling of finite structures and finite illumination for metrology and inspection
US10101670B2 (en) 2013-03-27 2018-10-16 Kla-Tencor Corporation Statistical model-based metrology
US9535018B2 (en) * 2013-07-08 2017-01-03 Kla-Tencor Corporation Combined x-ray and optical metrology
US9846132B2 (en) 2013-10-21 2017-12-19 Kla-Tencor Corporation Small-angle scattering X-ray metrology systems and methods
TWI631636B (zh) * 2013-12-16 2018-08-01 克萊譚克公司 以模型爲基礎之量測及一製程模型的整合使用
US9490182B2 (en) * 2013-12-23 2016-11-08 Kla-Tencor Corporation Measurement of multiple patterning parameters
US9553033B2 (en) * 2014-01-15 2017-01-24 Kla-Tencor Corporation Semiconductor device models including re-usable sub-structures
US9494535B2 (en) 2014-04-21 2016-11-15 Kla-Tencor Corporation Scatterometry-based imaging and critical dimension metrology
CN204220865U (zh) 2014-10-20 2015-03-25 河南宝润机械有限公司 一种镦粗压柄和钢筋镦粗机
US10072921B2 (en) 2014-12-05 2018-09-11 Kla-Tencor Corporation Methods and systems for spectroscopic beam profile metrology having a first two dimensional detector to detect collected light transmitted by a first wavelength dispersive element
US10324050B2 (en) 2015-01-14 2019-06-18 Kla-Tencor Corporation Measurement system optimization for X-ray based metrology
WO2016124345A1 (en) 2015-02-04 2016-08-11 Asml Netherlands B.V. Metrology method, metrology apparatus and device manufacturing method
JP6602388B6 (ja) 2015-03-25 2020-01-15 エーエスエムエル ネザーランズ ビー.ブイ. メトロロジ方法、メトロロジ装置、及びデバイス製造装置
WO2016161544A1 (en) 2015-04-07 2016-10-13 Shenzhen Xpectvision Technology Co.,Ltd. Semiconductor x-ray detector
US10775323B2 (en) * 2016-10-18 2020-09-15 Kla-Tencor Corporation Full beam metrology for X-ray scatterometry systems

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101849178A (zh) * 2007-10-03 2010-09-29 联邦科学与工业研究组织 线上能量分散x射线衍射分析仪
CN102498441A (zh) * 2009-07-31 2012-06-13 Asml荷兰有限公司 量测方法和设备、光刻系统以及光刻处理单元
CN102636963A (zh) * 2011-02-11 2012-08-15 Asml荷兰有限公司 检查设备和方法、光刻设备和处理单元、器件制造方法
CN104220865A (zh) * 2012-02-24 2014-12-17 科磊股份有限公司 使用具有场增强元件的目标的光学计量
TW201350839A (zh) * 2012-05-08 2013-12-16 Kla Tencor Corp 具有組合x光及光學散射計之計量工具
US9243886B1 (en) * 2012-06-26 2016-01-26 Kla-Tencor Corporation Optical metrology of periodic targets in presence of multiple diffraction orders
CN105359039A (zh) * 2013-07-03 2016-02-24 Asml荷兰有限公司 检验设备和方法、光刻设备、光刻处理单元以及器件制造方法
US20150117610A1 (en) * 2013-10-28 2015-04-30 Kla-Tencor Corporation Methods and apparatus for measuring semiconductor device overlay using x-ray metrology

Also Published As

Publication number Publication date
JP2023033401A (ja) 2023-03-10
US11313816B2 (en) 2022-04-26
CN109844898B (zh) 2021-10-26
IL265745B2 (en) 2023-11-01
CN113838729B (zh) 2023-01-17
IL265745B1 (en) 2023-07-01
JP2024019566A (ja) 2024-02-09
WO2018075551A1 (en) 2018-04-26
KR102495770B1 (ko) 2023-02-02
IL265745A (en) 2019-06-30
TW201825867A (zh) 2018-07-16
TWI753030B (zh) 2022-01-21
IL303263B1 (en) 2024-04-01
US20200300790A1 (en) 2020-09-24
JP7210460B2 (ja) 2023-01-23
KR102625635B1 (ko) 2024-01-16
KR20190058672A (ko) 2019-05-29
CN109844898A (zh) 2019-06-04
US20180106735A1 (en) 2018-04-19
US10775323B2 (en) 2020-09-15
IL303263A (en) 2023-07-01
JP2019536057A (ja) 2019-12-12
JP7486621B2 (ja) 2024-05-17
US20220268714A1 (en) 2022-08-25
KR20230021767A (ko) 2023-02-14
DE112017005271T5 (de) 2019-08-14

Similar Documents

Publication Publication Date Title
CN113838729B (zh) 用于x射线散射测量系统的全光束度量
CN108401437B (zh) 用于高高宽比结构的x光散射测量计量
US11428650B2 (en) Computationally efficient x-ray based overlay measurement
US11519719B2 (en) Transmission small-angle X-ray scattering metrology system
CN111819675B (zh) 用于实时测量控制的方法及系统
US11610297B2 (en) Tomography based semiconductor measurements using simplified models
TW202344807A (zh) 用於正則化應用特定半導體測量系統參數設定之最佳化之方法及系統

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant