CN102636963A - 检查设备和方法、光刻设备和处理单元、器件制造方法 - Google Patents

检查设备和方法、光刻设备和处理单元、器件制造方法 Download PDF

Info

Publication number
CN102636963A
CN102636963A CN2012100261854A CN201210026185A CN102636963A CN 102636963 A CN102636963 A CN 102636963A CN 2012100261854 A CN2012100261854 A CN 2012100261854A CN 201210026185 A CN201210026185 A CN 201210026185A CN 102636963 A CN102636963 A CN 102636963A
Authority
CN
China
Prior art keywords
substrate
target
radiation
diffraction
character
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN2012100261854A
Other languages
English (en)
Other versions
CN102636963B (zh
Inventor
K·巴哈特塔卡里雅
A·J·登博夫
S·C·J·A·凯吉
P·C·P·瓦诺鹏
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASML Netherlands BV
Original Assignee
ASML Netherlands BV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASML Netherlands BV filed Critical ASML Netherlands BV
Publication of CN102636963A publication Critical patent/CN102636963A/zh
Application granted granted Critical
Publication of CN102636963B publication Critical patent/CN102636963B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/26Acting in response to an ongoing measurement without interruption of processing, e.g. endpoint detection, in-situ thickness measurement
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B2210/00Aspects not specifically covered by any group under G01B, e.g. of wheel alignment, caliper-like sensors
    • G01B2210/56Measuring geometric parameters of semiconductor structures, e.g. profile, critical dimensions or trench depth
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/956Inspecting patterns on the surface of objects

Abstract

本发明提供一种检查设备和方法、光刻设备、光刻处理单元及器件制造方法。本发明确定衬底上的周期性目标(例如晶片上的光栅)的不对称性质。检查设备具有宽带照射光源,其具有在高数值孔径物镜的光瞳平面内点镜像的照射束。从相对衬底的平面呈镜像反射关系的第二和第一方向经由物镜照射衬底和目标。四方楔形件光学装置分别地改变由衬底散射的辐射的衍射级的方向并且将衍射级与沿第一方向和第二方向中每一个方向的照射分离。例如,对每个入射方向分离零级和第一级。在多模光纤捕获之后,分光计用于测量作为波长(I0′(λ),I0(λ),I+1′(λ)以及I-1(λ))的函数的分别被改变方向的衍射级的强度。这可以随后用于计算单个光栅的不对称参数的重构或(堆叠重叠目标光栅的)重叠误差。

Description

检查设备和方法、光刻设备和处理单元、器件制造方法
技术领域
本发明涉及一种例如在通过光刻技术制造器件时能够使用的用于确定显微结构中的不对称度的方法和设备。
背景技术
光刻设备是一种将所需图案应用到衬底上(通常应用到所述衬底的目标部分上)的机器。例如,可以将光刻设备用在例如集成电路(IC)的制造中。在这种情况下,可以将可选地称为掩模或掩模版的图案形成装置用于生成待形成在所述IC的单层上的电路图案。可以将该图案转移到衬底(例如,硅晶片)上的目标部分(例如,包括一部分管芯、一个或多个管芯)上。典型地,经由成像将所述图案转移到在所述衬底上设置的辐射敏感材料(抗蚀剂)层上。通常,单个衬底将包含连续形成图案的相邻目标部分的网络。公知的光刻设备包括:所谓的步进机,在所述步进机中,通过将整个图案一次曝光到所述目标部分上来辐射每一个目标部分;以及所谓的扫描器,在所述扫描器中,通过辐射束沿给定方向(“扫描”方向)扫描所述图案、同时沿与该方向平行或反向平行的方向同步扫描所述衬底来辐射每一个目标部分。还可以通过将所述图案压印到所述衬底上,而将所述图案从所述图案形成装置转移到所述衬底上。
为了监控光刻过程,测量图案化的衬底的参数。参数可以例如包括在图案化的衬底中或上形成的连续的层之间的重叠误差和已显影的光致抗蚀剂的临界线宽。这种测量可以在产品衬底和/或在专门的量测目标上进行。存在用于对在光刻过程中形成的显微结构进行测量的多种技术,包括使用扫描电子显微镜和各种专门工具。快速的且非侵入式的专门的检查工具是散射仪,在散射仪中辐射束被引导到衬底的表面上的目标上,而散射束或反射束的性质被测量。通过比较在它被衬底反射或散射之前和之后的束的性质,可以确定衬底的性质。这可以例如通过将反射束同与已知衬底性质相关的已知测量结果的库中所储存的数据比较来进行。两种主要类型的散射仪是已知的。光谱散射仪将宽带辐射束引导到衬底上和测量被散射到特定的窄角度范围内的辐射的光谱(强度作为波长的函数)。角度分辨散射仪使用单色辐射束和测量作为角度的函数的散射辐射的强度。
目前基于图像的重叠量测是主流重叠量测技术。然而,随着对更高精确度的需求的增长,基于衍射的重叠(DBO)发展加快并且被半导体制造商接受。
在一些应用中,DBO被用于大的光栅,其提供最佳的总测量不确定度(TMU)。在产品晶片上,制造商正推进更小的管芯内的目标。在花费稍稍更大的TMU的条件下用暗场(DF)检测测量这些光栅。基于衍射的重叠和暗场检测在专利出版物US2010/0328655中描述。
对产品上重叠量测的精确度的大的贡献者是不对称光栅变形。被蚀刻的结构的轮廓中的不对称性可以例如导致在蚀刻时可能蚀刻剂离子不垂直入射至衬底。期望提供一种检查设备以允许重叠测量、不对称度测量以及制造商对于产品上重叠量测所需的在小的管芯内重叠目标上的重构。
此外,期望改进这种检查设备的采集时间和TMU。
发明内容
根据本发明的一方面,提供一种用于确定衬底上目标的不对称性质的检查设备,所述目标在衬底的平面内是周期性的,所述检查设备包括:
照射系统,配置成提供多个波长的辐射;
光学系统,包括物镜并配置成用来自第二方向和第一方向的辐射、经由物镜照射所述目标,所述第一方向和第二方向相对于衬底的平面成镜像反射关系;
光学装置,配置成分别地改变由所述衬底散射的辐射的衍射级的方向;
一个或多个检测器,配置成在多个波长条件下测量分别地被改变方向的衍射级的性质;和
处理器,配置成使用在多个波长条件下测量的性质来确定目标的不对称性质。
根据本发明的另一方面,提供一种确定衬底上的目标的不对称性质的方法,所述目标在衬底的平面内是周期性的,所述方法包括:
提供多个波长的辐射;
用来自第二方向和第一方向的辐射经由物镜照射所述目标,所述第一方向和第二方向相对于衬底的平面成镜像反射关系;
分别地改变由所述衬底散射的辐射的衍射级的方向;
用一个或多个检测器在多个波长条件下测量单独地被改变方向的衍射级的性质;和
使用在多个波长条件下测量的性质确定目标的不对称性质。
根据本发明的另一方面,提供一种光刻设备,包括:
照射系统,布置成照射图案;
投影系统,布置成将图案的图像投影到衬底上;和
检查设备,用于确定衬底上的目标的不对称性质,所述目标在衬底的平面内是周期性的,所述检查设备包括:
照射系统,配置成提供多个波长的辐射;
光学系统,包括物镜并配置成用来自第二方向和第一方向的辐射经由物镜照射所述目标,所述第一方向和第二方向相对于衬底的平面成镜像反射关系;
光学装置,配置成分别地改变由所述衬底散射的辐射的衍射级的方向;
一个或多个检测器,配置成在多个波长条件下测量分别地被改变方向的衍射级的性质;和
处理器,配置成使用在多个波长条件下测量的性质确定目标的不对称性质。
根据本发明另一方面,提供一种光刻单元,包括:
涂覆器,布置成将衬底涂覆以辐射敏感层;
光刻设备,布置成将图像曝光到衬底的通过涂覆器涂覆的辐射敏感层上;
显影器,布置成将通过光刻设备曝光的图像显影;和
检查设备,用于确定衬底上的目标的不对称性质,所述目标在衬底的平面内是周期性的,所述检查设备包括:
照射系统,配置成提供多个波长的辐射;
光学系统,包括物镜并配置成用来自第二方向和第一方向的辐射经由物镜照射所述目标,所述第一方向和第二方向相对于衬底的平面成镜像反射关系;
光学装置,配置成分别地改变由所述衬底散射的辐射的衍射级的方向;
一个或多个检测器,配置成在多个波长条件下测量分别地被改变方向的衍射级的性质;和
处理器,配置成使用在多个波长条件下测量的性质来确定目标的不对称性质。
根据本发明另一方面,提供一种器件制造方法,包括:
使用光刻设备在衬底上形成图案;和
通过下列步骤确定与所述图案的参数相关的值:
提供多个波长的辐射;
用来自第二方向和第一方向的辐射经由物镜照射使用光刻设备形成的目标,所述第一方向和第二方向相对于衬底的平面成镜像反射关系;
分别地改变由所述衬底散射的辐射的衍射级的方向;
使用一个或多个检测器在多个波长条件下测量分别地被改变方向的衍射级的性质;和
使用在多个波长条件下测量的性质来确定目标的不对称性质。
附图说明
下面仅通过示例的方式,参考附图对本发明的实施例进行描述,其中示意性附图中相应的标记表示相应的部件,在附图中:
图1示出一种光刻设备;
图2示出一种光刻单元或簇;
图3示出第一散射仪;
图4示出第二散射仪;
图5示出由散射仪测量结果重构结构的第一示例过程;
图6示出由散射仪测量结果重构结构的第二示例过程;
图7示出根据本发明的一个实施例的检查设备;
图8示出入射到衬底上的光线;
图9示出入射在衬底上目标光栅上的两个光束和最终的散射衍射级;
图10示出根据本发明的一个实施例的检查设备,其具有可调的(tunable)光源和CCD检测器;
图11是使用对称和不对称模型参数进行重构的流程图;
图12示出根据本发明的一个实施例的检查设备,其具有可调的光源和CCD检测器、用于分离由x和y目标周期性产生的多个级;
图13示出在由x和y目标周期性产生的多个级的光瞳平面图像内的分离;
图14示出楔配置和目标在传感器处的最终的图像;和
图15示出复合目标结构和目标图像在传感器处的有效重叠。
具体实施方式
本发明的多个实施例使用多个波长(并行地具有宽带光源或串联地使用可调单色光光源)并且针对空间分离的衍射级使用对应不同波长的检测强度。
图1示意性地示出一种光刻设备。所述设备包括:
-照射系统(照射器)IL,配置用于调节辐射束B(例如,紫外(UV)辐射或深紫外(DUV)辐射)。
-支撑结构(例如掩模台)MT,构造用于支撑图案形成装置(例如掩模)MA并与配置用于根据确定的参数精确地定位图案形成装置的第一定位装置PM相连;
-衬底台(例如晶片台)WT,构造用于保持衬底(例如涂覆有抗蚀剂的晶片)W,并与配置用于根据确定的参数精确地定位衬底的第二定位装置PW相连;和
-投影系统(例如折射式投影透镜系统)PL,所述投影系统PL配置用于将由图案形成装置MA赋予辐射束B的图案投影到衬底W的目标部分C(例如包括一根或多根管芯)上。
所述照射系统可以包括各种类型的光学部件,例如折射型、反射型、磁性型、电磁型、静电型或其它类型的光学部件、或其任意组合,以引导、成形、或控制辐射。
所述支撑结构支撑所述图案形成装置,即承载所述图案形成装置的重量。支撑结构以依赖于图案形成装置的方向、光刻设备的设计以及诸如图案形成装置是否保持在真空环境中等其它条件的方式保持图案形成装置。所述支撑结构可以采用机械的、真空的、静电的或其它夹持技术来保持图案形成装置。所述支撑结构可以是框架或台,例如,其可以根据需要成为固定的或可移动的。所述支撑结构可以确保图案形成装置位于所需的位置上(例如相对于投影系统)。在这里任何使用的术语“掩模版”或“掩模”都可以认为与更上位的术语“图案形成装置”同义。
这里所使用的术语“图案形成装置”应该被广义地理解为表示能够用于将图案在辐射束的横截面上赋予辐射束、以便在衬底的目标部分上形成图案的任何装置。应当注意,被赋予辐射束的图案可能不与在衬底的目标部分上的所需图案完全相符(例如如果该图案包括相移特征或所谓的辅助特征)。通常,被赋予辐射束的图案将与在目标部分上形成的器件中的特定的功能层相对应,例如集成电路。
图案形成装置可以是透射式的或反射式的。图案形成装置的示例包括掩模、可编程反射镜阵列以及可编程液晶显示(LCD)面板。掩模在光刻术中是公知的,并且包括诸如二元掩模类型、交替型相移掩模类型、衰减型相移掩模类型和各种混合掩模类型之类的掩模类型。可编程反射镜阵列的示例采用小反射镜的矩阵布置,每一个小反射镜可以独立地倾斜,以便沿不同方向反射入射的辐射束。所述已倾斜的反射镜将图案赋予由所述反射镜矩阵反射的辐射束。
这里使用的术语“投影系统”应该广义地解释为包括任意类型的投影系统,包括折射型、反射型、反射折射型、磁性型、电磁型和静电型光学系统、或其任意组合,如对于所使用的曝光辐射所适合的、或对于诸如使用浸没液或使用真空之类的其他因素所适合的。这里使用的术语“投影透镜”可以认为是与更上位的术语“投影系统”同义。
如这里所示的,所述设备是透射型的(例如,采用透射式掩模)。替代地,所述设备可以是反射型的(例如,采用如上所述类型的可编程反射镜阵列,或采用反射式掩模)。
所述光刻设备可以是具有两个(双台)或更多衬底台(和/或两个或更多的掩模台)的类型。在这种“多台”机器中,可以并行地使用附加的台,或可以在一个或更多个台上执行预备步骤的同时,将一个或更多个其它台用于曝光。
光刻设备还可以是至少一部分衬底可以被具有相对高折射率的液体(例如水)覆盖、以便填充投影系统和衬底之间的空间的类型。浸没液体还可以被施加至光刻设备中的其它空间,例如在掩模和投影系统之间。在本领域中公知,浸没技术用于增加投影系统的数值孔径。如在此处所使用的术语“浸没”并不意味着诸如衬底等结构必须浸没在液体中,而是意味着在曝光期间液体位于投影系统和衬底之间。
参照图1,所述照射器IL接收从辐射源SO发出的辐射束。该源和所述光刻设备可以是分立的实体(例如当该源为准分子激光器时)。在这种情况下,不会将该源考虑成形成光刻设备的一部分,并且通过包括例如合适的定向反射镜和/或扩束器的束传递系统BD的帮助,将所述辐射束从所述源SO传到所述照射器IL。在其它情况下,所述源可以是所述光刻设备的组成部分(例如当所述源是汞灯时)。可以将所述源SO和所述照射器IL、以及如果需要时设置的所述束传递系统BD一起称作辐射系统。
所述照射器IL可以包括用于调整所述辐射束的角强度分布的调整器AD。通常,可以对所述照射器的光瞳平面中的强度分布的至少所述外部和/或内部径向范围(一般分别称为σ-外部和σ-内部)进行调整。此外,所述照射器IL可以包括各种其它部件,例如积分器IN和聚光器CO。可以将所述照射器用于调节所述辐射束,以在其横截面中具有所需的均匀性和强度分布。
所述辐射束B入射到保持在支撑结构(例如,掩模台MT)上的所述图案形成装置(例如,掩模MA)上,并且通过所述图案形成装置来形成图案。已经穿过掩模MA之后,所述辐射束B通过投影系统PL,所述投影系统PL将辐射束聚焦到所述衬底W的目标部分C上。通过第二定位装置PW和位置传感器IF(例如,干涉仪器件、线性编码器、2-D编码器或电容传感器)的帮助,可以精确地移动所述衬底台WT,例如以便将不同的目标部分C定位于所述辐射束B的路径中。类似地,例如在从掩模库的机械获取之后,或在扫描期间,可以将所述第一定位装置PM和另一个位置传感器(图1中未明确示出)用于相对于所述辐射束B的路径精确地定位掩模MA。通常,可以通过形成所述第一定位装置PM的一部分的长行程模块(粗定位)和短行程模块(精定位)的帮助来实现掩模台MT的移动。类似地,可以采用形成所述第二定位装置PW的一部分的长行程模块和短行程模块来实现所述衬底台WT的移动。在步进机的情况下(与扫描器相反),所述掩模台MT可以仅与短行程致动器相连,或可以是固定的。可以使用掩模对准标记M1、M2和衬底对准标记P1、P2来对准掩模MA和衬底W。尽管所示的衬底对准标记占据了专用目标部分,但是它们可以位于目标部分之间的空间(这些公知为划线对齐标记)中。类似地,在将多于一个的管芯设置在掩模MA上的情况下,所述掩模对准标记可以位于所述管芯之间。
可以将所述设备用于以下模式中的至少一种中:
1.在步进模式中,在将掩模台MT和衬底台WT保持为基本静止的同时,将赋予所述辐射束的整个图案一次投影到目标部分C上(即,单一的静态曝光)。然后将所述衬底台WT沿X和/或Y方向移动,使得可以对不同目标部分C曝光。在步进模式中,曝光场的最大尺寸限制了在单一的静态曝光中成像的所述目标部分C的尺寸。
2.在扫描模式中,在对掩模台MT和衬底台WT同步地进行扫描的同时,将赋予所述辐射束的图案投影到目标部分C上(即,单一的动态曝光)。衬底台WT相对于掩模台MT的速度和方向可以通过所述投影系统PL的(缩小)放大率和图像反转特征来确定。在扫描模式中,曝光场的最大尺寸限制了单一动态曝光中所述目标部分的宽度(沿非扫描方向),而所述扫描运动的长度确定了所述目标部分的高度(沿所述扫描方向)。
3.在另一种模式中,将用于保持可编程图案形成装置的掩模台MT保持为基本静止,并且在对所述衬底台WT进行移动或扫描的同时,将赋予所述辐射束的图案投影到目标部分C上。在这种模式中,通常采用脉冲辐射源,并且在所述衬底台WT的每一次移动之后、或在扫描期间的连续辐射脉冲之间,根据需要更新所述可编程图案形成装置。这种操作模式可易于应用于利用可编程图案形成装置(例如,如上所述类型的可编程反射镜阵列)的无掩模光刻术中。
也可以采用上述使用模式的组合和/或变体,或完全不同的使用模式。
如图2所示,光刻设备LA形成了光刻单元LC的一部分,该光刻单元LC有时被称作光刻元(lithocell)或簇,其还包括用于在衬底上执行曝光前和曝光后过程的设备。传统地,这些设备包括用于沉积抗蚀剂层的旋涂器SC、用于显影已曝光的抗蚀剂的显影器DE、激冷板CH和烘烤板BK。衬底输送器或机器人RO从输入/输出端口I/O1、I/O2拾取衬底,在不同的过程设备之间移动它们以及然后将它们传递至光刻设备的进料台LB上。通常被统称为轨道的这些装置处于轨道控制单元TCU的控制之下,该轨道控制单元TCU自身被管理控制系统SCS控制,该管理控制系统还经由光刻控制单元LACU控制光刻设备。因此,可以操作不同的设备,以最大化生产率和处理效率。
为了使由光刻设备曝光的衬底被正确地且一致地曝光,期望检查已曝光的衬底用于测量诸如连续层之间的重叠误差、线宽、临界尺寸(CD)等性质。如果检测到误差,那么可以对随后的衬底的曝光进行调整,尤其是如果所述检查可以足够快速和及时地进行使得同一批次的其它衬底仍然处于将被曝光。此外,已经曝光的衬底可能发生剥落和被重新加工(用于提高产率)或被丢弃,由此避免了在已知是有缺陷的衬底上执行曝光。在仅衬底的一些目标部分有缺陷的情形中,可以仅在良好的那些目标部分上执行另外的曝光。
检查设备用于确定衬底的性质,特别是不同衬底或同一衬底的不同层的性质是如何从层至层变化的。检查设备可以集成到光刻设备LA或光刻单元LC中,或可以是独立的装置。为了能够进行最快速的测量,期望检测设备在曝光之后立即测量已曝光的抗蚀剂层的性质。然而,抗蚀剂中的潜像具有非常低的对比度—在已经被辐射曝光的抗蚀剂的部分和还没有被辐射曝光的抗蚀剂的部分之间的折射率仅具有非常小的差别—且不是所有的检查设备都具有足够的灵敏度以对潜像进行有用的测量。因此,可以在曝光后烘烤步骤(PEB)之后进行测量,曝光后烘烤步骤通常是在已曝光的衬底上执行的第一步骤且增加抗蚀剂的已曝光部分和未曝光部分之间的对比度。在这一阶段,抗蚀剂中的图像可以被称作半潜像。还可以对已显影的抗蚀剂图像进行测量(在该点处,抗蚀剂的已曝光部分或未曝光部分已经被移除)或在诸如蚀刻等图案转印步骤之后进行测量。后一可能性限制了有缺陷的衬底重新加工的可能性,但是仍然可以提供有用的信息。
图3示出了可以在本发明中使用的散射仪。它包括宽带(白光)辐射投影器2,该辐射投影器2将辐射投影到衬底W上。反射的辐射被传递至分光计检测器4,该分光计检测器4测量镜面反射辐射的光谱10(强度作为波长的函数)。由这一数据,使所检测的光谱产生的结构或轮廓可以通过处理单元PU(例如通过严格耦合波分析和非线性回归)或通过与在图3的下部显示的模拟光谱库进行比较来进行重建。通常,对于重建,结构的一般形式是已知的,且一些参数由形成结构的过程的知识来假定,仅留下所述结构的一些参数通过散射仪数据来确定。这样的散射仪可以配置成为正入射散射仪或斜入射散射仪。
在图4中显示了可以与本发明一起使用的另一散射仪。在这种装置中,由辐射源2发射的辐射通过使用透镜系统12来准直,且透射通过干涉滤光片13和偏振片17,被部分反射表面16反射,以及经由显微物镜15聚焦到衬底W上,该显微物镜15具有高的数值孔径(NA),优选地至少是0.9且更优选地至少是0.95的数值孔径。浸没式散射仪甚至可以具有数值孔径超过1的透镜。之后,反射的辐射透射通过部分反射表面16到达检测器18,用于检测散射光谱。检测器可以位于后投影光瞳平面11中,该后投影光瞳平面11位于透镜系统15的焦距处,然而光瞳平面可以替代地被用辅助光学装置(未显示)重新成像到检测器上。光瞳平面是其中辐射的径向位置限定了入射角且角位置限定了辐射的方位角的平面。检测器优选地是两维检测器,使得可以测量衬底目标30的两维角散射光谱。检测器18可以例如是CCD或CMOS传感器的阵列,且可以使用例如每一帧40毫秒的积分时间。
参考束通常用于例如测量入射辐射的强度。为此,在辐射束入射到分束器16上时,辐射束的一部分透射通过分束器,作为参考束朝向参考反射镜14行进。参考束之后被投影到同一检测器18的不同部分上,或可替代地被投影到不同的检测器(未显示)上。
可以利用一组干涉滤光片13来选择在例如405-790nm范围内或甚至波长更小(例如200-300nm)的范围内的感兴趣的波长。干涉滤光片可以是可调控的,而不是包括一组不同的滤光片。可以使用光栅来代替干涉滤光片。
检测器18可以针对单一波长(或窄的波长范围)来测量散射光的强度,针对多个波长分别测量强度或测量在波长范围上被积分的强度。另外,检测器可以分别地测量横向磁偏振光和横向电偏振光的强度、和/或横向磁偏振光和横向电偏振光之间的相位差。
使用宽带的光源(即,具有宽范围的光频率或波长并且因此具有多种颜色的光源)是可行的,其提供大的集光率(etendue),从而允许混合多个波长。该宽带中的所述多个波长优选地每一个波长具有带宽为Δλ和间距为至少2Δλ(即,两倍带宽)。辐射的多个“源”可以是扩展的辐射源的不同部分,其通过使用光纤束被分开。这样,角度分辨散射光谱可以针对多个波长被并行地测量。3维光谱(波长和两个不同的角度)可以被测量,其包含比2维光谱更多的信息。这允许更多的信息被测量,其增加了量测过程的鲁棒性。在EP1,628,164A中对此进行了详细描述。
衬底W上的目标30可以是1维光栅,该1维光栅被印刷成使得在显影之后由实的抗蚀剂线形成这些条纹。目标30可以是2维光栅,其可以被印刷成使得在显影之后由实的抗蚀剂柱或抗蚀剂中的通孔形成该光栅。所述条纹、柱状物或通孔可以替代地被蚀刻到衬底中。这一图案对光刻投影设备(尤其是投影系统PL)中的色差或像差是敏感的,照射对称性和这样的像差的出现将证明它们自身在所印刷的光栅上的变化。因此,所印刷的光栅的散射数据用于重建光栅。1维光栅的参数(例如线宽和形状)或2维光栅的参数(例如柱状物或通孔宽度或长度或形状)可以被输入到重建过程,该重建过程由处理单元PU根据印刷步骤和/或其它的散射测量过程的知识来进行。
如上所述,目标位于衬底的表面上。该目标将通常是光栅中的一系列的线的形状或二维阵列中的大体矩形结构。严格光学衍射理论在量测中的用途是有效地计算从目标反射的衍射光谱。换句话说,获得目标形状信息用于CD(临界尺寸)均匀性和重叠量测。重叠量测是测量系统,其中测量两个目标的重叠以便确定衬底上的两个层是否对准。CD均匀性仅是用以确定光刻设备的曝光系统如何起作用的光谱上光栅的均匀性的测量结果。具体地,CD或临界尺寸是被“写”到衬底上的目标的宽度并且是光刻设备能够物理写到衬底上的极限。
使用上述散射仪中的一种并结合目标结构(例如目标30)以及其衍射性质的模型化,可以以多种方式实现结构的形状和其他参数的测量。在如图5表示的第一类型的过程中,基于目标形状(第一候选结构)的第一估计计算衍射图案,并且与所观察的衍射图案对比。然后系统地变化模型的参数,并且在一系列的迭代过程中重新计算衍射,以生成新的候选结构,并因此达到最佳的适配。在如图6表示的第二类型的过程中,预先计算多种不同候选结构的衍射光谱以建立衍射光谱的“库”。然后,将从测量目标观察的衍射图案与所计算的光谱的库对比,以找出最佳适配。两种方法可以一起使用:通过库可以获得粗适配,随后通过迭代过程找出最佳适配。
具体参照图5,将概括地描述实施目标形状和/或材料性质的测量的方法。本说明书假定目标在仅一个方向上(一维结构)是周期性的。在实际应用中,目标可以是沿两个方向的周期性结构(二维结构),并相应地适应处理过程。
502:使用例如上述的那些散射仪测量衬底上的实际目标的衍射图案。所测量的衍射图案前馈至诸如计算机等计算系统。计算系统可以是参照上面的处理单元PU,或其可以是分离的或单独的设备。
503:建立“模型方案(model recipe)”,限定目标结构的多个参数pi(p1、p2、p3等)的参数化模型。这些参数可以表示例如在一维周期性结构中的侧壁的角度、特征的高度或深度、特征的宽度。目标材料和下面的层的性质也可以通过诸如折射系数等参数表示(在散射测量辐射束中存在的特定波长下)。下面给出具体示例。重要地,虽然目标结构可以通过描述其形状和材料性质的许多参数限定,然而模型方案将限定这些参数的一部分以具有固定的值,而其他参数是可变的或“浮态”参数、用于随后的处理步骤。进一步地下面描述在固定参数和浮态参数之间进行选择的过程。此外,将介绍在不完全与浮态参数无关的情况下允许参数变化的方法。为了描述图5,可变参数被看作参数pi
504:通过设定浮态参数(即p1 (0),p2 (0),p3 (0)等)的初始值pi (0)估计模型目标形状。在特定的预定范围内生成每个浮态参数,如配方中限定的。
506:例如通过使用严格光学衍射方法(例如RCWA)或任何其他麦克斯韦方程的求解器,表示所估计的形状的参数与模型的不同元件的光学性质一起用于计算散射性质。这给出所估计的目标形状的估计的衍射图案或模型衍射图案。
508,510:所测量的衍射图案和模型衍射图案随后被对比,并且它们的相似点和差异被用于计算模型目标形状的“价值函数(merit function)”。
512:假定价值函数表明,在其精确地表示实际的目标形状之前模型需要进行改进,估计新的参数p1 (1)、p2 (1)、p3 (1)等,并且将其迭代地反馈至步骤506。重复步骤506-512。
为了帮助研究,在步骤506中的计算还可以生成价值函数的偏导数,其指示在该特定区域内参数空间中增大或减小参数将增大或减小价值函数的敏感性。价值函数的计算和导数的使用在本领域是公知的,这里不详细地描述。。
514:当价值函数指示该迭代过程已经以想要的精确度收敛到解,则当前估计的参数被报告为实际目标结构的测量值。
该迭代过程的计算时间很大程度上由所使用的前馈衍射模型确定,即通过由估计的目标结构、使用严格光学衍射理论对估计的模型衍射图案的计算。如果需要更多的参数,则存在更多的自由度。计算时间原则上以自由度的数量的幂增加。在步骤506计算的估计的衍射图案或模型衍射图案可以以不同的形式表示。如果计算的图案以与在步骤502中生产的测量的图案相同的形式表示,则对比被简化。例如,可以容易地将模型化的光谱与通过图3中的设备测量的光谱对比;可以容易地将模型化的光瞳图案与通过图4中的设备测量的光瞳图案对比。
在上面的对图5的整个描述中,将使用术语“衍射图案”,假定使用图4中的散射仪。本领域技术人员可以容易地将这里的教导适应于不同类型的散射仪,或者甚至是其他类型的测量设备。
图6示出替换的示例过程,其中预先计算不同的估计目标形状(候选结构)的多个模型衍射图案,并存储在库内用于与实际的测量值对比。下面的原理和术语与图5中的过程相同。图6中过程的步骤如下:
602:开始生成库的过程。可以对每种类型的目标结构生成单独的库。通过测量设备的用户根据需要生成该库,或可以通过设备的供应商预生成该库。
603:建立“模型方案”,其限定目标结构的参数pi(p1、p2、p3等)的参数化模型。考虑过程与步骤503的迭代过程中的类似。
604:例如通过生成所有参数的随机值生成第一组参数p1(0)、p2(0)、p3(0)等,每个参数在其值的期望范围内。
606:计算模型衍射图案并存储在库内,其表示由通过参数表示的目标形状而期望得到的衍射图案。
608:生成一组新的形状参数p1(1)、p2(1)、p3(1)等。步骤606-608被重复几十次、几百次或甚至几千次,直到判断包括所有存储的模型化衍射图案的库充分完整。每个存储的图案表示在多维参数空间内的样品点。在库内的这些样品应该以充分接近地表示任何实际衍射图案的足够的密度来构成样品空间。
610:在生成库之后(但是也可以是之前),实际目标30被放置在散射仪中并且测量其衍射图案。
612:所测量的图案与存储在库内的模型化的图案对比,以便找出最佳匹配图案。可以对库内的每个样品实施这个对比过程,或者可以采用更多的系统化搜索策略以减小计算负担。
614:如果找到了匹配,则可以确定用以生成匹配的库图案的估计的目标形状是近似的目标结构。与匹配样品对应的形状参数被输出为测量的形状参数。可以直接对模型衍射信号执行匹配的过程,或者可以对优化用于快速估计的替代的模型执行上述过程。
616:可选地,最近的匹配样品被用作开始点,并且细化过程可以用于获得用于报告的最终参数。该细化过程可以包括与例如图5中示出的非常类似的迭代过程。
是否需要细化步骤616是实施者的选择问题。如果库是非常密集地被取样,则迭代细化可以不需要,因为总是可以找到良好的匹配。另一方面,这种库可能对实际应用来说太庞大。因此实际的解决方案是对一组粗的参数使用库搜索,随后使用价值函数通过一次或多次迭代过程确定更加精确的一组参数,以想要的精确度报告目标衬底的参数。在执行附加的迭代的情况下,增加计算的衍射图案和相关的细化的参数组作为库内的新的记载条目是一种可选的方式。以此方式,可以首先使用库,其是基于相对小量的计算,但是其使用细化步骤616的计算建立更大的库。无论使用哪种方案,基于多个候选结构的匹配优度(goodness of matches)可以获得一个或多个所报告的可变参数的值的进一步细化。例如,通过在两个或多个候选结构的参数值之间插值可以得出最后报告的参数值,其中假定这些候选结构的两者或全部具有高的匹配度。
该迭代过程的计算时间很大程度上由步骤506和606的前馈衍射模型确定,即通过估计的目标形状、使用严格光学衍射理论对估计的模型衍射图案的计算。
本发明涉及用于确定例如光栅等周期目标的不对称性质的设备的多个实施例。
图7示出根据本发明一个实施例的检查设备。参照图7,宽带光源702提供白光的窄的笔形射束,提供多个辐射波长。因此可以同时提供多个波长,用于设备的快速测量过程。在另一实施例中,可调光源在不同时间提供不同的波长。光源702可以例如是白光激光器或氙灯。在照射器的出口处的照射光瞳706具有一个斑点或光斑708。笔形射束被发送通过“图像复制和旋转”装置710。该装置复制笔形射束并相对于原始束旋转复制的射束超过180度。“图像复制和旋转”装置的示例是如专利US6961116和US6628406中描述的自参考干涉仪。
作为复制动作的结果,此时用两个相同的相对于原点点镜像(并且具有基本上为零的空间频率)的白光源716、716’照射照射光瞳平面714。这在整个目标上提供良好限定的照射入射角,这样方便光栅重构。基于这个原因,点源的尺寸保持为小尺寸。
透镜L1和L2形成双焦阑系统,其将照射光瞳成像到高NA(数值孔径)透镜L3的光瞳平面。该物镜L3照射目标30,目标30可以是被未知的产品图案包围的小光栅。透镜L1、L2和L3因此形成经由物镜照射目标的光学系统。晶片上的照射斑点或光斑一般被选择成远大于光栅。通常的值是例如,投影在晶片上的斑点或光斑直径为30μm,光栅尺寸为10×10μm2。当照射斑小于光栅时,例如在划线中具有相对大的光栅的情况下,本实施方式仍然是有效的。
图8示出从第一方向和第二镜反射方向入射到衬底上的光线。实线箭头表示源自照射平面714中的点716的光线。虚线箭头表示源自照射平面714中的点716’的光线。衬底W具有被产品区域802围绕的目标光栅30。实线箭头以下述入射角照射光栅:即,使得它们沿基本上呈水平方向的方向沿衬底表面传播,其中衬底位于固定位置处。虚线箭头以相同的入射角照射光栅:即,使得它们沿基本上呈相反的水平方向的方向沿衬底表面传播。
图9示出入射到衬底W上的目标光栅30上的两束光束和所得到的散射衍射级。实线箭头902表示源自照射平面714中的点716的光线。实线箭头-1、0以及+1分别表示由入射束902产生的散射的负的第一级、零级以及正的第一级衍射束。虚线箭头902’表示源自照射平面714的点716’的光线。虚线箭头-1’、0’以及+1’分别表示由入射束902’产生的散射的负的第一级、零级以及正的第一级衍射束。每个散射束具有光波长带,因为使用白光源。例如如果光从不同的左侧壁和右侧壁不同地反射,光栅的不对称将不同地影响束+1’和-1的光谱。如果在光栅中不存在不对称,则这些束+1’和-1将具有相同的光谱轮廓。对+1’和-1束的光谱分量中的差异的分析被用于本发明的实施例中、用于确定目标光栅的不对称性。在重叠目标中堆叠光栅的情况下,对堆叠的光栅之间的重叠误差的衍射级的影响与不对称的单个光栅的影响类似。随后,对+1’和-1束的光谱分量中的差异的分析被用于本发明的实施例中,以确定重叠目标中堆叠光栅中的重叠误差。
本发明的实施例选择性地检测束0’、+1’、-1以及0中的两个或多个束的性质(例如,作为波长的函数的强度)以确定目标的不对称性质。例如,堆叠重叠目标中的重叠误差可以通过对比+1’和-1束来确定,单个光栅中的不对称可以通过对比+1’和-1束来确定,以及单个或堆叠目标结构中的不对称可以通过检测0’、+1’、-1以及0束并使用重构来确定。
再次参照图7,被目标光栅30和周围产品区域(图8中的802)散射的光通过透镜L3被准直,并且双焦阑系统L3和L4在视场光阑FS上形成放大的光栅和产品环境的图像。视场光阑FS被放置在物镜L3的图像平面处。视场光阑FS的用途是为了限制中间图像的空间尺寸并用以抑制检测光学元件中的杂散光。因此,空间滤光片空间地过滤从衬底的邻近目标的表面上散射的辐射,以选择被目标散射的辐射。
透镜L4和L5将散射光的光瞳平面PP重新成像到消色差的四分之一楔形件QW(quadrature wedge)上。光瞳平面的图像718具有散射光的四个分量0、-1、0’以及+1’。四分之一楔形件QW沿四个不同方向改变光瞳平面718的四个象限内的光。因此,四分之一楔形件QW是配置成单独地改变从衬底散射的辐射的衍射级方向的光学装置。四分之一楔形件QW可以包括四个楔形件。四分之一楔形件QW的结果是,透镜L6在图像平面内生成被孔径光阑FS透射的光的四个空间分离的子图像720。四个子图像720的每一个是视场光阑FS的宽度WFS。在每个子图像的中心方形表示目标光栅并且被产品电路围绕。虽然目标光栅被图中示出为方形,但是其可以是其他形状,例如矩形。图像720包括两个对角地相反的图像,包含零级图像0和0’,并且两个剩余的对角地相反的图像包含负的第一级和正的第一级图像-1和+1’。本领域技术人员将认识到,图像平面内的四个子图像的每一个的布置将依赖于楔形件的布置。因此可以使用楔形件和/或一个或多个透镜L6的不同的相对取向来实现子图像的其他布置。此外,子图像不需要布置在相同的平面上。
当使用白光时,四分之一楔形件是消色差的,否则图像偏移将变成与颜色相关。消色差的楔形件可以制成透射形式,但是反射型的楔形件也是合适的,因为它们是固有地消色差的。
现在使用四个多模检测光纤MF捕获光栅的两个零级强度分量和正的第一级和负的第一级强度分量。因此,光纤是捕获装置,其配置成捕获一个或多个单独地改变方向的衍射级。这就是“选择区域”检测,其抑制来自产品环境的光。光纤相对于透镜的位置配置成捕获每个子图像720对应目标光栅的选择区域。可选地,可以使用压电微型操纵器用于对传感器中的动态调节。
多模光纤通常具有200μm的芯直径,并且该直径小于光栅的图像,以便优先于被周围产品区域散射的光而选择被光栅散射的光。如果光栅长度为10μm,则本实施例中透镜系统L3、L4、L5以及L6的放大率至少为40。
楔形件角度是充分大以允许四个子图像720的完全的分离。如果分离距离太小,则图像将重叠,这引起来自产品区域的进入光栅区域的串扰。
被检测光纤捕获的宽带光被传递至四个分光计,它们优选名义上是一致的。这四个分光计同时地且并行地测量两个零级I0′(λ)和I0(λ)和正的第一级I+1′(λ)和负的第一级I-1(λ)作为波长的函数。对于重叠量测,通常的波长范围可以是400-800nm,光谱分辨率为5nm。这得到每个光谱80个像素,因而总计大约320个样品。可以在非常短的获取时间内取得这种在宽带光源中的多个波长λ条件下的测量,这允许实现高的产出。
在其他的时间复用的实施例中,可以使用少于四个分光计,并且对于每次测量、多于一个的单独捕获的衍射级一次一级地被引导至分光计,作为波长的函数。
对于一个给定的入射角测量四个信号。本领域技术人员将认识到,通过改变在照射光瞳平面706内的照射斑708的位置,可以对更多的入射角重复上述过程。
现在可以在处理器PU内使用该组测量的光谱、以计算目标光栅的不对称性质。
如在基于衍射的重叠方法中,不对称性质,例如计算的重叠误差(对于具有多于一个的叠加的光栅堆叠的重叠目标)和不对称(对于单个光栅)可以通过对比测量的+1’和-1光谱来确定。
图10示出替换的实施方式。与图7中相同的元件用相同的附图标记表示。代替使用白光源,使用单波长光源1002。单波长光源可以是可调的或可切换的,以提供多个波长。单波长用λT表示。对于每个单波长,图像“720”此时被投影到诸如CCD照相机等检测器上,其测量通过负的第一级、正的第一级和零级而形成的多个图像的强度。在该实施方式中,在处理单元PU上执行的图案识别软件模块1004被用于识别光栅图像所处的位置并且提取在波长λT条件下的两个零级I0′T)和I0T)和正的第一级I+1′T)和负的第一级I-1T)的强度。因此调节波长λT,并且测量被连续地重复以确定在多个单波长λT条件下的两个零级I0′(λ)和I0(λ)和正的第一级I+1′(λ)和负的第一级I-1T)的强度。
诸如不对称结构参数(对于单光栅)和/或光栅形状(对于单光栅或堆叠的光栅重叠目标)等不对称性质可以使用重构来确定。这使用与参照图5和6描述的方法类似的方法来实现。然而,代替模型化的和测量的衍射图案,测量和模型化作为对于零级和第一级的波长的函数的强度(和/或偏振)。为了模型化不对称性,限定目标结构的参数pi(p1、p2、p3等)的参数化模型的“模型方案”包括与目标光栅不对称性相关的参数。这些参数是不同的左侧壁角和右侧壁角以及顶层盖层(rooftop)的形状。模型计算作为照射波长的函数的所测量的强度(和/或偏振)参数。
图11中示出使用对称和不对称参数时的模型化的方法。
1102:将不对称参数设置为零。
1104:使用对称参数重构直到达到收敛。
1106:固定对称参数,因此这些参数不被修改。
1108:使用不对称参数重构直到达到收敛。
1110:固定不对称参数,因此它们不被修改。
对于对称参数的小的更新执行步骤1104至1108的另一次迭代。
在另一实施例中,以与参照图4描述的方式类似的方式加入参照支路以补偿强度波动。这种方法可以涉及加入另一分光计。
上面参照图7和10描述的多个实施例具有点镜像照射束。这提供高的测量速度。然而还可以仅使用顺序地切换至点镜像位置的一个输入束来实现其他实施例。
虽然参照图7和10描述了衍射光的强度(作为频率的函数)的测量和模型化,但是本发明的多个实施例还包括使用合适的椭圆偏光(ellipsometric)技术和测定偏振技术的作为频率的函数的偏振状态的测量和模型化。
本发明的多个实施例的优点包括:
它们允许在管芯内光栅上的过程鲁棒的重叠量测;
对于并行的数据采集使用多个分光计允许高的产出;
零级散射光的测量允许CD量测以及不对称性。
下面参照图12至15描述其他实施例。代替参照图10描述的消色差的四分之一楔形件QW,对于特定的各个角光谱可以使用多个单楔形件MSW。
图12示出根据本发明一个实施例的检查设备,其具有可调的光源和CCD检测器、用于从x和y目标周期性产生的级的分离。在图12中,与图7和10中共同的元件具有相同的附图标记。对于图10的实施例,使用单波长光源1002。单波长光源是可调的或可切换的、以提供多个波长。单波长还用λT表示。
在照射器的出口处的照射光瞳706具有一个光斑1208。单个光斑被定位在照射光瞳内,使得波长和目标光栅的节距(沿目标周期性的x方向和y方向)的组合导致从晶片沿x和y散射的第一衍射级在光瞳平面1218的图像内分离地定位。下面参照图13描述上述过程。这种定位允许通过多个单楔形件MSW分离从晶片沿x和y散射的第一衍射级,如下文描述的。
通过“图像复制-旋转”装置710发射笔形射束。这种复制动作的结果是,此时用两个相同的相对于原点点镜像(且具有基本上为零的空间频率)的单波长光源1216、1216’照射照射光瞳平面714。与前面一样,这在整个目标上提供良好地限定的照射入射角,这便于光栅重构。基于这个原因,点光源的尺寸保持小。
透镜L1和L2形成双焦阑系统,其将照射光瞳成像到高NA(数值孔径)透镜L3的光瞳平面。该物镜L3照射目标30,目标30可以是具有在多个方向上是周期性的区域的复合光栅,例如具有如图15a所示的分离的x光栅和y光栅。替换地,x和y周期性可以是相同的二维光栅,例如具有矩形或圆形的阵列。目标可以被未知的产品图案包围。透镜L1、L2以及L3因此形成经由物镜照射目标的光学系统。晶片上的照射斑通常被选择成远大于目标光栅。通常的值是例如投射在晶片上的30μm的光斑直径和光栅尺寸为10×10μm2。该实施方式在照射斑小于光栅尺寸(例如在划线内具有相对大的光栅)时将仍然是可行的。
被目标光栅30和周围产品区域散射的光通过透镜L3准直,并且双焦阑系统L3和L4在视场光阑FS上形成光栅和产品环境的放大的图像。视场光阑FS被放置在物镜L3的图像平面处。视场光阑FS的用途是为了限制中间图像的空间范围并抑制检测光学元件内的杂散光。因此空间滤光片过滤从衬底的邻近目标的表面散射的辐射,以选择被目标散射的辐射。
透镜L4和L5将散射光的光瞳平面PP重新成像到多个单楔形件光学装置MSW上。该光瞳平面的图像1218具有散射光的六个分量,0′、+1y、+1′x、0、-1′y以及-1x。多单楔形件光学装置MSW拦截或抛弃零级衍射光0’和0,并沿四个不同的各个方向改变+1y、+1′x、-1′y以及-1x级光的方向。因此,多单楔形件MSW是配置成分离地或单独地改变从衬底散射的辐射的衍射级方向的光学装置。此外,其配置成分离从衬底沿多个周期性方向散射的辐射的衍射级,在本示例中时沿x和y方向。
可以分出零级辐射并将其引导至光学系统的另一支路,或引导至图像传感器CCD的另一不使用的部分,用于校正强度变化的强度测量。因此,例如可以在与光谱测量相同的时间或在测量每批晶片衬底的开始时刻测量零级强度。
图14示出楔形结构QW和MSW以及在传感器处的目标的最终图像1420和1220。多单楔形件MSW可以包括四个楔形件,如图14a所示。平面视图中的形状不限于图14a中示出的形状,其由球面透镜上研磨平面得出。
回到图12,作为多单楔形件MSW的配置结果,透镜L6在图像平面IP内形成光的四个子图像1220,其中所述光被孔径光阑FS透射,空间分离由在x和y上的目标周期性产生的多个级。这些在图14b中示出并且在图15b中放大,其中用阴影线绘出以与多单楔形件MSW的相应的楔形件匹配。例如,图14a中的+1′x楔形件得出子图像,其具有图14a中相应的阴影部分并在图15b中用+1′x -d和+1′x +d表示。包括一对具有相同阴影划线的方形的每个子图像表示目标光栅。周围的产品电路已经通过视场光阑FS而被空间滤除。虽然目标光栅被图示为方形,但是它们可以是其他形状,例如矩形。图像1220包括目标的从+1y、+1′x、-1′y以及-1x级得出的空间重叠图像。因而,多单楔形件光学装置MSW配置成将分离的衍射级投影到一个或多个检测器,以通过不同的分离的衍射级形成目标的空间重叠图像。
本领域技术人员应该认识到,图像平面内四个子图像的每一个的布置将依赖于楔形件的布置。因此通过使用楔形件的不同的相对取向和/或一个或更多的透镜L6可以实现子图像的其他布置。此外,子图像不需要布置在相同的平面上。
图12、14b以及15b示出的子图像1220的布置的优点在于,可以使用更多的检测传感器CCD(更多像素),由此允许与根据参照图10描述的实施例的通过四方楔形件QW(如图14a所示)产生的空间分离的子图像(在图14b中为1420)相比,改进了TMU和减少了测量时间。在该示例中,子场的重叠提供偏置的复合光栅的图像的有效布置。应该认识到,在有效地覆盖图像传感器的同时对合适的具体目标布局的子图像的其他重叠或嵌合布置是可以想到的。
多单楔形件MSW可以是消色差的、以避免随波长变化的图像偏移。可以以透射的形式形成消色差的楔形件,但是反射型楔形件也是合适的,因为它们固有地消色差。
对于每个单波长λT,此时图像1220被投影到诸如CCD照相机等检测器上,其测量通过负的第一级和正的第一级形成的图像的强度。在该实施例中,在处理单元PU上执行的图案识别软件模块1004被用于识别光栅图像所处的位置并在波长λT条件下提取+1y、+1′x、-1′y以及-1x级的强度I+1yT)、I+1′xT)、I-1′yT)以及I-1xT)。因此调节波长λT,并且测量被连续地重复以确定在多个单波长λT条件下的强度I+1yT)、I+1′xT)、I-1′yT)以及I-1xT)。
图13示出由x和y目标周期性得出的多个级的光瞳平面内的分离。参照图13a,仅考虑一个照射斑1216。这导致光瞳平面图像1218为零级光斑0。由沿y方向上的周期性得出的衍射导致正的第一级光斑+1y在y方向上相对于光瞳平面图像1218内的零级光斑偏移一个距离,该距离是照射波长λ和y方向上的光栅节距p的函数。负的第一级光斑-1y落在光瞳图像的外侧并且没出现。
由沿x方向上的周期性得出的衍射导致负的第一级光斑-1x沿x方向相对于零级光斑偏移一个距离,该距离是照射波长λ和x方向上的光栅节距的函数。在该示例中,沿x方向的光栅节距为p,与y方向的相同,但是其可以与y方向上的节距不同。正的第一级光斑+1x落在光瞳图像的外侧,并且没出现。
图13b示出使用两个点光源1216和1216’、通过如图12所示的图像复制和旋转装置170的动作照射的照射光瞳平面714。以与图13a所述相同的方式,照射斑1216’得出光瞳平面图像1218中的衍射级+1′x和-1′y
图15示出复合目标结构1502和目标子图像1220在传感器处的有效重叠的放大的视图。在目标结构1502中,每个目标的周期性分别通过x和y表示,并且分别用垂直和水平阴影表示(不按比例)。上标-d和+d表示应用至每个光栅的重叠偏差(overlay bias),如已知专利出版物US2010/0328655中描述的使用偏斜的复合光栅计算重叠偏移。
使用重构可确定不对称性质,例如不对称结构参数(对于单个光栅)和/或光栅形状(对于单个光栅或堆叠的光栅重叠目标)。这可以通过与参照图5和6描述的类似的方法实现。然而,代替模型化和测量衍射图案,测量和模型化第一级的作为波长的函数的强度(和/或偏振)。为了模型化不对称性,限定目标结构的参数pi(p1、p2、p3等)的参数化模型的“模型方案”包括与目标光栅不对称相关的参数。这些参数是不同的左侧壁角和右侧壁角以及顶层盖层形状。该模型计算作为照射波长的函数的测量强度(和/或偏振)参数。
与参照图7和10描述的实施例相同,图11示出当使用对称和不对称参数时模型化的方法。
这里所述的检查实施例的检查设备和方法可以用在器件制造的方法中,并且可以并入光刻设备中和光刻处理单元中。
尽管在本文中可以做出具体的参考,将所述光刻设备用于制造IC,但应当理解这里所述的检查设备可以有其他的应用,例如,集成光学系统、磁畴存储器的引导和检测图案、平板显示器、液晶显示器(LCD)、薄膜磁头等的制造。本领域技术人员应该理解的是,在这种替代应用的情况中,可以将其中使用的任意术语“晶片”或“管芯”分别认为是与更上位的术语“衬底”或“目标部分”同义。这里所指的衬底可以在曝光之前或之后进行处理,例如在轨道(一种典型地将抗蚀剂层涂到衬底上,并且对已曝光的抗蚀剂进行显影的工具)、量测工具和/或检验工具中。在可应用的情况下,可以将所述公开内容应用于这种和其它衬底处理工具中。另外,所述衬底可以处理一次以上,例如以便产生多层IC,使得这里使用的所述术语“衬底”也可以表示已经包含多个已处理层的衬底。
虽然上面详述了本发明的实施例在光刻设备的应用,应该注意到,本发明可以有其它的应用,例如压印光刻,并且只要情况允许,不局限于光学光刻。在压印光刻中,图案形成装置中的拓扑限定了在衬底上产生的图案。可以将所述图案形成装置的拓扑印刷到提供给所述衬底的抗蚀剂层中,在其上通过施加电磁辐射、热、压力或其组合来使所述抗蚀剂固化。在所述抗蚀剂固化之后,所述图案形成装置从所述抗蚀剂上移走,并在抗蚀剂中留下图案。
这里使用的术语“辐射”和“束”包含全部类型的电磁辐射,包括:紫外(UV)辐射(例如具有约365、355、248、193、157或126nm的波长)或极紫外(EUV)辐射(例如具有5-20nm范围的波长),以及粒子束,例如离子束或电子束。
在允许的情况下术语“透镜”可以表示不同类型的光学构件中的任何一种或其组合,包括折射式的、反射式的、磁性的、电磁的和静电的光学构件。
尽管以上已经描述了本发明的具体实施例,但应该认识到,本发明可以以与上述不同的方式来实现。例如,本发明可以采用包含用于描述一种如上面公开的方法的一个或更多个机器可读指令序列的计算机程序的形式,或具有存储其中的所述计算机程序的数据存储介质(例如半导体存储器、磁盘或光盘)的形式。
上述说明书是示例性的而非限制性的。因此,在不脱离权利要求的保护范围的情况下对本发明进行修改对本领域技术人员是显而易见的。

Claims (21)

1.一种用于确定衬底上目标的不对称性质的检查设备,所述目标在衬底的平面内是周期性的,所述检查设备包括:
照射系统,配置成提供多个波长的辐射;
光学系统,包括物镜并配置成用来自第一方向和第二方向的辐射经由物镜照射所述目标,所述第一方向和第二方向相对于衬底的平面成镜像反射关系;
光学装置,配置成分别地改变由所述衬底散射的辐射的衍射级的方向;
一个或多个检测器,配置成在所述多个波长条件下测量被分别地改变方向的衍射级的性质;和
处理器,配置成使用在所述多个波长条件下所测量的性质确定目标的不对称性质。
2.如权利要求1所述的检查设备,其中,所述照射系统配置成提供相对于物镜的光瞳平面呈点镜像关系的两个辐射束。
3.如权利要求1或2所述的检查设备,其中,所述光学装置配置成分离通过来自第一和第二方向中的每一个方向的照射而从所述衬底散射的辐射的衍射级。
4.如权利要求3所述的检查设备,其中,所述目标在多个周期性方向上是周期性的,并且所述光学装置配置成分离在所述多个周期性方向上从所述衬底散射的辐射的衍射级。
5.如权利要求4所述的检查设备,其中,所述光学装置配置成将分离的衍射级投影到所述一个或更多个检测器,以形成由不同的分离的衍射级得出的所述目标的空间重叠的图像。
6.如前述权利要求中任一项所述的检查设备,其中,所述照射系统包括宽带光源。
7.如前述权利要求中任一项所述的检查设备,还包括位于物镜的图像平面处的空间滤光片,配置成对从衬底的邻近所述目标的表面散射的辐射进行空间滤波,以选择被所述目标散射的辐射。
8.如前述权利要求中任一项所述的检查设备,其中,所述光学装置位于物镜的光瞳平面处。
9.如前述权利要求中任一项所述的检查设备,其中,所述光学装置包括四个楔形件,所述四个楔形件配置成分别地改变来自四个象限中每一个象限的辐射的方向。
10.如权利要求1-8中任一项所述的检查设备,其中,所述目标在多个周期性方向上是周期性的,并且所述光学装置包括多个表面,每一个表面对应沿所述多个周期性方向从所述衬底散射的辐射的相应的衍射级。
11.如前述权利要求中任一项所述的检查设备,其中,所述光学装置是消色差的。
12.如前述权利要求中任一项所述的检查设备,还包括捕获装置,所述捕获装置配置成捕获被分别地改变方向的衍射级中的一个或更多个。
13.如权利要求12所述的检查设备,其中,所述捕获装置包括一个或更多个光纤。
14.如权利要求12或13所述的检查设备,其中,所述捕获装置配置成对从衬底散射的辐射进行空间滤波以选择被所述目标散射的辐射。
15.如前述权利要求中任一项所述的检查设备,其中,所测量的性质包括在所述多个波长条件下的强度。
16.如前述权利要求中任一项所述的检查设备,其中,所述检测器包括分光计。
17.如前述权利要求中任一项所述的检查设备,其中,所测量的性质包括作为波长的函数的偏振。
18.一种确定衬底上的目标的不对称性质的方法,所述目标在衬底的平面内是周期性的,所述方法包括:
提供多个波长的辐射;
用来自第一方向和第二方向的辐射、经由物镜照射所述目标,所述第一方向和第二方向相对于衬底的平面成镜像反射关系;
分别地改变由所述衬底散射的辐射的衍射级的方向;
用一个或更多个检测器在多个波长条件下测量被分别地改变方向的衍射级的性质;和
使用在多个波长条件下测量的性质确定目标的不对称性质。
19.一种光刻设备,包括:
照射系统,布置成照射图案;
投影系统,布置成将所述图案的图像投影到衬底上;和
检查设备,用于确定衬底上的目标的不对称性质,所述目标在衬底的平面内是周期性的,所述检查设备包括:
照射系统,配置成提供多个波长的辐射;
光学系统,包括物镜并且配置成用来自第一方向和第二方向的辐射、经由物镜照射所述目标,所述第一方向和第二方向相对于衬底的表面成镜像反射关系;
光学装置,配置成分别地改变由所述衬底散射的辐射的衍射级的方向;
一个或更多个检测器,配置成在所述多个波长条件下测量被分别地改变方向的衍射级的性质;和
处理器,配置成使用在所述多个波长条件下测量的性质确定目标的不对称性质。
20.一种光刻单元,包括:
涂覆器,布置成将衬底涂覆以辐射敏感层;
光刻设备,布置成将图像曝光到衬底的通过涂覆器涂覆的辐射敏感层上;
显影器,布置成将通过光刻设备曝光的图像显影;和
检查设备,用于确定衬底上的目标的不对称性质,所述目标在衬底的平面内是周期性的,所述检查设备包括:
照射系统,配置成提供多个波长的辐射;
光学系统,包括物镜并配置成用来自第一方向和第二方向的辐射、经由物镜照射所述目标,所述第一方向和第二方向相对于衬底的平面成镜像反射关系;
光学装置,配置成分别地改变由所述衬底散射的辐射的衍射级的方向;
一个或更多个检测器,配置成在所述多个波长条件下测量被分别地改变方向的衍射级的性质;和
处理器,配置成使用在所述多个波长条件下测量的性质确定目标的不对称性质。
21.一种器件制造方法,包括:
使用光刻设备在衬底上形成图案;和
通过下列步骤确定与所述图案的参数相关的值:
提供多个波长的辐射;
用来自第一方向和第二方向的辐射、经由物镜照射使用光刻设备形成的目标,所述第一方向和第二方向相对于衬底的平面成镜像反射关系;
分别地改变由所述衬底散射的辐射的衍射级的方向;
使用一个或更多个检测器在所述多个波长条件下测量被分别地改变方向的衍射级的性质;和
使用在所述多个波长条件下测量的性质来确定目标的不对称性质。
CN201210026185.4A 2011-02-11 2012-02-07 检查设备和方法、光刻设备和处理单元、器件制造方法 Active CN102636963B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201161442145P 2011-02-11 2011-02-11
US61/442,145 2011-02-11

Publications (2)

Publication Number Publication Date
CN102636963A true CN102636963A (zh) 2012-08-15
CN102636963B CN102636963B (zh) 2014-11-26

Family

ID=46467085

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201210026185.4A Active CN102636963B (zh) 2011-02-11 2012-02-07 检查设备和方法、光刻设备和处理单元、器件制造方法

Country Status (7)

Country Link
US (1) US9223227B2 (zh)
JP (1) JP5280555B2 (zh)
KR (1) KR101341077B1 (zh)
CN (1) CN102636963B (zh)
IL (1) IL217843A (zh)
NL (1) NL2008197A (zh)
TW (1) TWI470373B (zh)

Cited By (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105190446A (zh) * 2013-05-07 2015-12-23 Asml荷兰有限公司 对准传感器、光刻设备和对准方法
CN105359039A (zh) * 2013-07-03 2016-02-24 Asml荷兰有限公司 检验设备和方法、光刻设备、光刻处理单元以及器件制造方法
CN106415249A (zh) * 2014-06-26 2017-02-15 科磊股份有限公司 在晶片检验期间确定定位于收集孔隙中的光学元件的配置
CN107003624A (zh) * 2014-12-15 2017-08-01 Asml控股股份有限公司 用于光瞳对称化的方法和设备
CN107743596A (zh) * 2015-06-23 2018-02-27 科磊股份有限公司 确定多重图案化步骤叠加误差
CN109073997A (zh) * 2016-03-01 2018-12-21 Asml荷兰有限公司 用于确定图案化工艺的参数的方法和设备
CN109923476A (zh) * 2016-09-01 2019-06-21 Asml荷兰有限公司 量测目标测量选配方案的自动选择
CN110109322A (zh) * 2018-02-01 2019-08-09 三星电子株式会社 利用具有补偿的光信号来执行掩模图案测量的系统和方法
CN110622068A (zh) * 2017-04-14 2019-12-27 Asml荷兰有限公司 测量方法
CN110631510A (zh) * 2019-09-12 2019-12-31 中国科学院西安光学精密机械研究所 一种基于迈克尔逊结构的高精度测角装置及测角方法
CN111025854A (zh) * 2019-12-23 2020-04-17 中国科学院长春光学精密机械与物理研究所 一种混和式投影物镜、投影曝光设备及成像系统
CN111133384A (zh) * 2017-09-22 2020-05-08 Asml荷兰有限公司 用于确定图案化过程参数的方法
CN111279268A (zh) * 2017-10-26 2020-06-12 Asml荷兰有限公司 确定所关注的参数的值的方法、清除包含关于所关注的参数的信息的信号的方法
CN111566564A (zh) * 2018-01-02 2020-08-21 科磊股份有限公司 基于衍射的叠加散射测量
CN111637849A (zh) * 2020-05-29 2020-09-08 上海精测半导体技术有限公司 一种形貌参数测量方法、装置及测量设备
CN111948239A (zh) * 2015-04-28 2020-11-17 科磊股份有限公司 计算上高效的基于x射线的叠盖测量系统与方法
CN112859528A (zh) * 2019-11-28 2021-05-28 上海微电子装备(集团)股份有限公司 一种套刻误差测量装置及测量方法
CN113838729A (zh) * 2016-10-18 2021-12-24 科磊股份有限公司 用于x射线散射测量系统的全光束度量
TWI780419B (zh) * 2019-03-20 2022-10-11 美商卡爾蔡司Smt公司 使用一斷層攝影x光顯微鏡以成像一樣品之一關注區域的方法、顯微鏡、系統與電腦程式

Families Citing this family (53)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101429629B1 (ko) * 2009-07-31 2014-08-12 에이에스엠엘 네델란즈 비.브이. 계측 방법 및 장치, 리소그래피 시스템, 및 리소그래피 처리 셀
WO2013143814A1 (en) 2012-03-27 2013-10-03 Asml Netherlands B.V. Metrology method and apparatus, lithographic system and device manufacturing method
NL2011181A (en) * 2012-08-16 2014-02-18 Asml Netherlands Bv Method and apparatus for measuring asymmetry of a microstructure, position measuring method, position measuring apparatus, lithographic apparatus and device manufacturing method.
NL2011816A (en) * 2012-11-30 2014-06-04 Asml Netherlands Bv Method of determining dose and focus, inspection apparatus, patterning device, substrate and device manufacturing method.
US8869081B2 (en) * 2013-01-15 2014-10-21 International Business Machines Corporation Automating integrated circuit device library generation in model based metrology
KR102094974B1 (ko) 2013-03-08 2020-03-30 삼성전자주식회사 오버레이 계측 방법
US9619878B2 (en) * 2013-04-16 2017-04-11 Kla-Tencor Corporation Inspecting high-resolution photolithography masks
WO2014200648A2 (en) * 2013-06-14 2014-12-18 Kla-Tencor Corporation System and method for determining the position of defects on objects, coordinate measuring unit and computer program for coordinate measuring unit
US10079183B2 (en) * 2013-06-26 2018-09-18 Kla-Tenor Corporation Calculated electrical performance metrics for process monitoring and yield management
KR102069253B1 (ko) * 2013-07-18 2020-01-22 케이엘에이 코포레이션 스캐터로메트리 측정들을 위한 조명 구성들
US9719920B2 (en) 2013-07-18 2017-08-01 Kla-Tencor Corporation Scatterometry system and method for generating non-overlapping and non-truncated diffraction images
KR102124204B1 (ko) * 2013-08-07 2020-06-18 에이에스엠엘 네델란즈 비.브이. 메트롤로지 방법 및 장치, 리소그래피 시스템 및 디바이스 제조 방법
US9418819B2 (en) 2013-09-06 2016-08-16 Kla-Tencor Corporation Asymmetrical detector design and methodology
US10152998B2 (en) * 2014-04-07 2018-12-11 Seagate Technology Llc Features maps of articles with polarized light
JP6393397B2 (ja) 2014-06-30 2018-09-19 エーエスエムエル ネザーランズ ビー.ブイ. リソグラフィ装置の照射線量決定方法、検査装置およびデバイス製造方法
US10883924B2 (en) * 2014-09-08 2021-01-05 The Research Foundation Of State University Of New York Metallic gratings and measurement methods thereof
WO2016124345A1 (en) * 2015-02-04 2016-08-11 Asml Netherlands B.V. Metrology method, metrology apparatus and device manufacturing method
CN106547171B (zh) * 2015-09-17 2019-01-18 上海微电子装备(集团)股份有限公司 一种用于光刻装置的套刻补偿系统及方法
WO2017076702A2 (de) * 2015-11-05 2017-05-11 Carl Zeiss Smt Gmbh Verfahren und vorrichtung zur charakterisierung eines durch wenigstens einen lithographieschritt strukturierten wafers
DE102015221773A1 (de) * 2015-11-05 2017-05-11 Carl Zeiss Smt Gmbh Verfahren und Vorrichtung zur Charakterisierung eines durch wenigstens einen Lithographieschritt strukturierten Wafers
US10437158B2 (en) * 2015-12-31 2019-10-08 Asml Netherlands B.V. Metrology by reconstruction
WO2017144270A1 (en) 2016-02-26 2017-08-31 Asml Netherlands B.V. Method of measuring a structure, inspection apparatus, lithographic system and device manufacturing method
WO2017207269A1 (en) * 2016-06-03 2017-12-07 Asml Holding N.V. Alignment system wafer stack beam analyzer
US10223496B2 (en) 2016-11-21 2019-03-05 International Business Machines Corporation Triple and quad coloring shape layouts
EP3336605A1 (en) * 2016-12-15 2018-06-20 ASML Netherlands B.V. Method of measuring a structure, inspection apparatus, lithographic system and device manufacturing method
EP3336607A1 (en) * 2016-12-16 2018-06-20 ASML Netherlands B.V. Method of measuring a property of a substrate, inspection apparatus, lithographic system and device manufacturing method
WO2018128984A1 (en) * 2017-01-03 2018-07-12 Kla-Tencor Corporation Diffraction based overlay scatterometry
US10732516B2 (en) * 2017-03-01 2020-08-04 Kla Tencor Corporation Process robust overlay metrology based on optical scatterometry
KR102326192B1 (ko) * 2017-05-03 2021-11-15 에이에스엠엘 네델란즈 비.브이. 계측 파라미터 결정 및 계측 레시피 선택
WO2018202388A1 (en) * 2017-05-03 2018-11-08 Asml Netherlands B.V. Metrology parameter determination and metrology recipe selection
US10732515B2 (en) 2017-09-27 2020-08-04 Kla-Tencor Corporation Detection and measurement of dimensions of asymmetric structures
TW201923332A (zh) 2017-10-10 2019-06-16 荷蘭商Asml荷蘭公司 度量衡方法和設備、電腦程式及微影系統
KR102387947B1 (ko) 2017-11-21 2022-04-18 삼성전자주식회사 오버레이 패턴을 갖는 반도체 소자
EP3514628A1 (en) * 2018-01-18 2019-07-24 ASML Netherlands B.V. Method of measuring a target, and metrology apparatus
KR20200096843A (ko) 2018-01-17 2020-08-13 에이에스엠엘 네델란즈 비.브이. 타겟 측정 방법, 및 계측 장치
EP3528047A1 (en) * 2018-02-14 2019-08-21 ASML Netherlands B.V. Method and apparatus for measuring a parameter of interest using image plane detection techniques
IL277294B1 (en) * 2018-03-19 2024-01-01 Kla Corp Spread measurement using multiple wavelengths
KR102527672B1 (ko) * 2018-04-06 2023-04-28 에이에스엠엘 네델란즈 비.브이. 비선형 광학계를 갖는 검사 장치
NL2021848A (en) * 2018-04-09 2018-11-06 Stichting Vu Holographic metrology apparatus.
WO2019236084A1 (en) * 2018-06-07 2019-12-12 Kla-Tencor Corporation Overlay measurement using phase and amplitude modeling
EP3811154A4 (en) * 2018-08-28 2022-04-06 Kla-Tencor Corporation OVERLAY MEASUREMENT WITH OFF-AXIAL ILLUMINATION USING DUAL DIFFRACTION ORDER IMAGING
WO2020043582A1 (en) 2018-08-29 2020-03-05 Asml Holding N.V. Compact alignment sensor arrangements
EP3853666B1 (en) 2018-09-19 2022-08-10 ASML Netherlands B.V. Metrology sensor for position metrology
US10996177B2 (en) * 2019-07-03 2021-05-04 The Boeing Company Automated inspection system for composite structures
JP7365510B2 (ja) 2020-01-29 2023-10-19 エーエスエムエル ネザーランズ ビー.ブイ. 基板上の周期構造を測定するための計測方法およびデバイス
EP3876037A1 (en) * 2020-03-06 2021-09-08 ASML Netherlands B.V. Metrology method and device for measuring a periodic structure on a substrate
WO2021216032A1 (en) * 2020-04-20 2021-10-28 Applied Materials, Inc. Methods and apparatus for correcting lithography systems
CN111766764A (zh) * 2020-06-24 2020-10-13 上海华力集成电路制造有限公司 一种套刻精度量测标记及其使用方法
KR20220005913A (ko) * 2020-07-07 2022-01-14 삼성전자주식회사 퓨필 이미지 기반 패턴 균일도 측정 장치와 방법, 및 그 측정 방법을 이용한 마스크 제조방법
US11604063B2 (en) * 2021-06-24 2023-03-14 Kla Corporation Self-calibrated overlay metrology using a skew training sample
WO2023104469A1 (en) * 2021-12-07 2023-06-15 Asml Netherlands B.V. Target asymmetry measurement for substrate alignment in lithography systems
EP4300193A1 (en) * 2022-06-27 2024-01-03 ASML Netherlands B.V. Focus measurment and control in metrology and associated wedge arrangement
US20240110780A1 (en) * 2022-09-30 2024-04-04 Kla Corporation Mosaic overlay targets

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06147827A (ja) * 1992-11-05 1994-05-27 Soltec:Kk 位置ずれ検出方法
CN101251718B (zh) * 2007-02-21 2010-09-01 Asml荷兰有限公司 检验方法和设备、光刻设备、光刻单元和器件制造方法
CN101819384A (zh) * 2009-02-11 2010-09-01 Asml荷兰有限公司 检验设备、光刻设备、光刻处理单元以及检验方法
CN101903832A (zh) * 2007-12-17 2010-12-01 Asml荷兰有限公司 基于衍射的重叠量测工具和方法

Family Cites Families (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4170401A (en) 1977-08-15 1979-10-09 The Perkin-Elmer Corporation Passive error compensating device for optical alignment
DE3174649D1 (en) 1981-11-25 1986-06-19 Ibm Deutschland Phase balancing of an optical wave front
JP2555051B2 (ja) * 1987-02-18 1996-11-20 株式会社日立製作所 パタ−ン検出方法及びその装置
US5703692A (en) * 1995-08-03 1997-12-30 Bio-Rad Laboratories, Inc. Lens scatterometer system employing source light beam scanning means
JP3575586B2 (ja) * 1997-11-12 2004-10-13 富士電機デバイステクノロジー株式会社 傷検査装置
JP2000275569A (ja) 1999-03-25 2000-10-06 Sumitomo Heavy Ind Ltd ビームモード変換光学系
US6628406B1 (en) 2000-04-20 2003-09-30 Justin L. Kreuzer Self referencing mark independent alignment sensor
US7068833B1 (en) * 2000-08-30 2006-06-27 Kla-Tencor Corporation Overlay marks, methods of overlay mark design and methods of overlay measurements
US6819434B2 (en) * 2002-01-28 2004-11-16 Zygo Corporation Multi-axis interferometer
DE60319462T2 (de) * 2002-06-11 2009-03-12 Asml Netherlands B.V. Lithographischer Apparat und Verfahren zur Herstellung eines Artikels
SG120949A1 (en) * 2002-09-20 2006-04-26 Asml Netherlands Bv Alignment system and methods for lithographic systems using at least two wavelengths
US7440105B2 (en) * 2002-12-05 2008-10-21 Kla-Tencor Technologies Corporation Continuously varying offset mark and methods of determining overlay
JP4074867B2 (ja) * 2003-11-04 2008-04-16 エーエスエムエル ネザーランズ ビー.ブイ. 第1及び第2位置合せマークの相対位置を計測する方法及び装置
JP4734261B2 (ja) * 2004-02-18 2011-07-27 ケーエルエー−テンカー コーポレイション 連続変化するオフセットマークと、オーバレイ決定方法
US7283236B2 (en) * 2004-07-02 2007-10-16 Asml Netherlands B.V. Alignment system and lithographic apparatus equipped with such an alignment system
US7791727B2 (en) * 2004-08-16 2010-09-07 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US7453577B2 (en) * 2004-12-14 2008-11-18 Asml Netherlands B.V. Apparatus and method for inspecting a patterned part of a sample
US7528953B2 (en) * 2005-03-01 2009-05-05 Kla-Tencor Technologies Corp. Target acquisition and overlay metrology based on two diffracted orders imaging
US7315384B2 (en) 2005-05-10 2008-01-01 Asml Netherlands B.V. Inspection apparatus and method of inspection
EP1744217B1 (en) 2005-07-12 2012-03-14 ASML Netherlands B.V. Method of selecting a grid model for correcting grid deformations in a lithographic apparatus and lithographic assembly using the same
US7362446B2 (en) * 2005-09-15 2008-04-22 Asml Netherlands B.V. Position measurement unit, measurement system and lithographic apparatus comprising such position measurement unit
JP2007114655A (ja) 2005-10-24 2007-05-10 Olympus Corp 均一照明装置
US7511826B2 (en) * 2006-02-27 2009-03-31 Asml Holding N.V. Symmetrical illumination forming system and method
WO2007116711A1 (ja) 2006-03-29 2007-10-18 Nikon Corporation 計測方法、計測装置及び処理装置、並びにパターン形成方法及びデバイス製造方法
US7692792B2 (en) * 2006-06-22 2010-04-06 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
JPWO2008007632A1 (ja) 2006-07-12 2009-12-10 株式会社ニコン 照明光学装置、露光装置、およびデバイス製造方法
US7656518B2 (en) 2007-03-30 2010-02-02 Asml Netherlands B.V. Method of measuring asymmetry in a scatterometer, a method of measuring an overlay error in a substrate and a metrology apparatus
DE102007029621A1 (de) 2007-06-26 2009-01-08 Universität Bielefeld Verfahren und Vorrichtung zur Stabilisierung eines Laserstrahls
NL1036179A1 (nl) * 2007-11-20 2009-05-25 Asml Netherlands Bv Lithographic apparatus and method.
NL1036886A1 (nl) 2008-05-12 2009-11-16 Asml Netherlands Bv A method of measuring a target, an inspection apparatus, a scatterometer, a lithographic apparatus and a data processor.
US9164397B2 (en) 2010-08-03 2015-10-20 Kla-Tencor Corporation Optics symmetrization for metrology
NL2010458A (en) * 2012-04-16 2013-10-17 Asml Netherlands Bv Lithographic apparatus, substrate and device manufacturing method background.

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06147827A (ja) * 1992-11-05 1994-05-27 Soltec:Kk 位置ずれ検出方法
CN101251718B (zh) * 2007-02-21 2010-09-01 Asml荷兰有限公司 检验方法和设备、光刻设备、光刻单元和器件制造方法
CN101903832A (zh) * 2007-12-17 2010-12-01 Asml荷兰有限公司 基于衍射的重叠量测工具和方法
CN101819384A (zh) * 2009-02-11 2010-09-01 Asml荷兰有限公司 检验设备、光刻设备、光刻处理单元以及检验方法

Cited By (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105190446B (zh) * 2013-05-07 2017-02-08 Asml荷兰有限公司 对准传感器、光刻设备和对准方法
CN105190446A (zh) * 2013-05-07 2015-12-23 Asml荷兰有限公司 对准传感器、光刻设备和对准方法
CN105359039B (zh) * 2013-07-03 2018-08-10 Asml荷兰有限公司 检验设备和方法、光刻设备、光刻处理单元以及器件制造方法
CN105359039A (zh) * 2013-07-03 2016-02-24 Asml荷兰有限公司 检验设备和方法、光刻设备、光刻处理单元以及器件制造方法
CN106415249B (zh) * 2014-06-26 2018-06-12 科磊股份有限公司 在晶片检验期间确定定位于收集孔隙中的光学元件的配置
US10215713B2 (en) 2014-06-26 2019-02-26 Kla-Tencor Corp. Determining a configuration for an optical element positioned in a collection aperture during wafer inspection
CN106415249A (zh) * 2014-06-26 2017-02-15 科磊股份有限公司 在晶片检验期间确定定位于收集孔隙中的光学元件的配置
CN107003624A (zh) * 2014-12-15 2017-08-01 Asml控股股份有限公司 用于光瞳对称化的方法和设备
CN107003624B (zh) * 2014-12-15 2018-11-06 Asml控股股份有限公司 用于光瞳对称化的方法和设备
CN111948239A (zh) * 2015-04-28 2020-11-17 科磊股份有限公司 计算上高效的基于x射线的叠盖测量系统与方法
CN111948239B (zh) * 2015-04-28 2024-01-12 科磊股份有限公司 计算上高效的基于x射线的叠盖测量系统与方法
CN107743596A (zh) * 2015-06-23 2018-02-27 科磊股份有限公司 确定多重图案化步骤叠加误差
CN109073997A (zh) * 2016-03-01 2018-12-21 Asml荷兰有限公司 用于确定图案化工艺的参数的方法和设备
CN109073997B (zh) * 2016-03-01 2021-12-07 Asml荷兰有限公司 用于确定图案化工艺的参数的方法和设备
CN109923476B (zh) * 2016-09-01 2021-11-19 Asml荷兰有限公司 量测目标测量选配方案的自动选择
CN109923476A (zh) * 2016-09-01 2019-06-21 Asml荷兰有限公司 量测目标测量选配方案的自动选择
CN113838729B (zh) * 2016-10-18 2023-01-17 科磊股份有限公司 用于x射线散射测量系统的全光束度量
CN113838729A (zh) * 2016-10-18 2021-12-24 科磊股份有限公司 用于x射线散射测量系统的全光束度量
CN110622068A (zh) * 2017-04-14 2019-12-27 Asml荷兰有限公司 测量方法
CN111133384A (zh) * 2017-09-22 2020-05-08 Asml荷兰有限公司 用于确定图案化过程参数的方法
CN111133384B (zh) * 2017-09-22 2022-04-15 Asml荷兰有限公司 用于确定图案化过程参数的方法
US11300883B2 (en) 2017-09-22 2022-04-12 Asml Netherlands B.V. Method to determine a patterning process parameter
CN111279268A (zh) * 2017-10-26 2020-06-12 Asml荷兰有限公司 确定所关注的参数的值的方法、清除包含关于所关注的参数的信息的信号的方法
CN111279268B (zh) * 2017-10-26 2022-04-01 Asml荷兰有限公司 确定所关注的参数的值的方法、清除包含关于所关注的参数的信息的信号的方法
CN111566564A (zh) * 2018-01-02 2020-08-21 科磊股份有限公司 基于衍射的叠加散射测量
CN110109322A (zh) * 2018-02-01 2019-08-09 三星电子株式会社 利用具有补偿的光信号来执行掩模图案测量的系统和方法
TWI780419B (zh) * 2019-03-20 2022-10-11 美商卡爾蔡司Smt公司 使用一斷層攝影x光顯微鏡以成像一樣品之一關注區域的方法、顯微鏡、系統與電腦程式
CN110631510A (zh) * 2019-09-12 2019-12-31 中国科学院西安光学精密机械研究所 一种基于迈克尔逊结构的高精度测角装置及测角方法
CN110631510B (zh) * 2019-09-12 2020-07-31 中国科学院西安光学精密机械研究所 一种基于迈克尔逊结构的高精度测角装置及测角方法
CN112859528A (zh) * 2019-11-28 2021-05-28 上海微电子装备(集团)股份有限公司 一种套刻误差测量装置及测量方法
CN111025854B (zh) * 2019-12-23 2021-05-14 中国科学院长春光学精密机械与物理研究所 一种混和式投影物镜、投影曝光设备及成像系统
CN111025854A (zh) * 2019-12-23 2020-04-17 中国科学院长春光学精密机械与物理研究所 一种混和式投影物镜、投影曝光设备及成像系统
CN111637849B (zh) * 2020-05-29 2021-11-26 上海精测半导体技术有限公司 一种形貌参数测量方法、装置及测量设备
CN111637849A (zh) * 2020-05-29 2020-09-08 上海精测半导体技术有限公司 一种形貌参数测量方法、装置及测量设备

Also Published As

Publication number Publication date
JP5280555B2 (ja) 2013-09-04
IL217843A0 (en) 2012-06-28
IL217843A (en) 2016-11-30
KR20120092517A (ko) 2012-08-21
KR101341077B1 (ko) 2013-12-11
TW201245895A (en) 2012-11-16
CN102636963B (zh) 2014-11-26
JP2012169617A (ja) 2012-09-06
NL2008197A (en) 2012-08-14
TWI470373B (zh) 2015-01-21
US20120206703A1 (en) 2012-08-16
US9223227B2 (en) 2015-12-29

Similar Documents

Publication Publication Date Title
CN102636963B (zh) 检查设备和方法、光刻设备和处理单元、器件制造方法
CN108604065B (zh) 量测方法、目标和衬底
CN112236724B (zh) 确定衬底上的一个或更多个结构的特性的量测设备和方法
CN101978255B (zh) 评估衬底的模型的方法、检查设备和光刻设备
CN101382737B (zh) 检验方法和设备、光刻设备、光刻单元和器件制造方法
CN102967997B (zh) 用于确定重叠误差的方法和设备
TWI645257B (zh) 檢查方法、微影裝置、光罩及基板
CN102498441B (zh) 量测方法和设备、光刻系统以及光刻处理单元
CN106062634B (zh) 测量涉及光刻术的制造过程的过程参数
CN103003754B (zh) 用于确定重叠误差的方法和设备
KR102030100B1 (ko) 검사와 계측을 위한 방법 및 장치
CN101261452B (zh) 检验方法和设备、光刻处理单元和器件制造方法
JP6291581B2 (ja) メトロロジーターゲットの設計のための方法及び装置
CN102027416B (zh) 用于光刻术的检查设备
TW201821907A (zh) 度量衡配方選擇
TW201525412A (zh) 用於量測基板上結構的方法及裝置、誤差修正的模型、用於實施上述方法及裝置的電腦程式產品
JP6738415B2 (ja) 検査及びメトロロジのための方法及び装置
CN101819384A (zh) 检验设备、光刻设备、光刻处理单元以及检验方法
TW201120580A (en) Method of determining overlay error and a device manufacturing method
JP4875685B2 (ja) ターゲットパターンのパラメータを割り出す方法、ライブラリを生成する方法、検査装置、リソグラフィ装置、リソグラフィセル、及びコンピュータプログラム
CN108700816A (zh) 散射测量中的偏振调谐
CN105359039A (zh) 检验设备和方法、光刻设备、光刻处理单元以及器件制造方法
CN111316172A (zh) 量测设备和确定感兴趣的特性的方法
CN112997118A (zh) 确定图案化工艺的感兴趣参数的值的方法、器件制造方法
EP4124911A1 (en) Metrology method and metrology device

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant