CN112236724B - 确定衬底上的一个或更多个结构的特性的量测设备和方法 - Google Patents

确定衬底上的一个或更多个结构的特性的量测设备和方法 Download PDF

Info

Publication number
CN112236724B
CN112236724B CN201980038174.8A CN201980038174A CN112236724B CN 112236724 B CN112236724 B CN 112236724B CN 201980038174 A CN201980038174 A CN 201980038174A CN 112236724 B CN112236724 B CN 112236724B
Authority
CN
China
Prior art keywords
interest
training image
image
neural network
target
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201980038174.8A
Other languages
English (en)
Other versions
CN112236724A (zh
Inventor
L·特里波迪
帕特里克·华纳
G·格热拉
M·哈伊赫曼达
F·法哈德扎德
P·A·J·廷尼曼斯
S·A·米德尔布鲁克斯
安卓尼斯·科内利斯·马修斯·科普曼
弗兰克·斯塔尔斯
布伦南·彼得森
A·B·范奥斯汀
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASML Holding NV
Original Assignee
ASML Holding NV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from EP18176718.7A external-priority patent/EP3579052A1/en
Application filed by ASML Holding NV filed Critical ASML Holding NV
Priority to CN202310622332.2A priority Critical patent/CN116758012A/zh
Publication of CN112236724A publication Critical patent/CN112236724A/zh
Application granted granted Critical
Publication of CN112236724B publication Critical patent/CN112236724B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N3/00Computing arrangements based on biological models
    • G06N3/02Neural networks
    • G06N3/08Learning methods
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/02Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/17Systems in which incident light is modified in accordance with the properties of the material investigated
    • G01N21/47Scattering, i.e. diffuse reflection
    • G01N21/49Scattering, i.e. diffuse reflection within a body or fluid
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/17Systems in which incident light is modified in accordance with the properties of the material investigated
    • G01N21/55Specular reflectivity
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/38Masks having auxiliary features, e.g. special coatings or marks for alignment or testing; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70641Focus
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/7065Defects, e.g. optical inspection of patterned layer for defects
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70681Metrology strategies
    • G03F7/70683Mark designs
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T7/00Image analysis
    • G06T7/0002Inspection of images, e.g. flaw detection
    • G06T7/0004Industrial image inspection
    • G06T7/0006Industrial image inspection using a design-rule based approach
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T7/00Image analysis
    • G06T7/0002Inspection of images, e.g. flaw detection
    • G06T7/0004Industrial image inspection
    • G06T7/001Industrial image inspection using an image reference approach
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B2210/00Aspects not specifically covered by any group under G01B, e.g. of wheel alignment, caliper-like sensors
    • G01B2210/56Measuring geometric parameters of semiconductor structures, e.g. profile, critical dimensions or trench depth
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2207/00Indexing scheme for image analysis or image enhancement
    • G06T2207/20Special algorithmic details
    • G06T2207/20081Training; Learning
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2207/00Indexing scheme for image analysis or image enhancement
    • G06T2207/20Special algorithmic details
    • G06T2207/20084Artificial neural networks [ANN]
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2207/00Indexing scheme for image analysis or image enhancement
    • G06T2207/30Subject of image; Context of image processing
    • G06T2207/30108Industrial image inspection
    • G06T2207/30148Semiconductor; IC; Wafer

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Theoretical Computer Science (AREA)
  • Health & Medical Sciences (AREA)
  • General Health & Medical Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Computer Vision & Pattern Recognition (AREA)
  • Quality & Reliability (AREA)
  • Pathology (AREA)
  • Immunology (AREA)
  • Biochemistry (AREA)
  • Analytical Chemistry (AREA)
  • Chemical & Material Sciences (AREA)
  • Data Mining & Analysis (AREA)
  • Molecular Biology (AREA)
  • Computing Systems (AREA)
  • General Engineering & Computer Science (AREA)
  • Mathematical Physics (AREA)
  • Software Systems (AREA)
  • Evolutionary Computation (AREA)
  • Computational Linguistics (AREA)
  • Biophysics (AREA)
  • Biomedical Technology (AREA)
  • Artificial Intelligence (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

公开了一种确定与通过光刻过程形成的在衬底上的结构相关的感兴趣的特性的方法,所述方法包括:获得所述结构的输入图像;和使用训练后的神经网络以从所述输入图像确定所述感兴趣的特性。也公开了一种掩模版,所述掩模版包括目标形成特征,所述特征包括多于两个的子特征,每个子特征在成像在衬底上以在所述衬底上形成相应的目标结构时对感兴趣的特性具有不同的敏感度。也描述了相关方法和设备。

Description

确定衬底上的一个或更多个结构的特性的量测设备和方法
相关申请的交叉引用
本申请要求2018年6月8日递交的欧洲申请18176718.7、2018年8月23日递交的欧洲申请18190559.7、2018年11月14日递交的欧洲申请18206279.4的优先权,所有这些欧洲申请的全部内容通过引用并入本文。
技术领域
本发明涉及一种用于确定衬底上的结构的特性的量测设备或检查设备。本发明也涉及一种用于确定衬底上的结构的特性的方法。
背景技术
光刻设备是构造成将期望的图案施加至衬底上的机器。光刻设备能够用于(例如)集成电路(IC)的制造中。光刻设备可以例如将图案形成装置(例如掩模)处的图案(也经常被称作“设计布局”或“设计”)投影至被设置在衬底(例如晶片)上的辐射敏感材料(抗蚀剂)层上。
为了将图案投影于衬底上,光刻设备可以使用电磁辐射。这种辐射的波长决定可以形成在衬底上的特征的最小尺寸。当前在使用中的典型波长是365nm(i线)、248nm、193nm和13.5nm。与使用例如具有193nm的波长的辐射的光刻设备相比,使用具有在4至20nm的范围内的波长(例如,6.7nm或13.5nm)的极紫外线(EUV)辐射的光刻设备可以用以在衬底上形成更小的特征。
低k1光刻术可以用于处理尺寸小于光刻设备的经典分辨率极限的特征。在这样的过程中,可以将分辨率公式表达为CD=k1×λ/NA,其中λ是所使用的辐射的波长,NA是光刻设备中的投影光学器件的数值孔径,CD是“临界尺寸”(通常是所印制的最小特征大小,但在这种情况下是节距的一半),k1是经验分辨率因子。通常,k1越小,则越难以在衬底上再现类似于由电路设计者规划的形状和尺寸以便实现特定电功能性和性能的图案。为了克服这些困难,可以将复杂的精调步骤应用于光刻投影设备和/或设计布局。这些步骤包括例如但不限于NA的优化;自定义照射方案;使用相移图案形成装置;设计布局的各种优化,诸如设计布局中的光学邻近效应校正(OPC,有时也被称作“光学和过程校正”);或通常被定义为“分辨率增强技术”(RET)的其它方法。替代地,用于控制光刻设备的稳定性的严格控制回路可以用以改良在低k1下的图案的再现。
在光刻过程中,期望频繁地对所产生的结构进行测量(例如)以用于过程控制和验证。用于进行这样的测量的各种工具是众所周知的,包括扫描电子显微镜或各种形式的量测设备(诸如,散射仪)。涉及这样的工具的通用术语可以是量测设备或检查设备。在具体的实施例中,相对小波长范围的可见光光谱用于检查在衬底上制造的结构,并且存在移动至较高和较低波长的需要,并且存在于单个测量期间使用较宽波长范围的需要。在具体的实施例中,检查或量测设备的光学系统具有相对高的NA。在光学系统的具体实施例中,杂散光和/或重影可能成为问题。在具体的实施例中,使用暗场成像,并且所记录的噪声的量在某些情况中可能过高。通过改良在检查或量测设备中使用的光学元件的品质,可能的是,可以提供用于以上论述的要求的解决方案和/或提供用于以上论述的问题的解决方案。问题是,例如,在宽波长范围上的像差要求变得非常严格。这可能导致不能制造的光学元件,或可能导致对于量测或检查设备来说变得过于昂贵的光学元件。
因此,期望在减小量测设备中光学元件的像差要求同时仍获得可接受的测量准确度。
发明内容
目的是提供解决上文论述的问题或限制中的一个或更多个问题或限制的检查或量测设备的有效且高效的解决方案。
本发明的实施例公开于权利要求中和具体实施方式中。
在本发明的第一方面中,提供一种确定与通过光刻过程形成的衬底上的结构相关的感兴趣的特性的方法,所述方法包括:获得所述结构的输入图像;和使用训练后的神经网络以从所述输入图像确定所述感兴趣的特性。
在本发明的第二方面中,提供一种掩模版,所述掩模版包括目标形成特征,所述特征包括多于两个的子特征,每个子特征在成像在衬底上以在所述衬底上形成相应的目标结构时对感兴趣的特性具有不同的敏感度。
在本发明的第三方面中,提供一种用于确定与通过光刻过程形成的在衬底上的至少一个结构相关的感兴趣的特性的量测系统,所述量测设备包括:训练后的神经网络;和处理器,所述处理器被配置成:获得所述结构的输入图像;和使用所述训练后的神经网络以从所述输入图像确定所述感兴趣的特性。
在本发明的第四方面中,提供一种用于训练神经网络的方法,所述方法包括:针对感兴趣的特性的不同值和所述感兴趣的特性的相应的已知值来获得校准结构的第一训练图像;和使用所述第一训练图像和所述感兴趣的特性的相应的已知值来训练所述神经网络,以从所述第一训练图像推断所述感兴趣的特性的值。
在本发明的第五方面中,提供一种优化测量设定的方法,所述设定用于测量与通过光刻过程形成的衬底上的结构相关的感兴趣的特性,所述方法包括:获得与所述结构的测量相关的测量数据;和使用训练后的模型以从所述测量数据确定一个或更多个最佳测量设定。
在本发明的第六方面中,提供一种用于校准模型的方法,包括:针对感兴趣的特性的不同值、针对不同的测量设定和针对其它结构和/或叠层参数变化,获得校准结构的训练测量数据,和进一步获得所述感兴趣的特性的相应的已知值,以及使用所述训练测量数据和所述感兴趣的特性的相应的已知值来训练所述神经网络,从而基于所述测量设定来推断所述感兴趣的特性的测量的功效。
也公开了一种非暂时性计算机程序产品,包括机器可读指令,所述机器可读指令用于使处理器执行第一方面的方法。
附图说明
现将参考示意性附图并且通过举例的方式来描述本发明的实施例,其中:
-图1描绘光刻设备的示意性概述;
-图2描绘光刻单元的示意性概述;
-图3描绘整体光刻术的示意性表示,其表示优化半导体制造的三种关键技术之间的协作;
-图4图示根据本发明的实施例的检查设备;
-图5包括(a)用于使用第一对照射孔、根据本发明的实施例来测量目标的暗场散射仪的示意图、(b)用于给定照射方向的目标光栅的衍射光谱的细节、(c)在使用散射仪以用于基于衍射的重叠测量时提供另外的照射模式的第二对照射孔,和(d)将第一对孔与第二对孔组合的第三对照射孔;
-图6示意性地描绘根据本发明的实施例的EUV量测装置;
-图7描绘衬底上的多重光栅目标的已知形式和测量斑的轮廓;
图8描绘根据本文中公开的实施例中的至少一些实施例的通过使用如图5的(a)中所图示的暗场散射仪的量测方法而获得的图7的目标的图像;
图9示意性地描绘根据本发明的实施例或形成本发明的实施例的一部分的校准方法;
图10示意性地描绘根据本发明的实施例的校准和量测方法;
图11示意性地描绘根据本发明的实施例的多敏感度目标;
图12示意性地描绘根据本发明的实施例的可以形成图11的多敏感度目标的部分的周期性特征;和
图13示意性地描绘根据本发明的实施例的可以形成图11的多敏感度目标的部分的另一周期性特征。
具体实施方式
在本文献中,术语“辐射”和“束”用以涵盖所有类型的电磁辐射,包括紫外辐射(例如具有为365、248、193、157或126nm的波长)和极紫外辐射(EUV,例如具有在约5至100nm的范围内的波长)。
如本文中所使用的术语“掩模版”、“掩模”或“图案形成装置”可以被广义地解释为是指可以用以将图案化的横截面赋予入射辐射束的通用图案形成装置,所述图案化的横截面对应于待在衬底的目标部分中产生的图案。在这种内容背景下,也可以使用术语“光阀”。除经典掩模(透射型或反射型;二元、相移、混合型等)以外,其它这样的图案形成装置的示例包括可编程反射镜阵列和可编程LCD阵列。
图1示意性地描绘光刻设备LA。所述光刻设备LA包括:照射系统(也被称作照射器)IL,所述照射系统被配置成调节辐射束B(例如UV辐射、DUV辐射或EUV辐射);掩模支撑件(例如掩模台)MT,所述掩模支撑件构造成支撑图案形成装置(例如掩模)MA且连接至被配置成根据某些参数来准确地定位所述图案形成装置MA的第一定位器PM;衬底支撑件(例如晶片台)WT,所述衬底支撑件构造成保持衬底(例如涂覆有抗蚀剂的晶片)W且连接至被配置成根据某些参数来准确地定位衬底支撑件的第二定位器PW;和投影系统(例如折射投影透镜系统)PS,所述投影系统被配置成将由图案形成装置MA赋予至辐射束B的图案投影至衬底W的目标部分C(例如包括一个或更多个管芯)上。
在操作中,照射系统IL例如经由束传递系统BD从辐射源SO接收辐射束。照射系统IL可以包括用于引导、成形和/或控制辐射的各种类型的光学部件,诸如折射型、反射型、磁性型、电磁型、静电型和/或其它类型的光学部件,或其任何组合。照射器IL可以用来调节辐射束B,以在图案形成装置MA的平面处在其横截面中具有期望的空间和角强度分布。
本文中所使用的术语“投影系统”PS应被广义地解释为涵盖适于所使用的曝光辐射或适于诸如浸渍液体的使用或真空的使用之类的其它因素的各种类型的投影系统,包括折射型、反射型、反射折射型、变形型、磁性型、电磁型和/或静电型光学系统或其任何组合。可以认为本文中使用的任何术语“投影透镜”与更上位的术语“投影系统”PS同义。
光刻设备LA可以具有以下类型:其中衬底的至少一部分可以由具有相对高折射率的液体(例如,水)覆盖以便填充投影系统PS与衬底W之间的空间-其也被称作浸渍光刻术。在以引用的方式并入本文中的US6952253中给出关于浸渍技术的更多信息。
光刻设备LA也可以属于具有两个或更多个衬底支撑件WT(又名“双平台”)的类型。在这样的“多平台”机器中,可以并行地使用衬底支撑件WT,和/或可以在定位在衬底支撑件WT中的一个衬底支撑件上的衬底W上执行制备衬底W的后续曝光的步骤,同时将另一衬底支撑件WT上的另一衬底W用于在其它衬底W上曝光图案。
除了衬底支撑件WT以外,光刻设备LA也可以包括测量平台。所述测量平台被布置成保持传感器和/或清洁装置。传感器可以被布置成测量投影系统PS的属性或辐射束B的属性。测量平台可以保持多个传感器。清洁装置可以被布置成清洁光刻设备的部分,例如投影系统PS的部分或提供浸渍液体的系统的部分。测量平台可以在衬底支撑件WT远离投影系统PS时在投影系统PS下方移动。
在操作中,辐射束B入射至保持在掩模支撑件MT上的图案形成装置MA(例如,掩模),并且通过呈现于图案形成装置MA上的图案(设计布局)进行图案化。横穿掩模MA后,辐射束B传递通过投影系统PS,投影系统PS将束聚焦在衬底W的目标部分C上。借助于第二定位器PW和位置测量系统IF,衬底支撑件WT可以被准确地移动,例如,以便在聚焦和对准的位置处在辐射束B的路径中定位不同的目标部分C。类似地,第一定位器PM和可能的另一位置传感器(其在图1中未明确地描绘的)可以用于相对于辐射束B的路径来准确地定位图案形成装置MA。可以使用掩模对准标记M1、掩模对准标记M2以及衬底对准标记P1、衬底对准标记P2来对准图案形成装置MA与衬底W。虽然如所图示的衬底对准标记P1、衬底对准标记P2占用专用目标部分,但其可以定位在目标部分之间的空间中。在衬底对准标记P1、P2位于目标部分C之间时,这些衬底对准标记称为划线对准标记。
如图2中示出的,光刻设备LA可以形成有时也被称作光刻元或(光刻)簇的光刻单元LC的部分,其常常也包括对衬底W执行曝光前和曝光后过程的设备。常规地,这些设备包括沉积抗蚀剂层的旋涂器SC、显影曝光的抗蚀剂的显影器DE、激冷板CH和焙烤板BK(例如,用于调节衬底W的温度,例如,用于调节抗蚀剂层中的溶剂)。衬底处理装置或机器人RO从输入/输出端口I/O1、I/O2拾取衬底W、在不同的过程设备之间移动衬底W且将衬底W传递至光刻设备LA的进料台LB。光刻元中通常也统称为涂覆显影系统的装置典型地处于涂覆显影系统控制单元TCU的控制下,所述涂覆显影系统控制单元自身可以通过管理控制系统SCS控制,所述管理控制系统也可以例如经由光刻控制单元LACU控制光刻设备LA。
为了由光刻设备LA曝光的衬底W正确且一致地曝光,期望检查衬底以测量图案化结构的属性,诸如,后续层之间的重叠误差、线厚度、临界尺寸(CD)等。为此目的,光刻单元LC中可以包括检查工具(未图示)。如果检测到误差,则可以对后续衬底的曝光或对待对衬底W执行的其它处理步骤进行例如调整,在同一批量或批次的其它衬底W仍待曝光或处理之前进行检查的情况下尤其如此。
也可以被称作量测设备的检查设备用于确定衬底W的属性,并且尤其确定不同的衬底W的属性如何发生变化或与同一衬底W的不同层相关联的属性在不同层间如何发生变化。检查设备可替代地构造成识别衬底W上的缺陷,并且可以例如是光刻元LC的部分,或可以集成至光刻设备LA中,或甚至可以是单独的装置。检查设备可以测量关于潜像(在曝光之后在抗蚀剂层中的图像)的属性,或关于半潜像(在曝光后焙烤步骤PEB之后在抗蚀剂层中的图像)的属性,或关于显影后的抗蚀剂图像(其中抗蚀剂的曝光部分或未曝光部分已被移除)的属性,或甚至关于蚀刻后的图像(在诸如蚀刻的图案转印步骤之后)的属性。
典型地,光刻设备LA中的图案化过程是要求结构高准确度地尺寸设定和放置在衬底W上的处理中的最关键步骤中的一个步骤。为了确保这种高准确度,可以将三个系统组合在所谓的“整体”控制环境中,如在图3中示意性地描绘的。这些系统中的一个系统是(实际上)连接至量测工具MT(第二系统)和连接至计算机系统CL(第三系统)的光刻设备LA。这种“整体”环境的关键在于优化这些三个系统之间的协作以增强总体过程窗口且提供严格控制回路,从而确保由光刻设备LA执行的图案化保持在过程窗口内。过程窗口定义过程参数(例如,剂量、聚焦、重叠)的范围,具体的制造过程产生所述范围内的定义结果(例如,功能性半导体器件)--典型地允许光刻过程或图案化过程中的过程参数在所述范围内变化。
计算机系统CL可以使用待图案化的设计布局(的部分)以预测使用哪些分辨率增强技术且执行计算光刻模拟和计算以确定哪种掩模布局和光刻设备设定实现图案化过程的最大的整个过程窗口(由呈第一标尺SC1的双箭头在图3中描绘)。典型地,分辨率增强技术被布置成匹配光刻设备LA的图案化可能性。计算机系统CL也可以用以检测光刻设备LA当前正在过程窗口内的何处操作(例如,使用来自量测工具MT的输入),以预测归因于例如次优处理是否可能存在缺陷(在图3中由呈第二标尺SC2的指向“0”的箭头描绘)。
量测工具MT可以将输入提供至计算机系统CL以实现准确模拟和预测,并且可以将反馈提供至光刻设备LA以识别例如光刻设备LA的校准状态中的可能的漂移(在图3中由呈第三标尺SC3的多个箭头描绘)。
在光刻过程中,期望频繁地对所产生的结构进行测量(例如)以用于过程控制和验证。用于进行这样的测量的各种工具是已知的,包括扫描电子显微镜或各种形式的量测设备(诸如,散射仪)。已知散射仪的示例常常依赖于专用量测目标的设置,诸如,填充不足的目标(呈简单光栅或不同层中的叠置光栅的形式的目标,其足够大使得测量束产生小于光栅的斑)或填充过度的目标(从而照射斑部分或完全包含所述目标)。另外,使用量测工具(例如,照射诸如光栅的填充不足的目标的角分辨散射仪)会允许使用所谓的重构方法,其中可以通过模拟散射辐射与目标结构的数学模型的相互作用且比较模拟结果与测量的结果来计算光栅的属性。调整所述模型的参数,直至所模拟的相互作用产生与从真实目标观测到的衍射图案类似的衍射图案为止。
散射仪是多功能仪器,其允许通过在光瞳或与散射仪的物镜的光瞳共轭的平面中具有传感器来测量光刻过程的参数(测量通常被称作基于光瞳的测量),或通过在像平面或与像平面共轭的平面中具有传感器来测量光刻过程的参数,在这种情况下测量通常被称作基于图像或场的测量。以全文引用的方式并入本文中的专利申请US20100328655、US2011102753A1、US20120044470A、US20110249244、US20110026032或EP1,628,164A中进一步描述这样的散射仪和相关联的测量技术。前述散射仪可以在一个图像中使用从软x射线和可见光至近IR波范围的光测量来自多个光栅的多个目标。
诸如散射仪之类的量测设备描绘在图4中。其包括将辐射5投影至衬底W上的宽带(白光)辐射投影仪2。将被反射的辐射或被散射的辐射10传递至光谱仪检测器4,所述光谱仪检测器测量被镜面反射的辐射10的光谱6(即,测量作为波长λ的函数的强度I)。根据这种数据,产生所检测的光谱的结构或轮廓8可以例如由严格耦合波分析和非线性回归或通过与经模拟光谱的库相比由处理单元PU重构。通常,对于重构,结构的一般形式是已知的,并且从用于制造结构的过程的知识来假定一些参数,从而仅留下结构的几个参数以从散射测量数据确定。这种散射仪可以被配置成正入射散射仪或斜入射散射仪。
适合的用于本发明的实施例的替代性量测设备示出于图5的(a)中。图5的(b)中更详细地图示目标T和用以照射所述目标的测量辐射的衍射射线。所图示的量测设备具有被称为暗场量测设备的类型。量测设备可以是单独的装置,或被集成到例如测量站处的光刻设备LA中或被集成到光刻单元LC中。贯穿设备具有若干分支的光轴由虚线O表示。在这种设备中,通过包括透镜12、14和物镜16的光学系统经由分束器15将由源11(例如,氙灯)发射的光引导至衬底W上。这些透镜被布置成双序列的4F布置。可以使用不同的透镜布置,只要所述透镜布置仍将衬底图像提供至检测器上,并且同时允许存取中间光瞳平面以用于空间频率滤光。因此,可以通过定义在呈现衬底平面的空间光谱的平面(这里被称作(共轭)光瞳平面)中的空间强度分布来选择辐射入射到衬底上的角度范围。特别地,可以通过在作为物镜光瞳平面的背向投影图像的平面中、在透镜12与透镜14之间插入适合的形式的孔板13来进行这种选择。在所图示的示例中,孔板13具有被标注为13N和13S的不同形式,从而允许选择不同的照射模式。当前示例中的照射系统形成离轴照射模式。在第一照射模式中,孔板13N从仅出于描述起见而被指定为“北”的方向提供离轴照射。在第二照射模式中,孔板13S用以提供类似的照射,但提供来自被标注为“南”的相反方向的照射。通过使用不同的孔,其它照射模式是可能的。其余光瞳平面期望地是暗的,这是因为期望的照射模式外部的任何不必要的光将与期望的测量信号干涉。
如图5的(b)中示出的,目标T被放置成衬底W垂直于物镜16的光轴O。衬底W可以由支撑件(没有图示)支撑。与轴线O成角度而照射到目标T上的测量辐射射线I引起一个零阶射线(实线0)和两个一阶射线(点划线+1和双点划线-1)。应记住,在填充过度的小目标的情况下,这些射线仅仅为覆盖包括量测目标T和其它特征的衬底区域的许多平行射线中的一条射线。由于板13中的孔具有有限的宽度(为接纳有用量的光所必要的),因此入射射线I实际上将占据一角度范围,并且衍射射线0和+1/-1将稍微展开。根据小目标的点扩散函数,每个阶+1和-1将遍及角度范围进一步展开,而不是如所示出的单条理想的射线。应注意,目标的光栅节距和照射角度可以被设计或调整,使得进入物镜的一阶射线与中心光轴紧密地对准。图5的(a)和图5的(b)中所图示的射线示出为略微离轴的,以仅使其能够在图中较容易地被区分。
由衬底W上的目标T衍射的至少0阶和+1阶由物镜16收集,并且通过分束器15引导回。返回至图5的(a),通过指定标注为北(N)和南(S)的完全相对的孔而图示第一照射模式和第二照射模式两者。在测量辐射的入射射线I是来自光轴的北侧时,即在使用孔板13N应用第一照射模式时,标注为+1(N)的+1衍射射线进入物镜16。相比之下,在使用孔板13S应用第二照射模式时,-1衍射射线(标注是1(S))为进入透镜16的射线。
第二分束器17将衍射束划分成两个测量分支。在第一测量分支中,光学系统18通过使用零阶衍射束和一阶衍射束在第一传感器19(例如,CCD或CMOS传感器)上形成目标的衍射光谱(光瞳平面图像)。每个衍射阶射中传感器上的不同点,使得图像处理可以比较和对比若干阶。由传感器19捕获的光瞳平面图像可以用于聚焦量测设备和/或归一化所述一阶束的强度测量结果。也可以出于诸如重构之类的许多测量目的来使用光瞳平面图像。
在第二测量分支中,光学系统20、22在传感器23(例如CCD或CMOS传感器)上形成目标T的图像。在第二测量分支中,在与光瞳平面共轭的平面中提供孔径光阑21。孔径光阑21用以阻挡零阶衍射束,使得形成在传感器23上的目标的图像是仅由-1或+1阶束形成。由传感器19和23捕获的图像被输出至处理图像的处理器PU,处理器PU的功能将依赖于所执行的测量的特定类型。请注意,术语“图像”在这里在广义上使用。由此,如果仅存在-1阶和+1阶中的一个,则将不形成光栅线的图像。
图5中示出的孔板13和场光阑21的特定形式仅作为示例。在本发明的另一个实施例中,使用目标的同轴照射,并且使用具有离轴孔的孔径光阑以将大致仅一个一阶衍射光传递至传感器。在又一其它实施例中,代替一阶束或除一阶束以外,二阶束、三阶束和更高阶束(图5中未示出)也可以用于测量中。
为了使测量辐射可以适应于这些不同类型的测量,孔板13可以包括围绕圆盘而形成的一定数目个孔图案,所述圆盘旋转以使期望的图案处于适当的位置。应注意,孔板13N或13S可以仅用于测量在一个方向(依赖于设定而是X或Y方向)上定向的光栅。为了测量正交光栅,可能实施达90°和270°的目标旋转。图5的(c)和图5的(d)中示出不同的孔板。上文提及的先前已公布的申请中描述这些设备的使用以及所述设备的许多其它变化和应用。
图6示出根据其它实施例的被提供用于测量形成在衬底W上的量测目标T的属性的示例性EUV量测设备300。所述设备可以用作用于测量图1的制造系统中处理的衬底W的参数的EUV量测设备244的示例。由EUV量测设备使用的照射辐射可以包括在0.1nm至100nm的波长范围内的辐射,或可选地在1nm至100nm的波长范围内的辐射,或可选地在1nm至50nm的波长范围内的辐射,或可选地在10nm至20nm的波长范围内的辐射。各种硬件部件被示意性地表示。可以由相关领域技术人员根据众所周知的设计原理应用现有部件和专门设计的部件的混合来执行这些部件的实际实施。提供支撑件(未详细地示出)以用于相对于待描述的其它部件将衬底保持在期望的位置和方向处。辐射源330将辐射提供至照射系统332。照射系统332提供由射线304表示的EUV照射辐射束,所述EUV照射辐射束在目标T上形成聚焦辐照斑。照射系统332也将参考光谱320提供至参考光谱检测器314。部件312、313等可以方便地被视为光谱检测系统333。
这种示例中的衬底W被安装在具有定位系统334的可移动支撑件上,使得可以调整射线304的入射角α和/或可以调整衬底W的x、y、z位置。在这个示例中,按照在源330和照射系统332保持静止的同时倾斜衬底W以改变入射角的便利性来选择所述可移动支撑件。为了捕获反射射线308,检测系统333具备另外的可移动支撑件336,使得所述可移动支撑件相对于静止照射系统移动达角度2α,或相对于衬底移动达角度α。在反射计的掠入射系统中,方便的是通过参考衬底的平面而限定入射角α,如所示出的。当然,所述入射角同样可以被限定为入射射线I的入射方向与垂直于衬底的方向N之间的角度。
提供额外的致动器(图中未示出)以将每个目标T带入至聚焦辐射斑S所在的位置中。(从另一方面看,将斑带至目标所在的位置)。在实际应用中,在单个衬底上可以存在待测量的一系列单独的目标或目标位置,并且在一系列衬底上也可以存在待测量的一系列单独的目标或目标位置。原则上,不重要的是,当照射系统和检测器313、350保持静止时衬底和目标是否被移动和再定向,或当照射系统和检测器313、350移动时衬底是否保持静止,或具有相对移动的不同部件通过这些技术的组合是否实现。本公开涵盖所有这些变型。
由目标T和衬底W反射的辐射在其射到频谱检测器313上之前分隔成具有不同波长的射线的光谱310。光谱检测器313和/或衍射阶检测器350包括例如位置敏感的EUV检测器,典型地是检测器元件阵列。在每种情况下,所述阵列可以是线性阵列,但在实践中可以提供元件(像素)的2维阵列。光谱检测器313和/或衍射阶检测器350可以例如是CCD(电荷耦合器件)图像传感器。
处理器340从检测器350、313和314接收信号。特别地,来自光谱检测器313的信号ST表示目标光谱,来自衍射阶数检测器350的信号SF表示较高阶衍射图案,并且来自检测器314的信号SR表示参考光谱。处理器340可以从目标光谱减去参考光谱,以获得目标的反射光谱,所述反射光谱相对于源光谱中的变化而归一化。在处理器中使用用于一个或更多个入射角的得到的反射光谱,以计算目标的属性例如CD或重叠的测量结果。类似地,处理器340可以从较高衍射阶图案(光谱)352减去参考光谱以获得较高阶衍射图案,所述较高阶衍射图案相对于源光谱中的变化而归一化。可以在强度不对称性测量中比较这些较高衍射阶图案352,以计算目标的属性例如重叠或聚焦的测量结果。
在实践中,来自源330的辐射可以被提供成一系列短脉冲,并且可以针对每个脉冲一起捕获信号SR和ST。在每个单独的脉冲聚集成这个入射角下用于这种目标的总反射光谱之前,计算用于所述每个单独的脉冲的差信号。以这种方式,脉冲之间的源光谱的不稳定度被校正。脉冲速率可以是每秒数千或甚至数万(赫兹)。被聚集以测量一个反射光谱的脉冲的数目可以是例如数十个或数百个。即使在具有如此多脉冲的情况下,实体测量也只花费几分之一秒。
在将这种EUV光谱反射测量计应用至半导体制造中的量测的情况下,可以使用小光栅量测目标。使用检测器350、313和314来捕获多个衍射光谱,同时将掠入射角α设定成各种不同的值。使用由光谱检测器313检测的光谱和目标结构的数学模型,可以执行重构计算以实现CD和/或其它感兴趣的参数的测量。替代地或另外,可以将由衍射阶检测器350检测的互补的较高衍射阶数进行比较以确定目标结构中的不对称性,并且因此确定依赖于目标属性的一个或更多个有关的感兴趣的参数,诸如,重叠、聚焦或剂量。
在散射仪MT的一个实施例中,散射仪MT适用于通过测量反射光谱和/或检测配置中的不对称性(所述不对称性与重叠的程度有关)来测量两个未对准光栅或周期性结构的重叠。类似的方法可以用于测量形成有依赖于聚焦的不对称性的专用目标上的聚焦。在重叠的情况下,两个(典型地,叠置的)光栅结构可以在两个不同的层(不必是连续层)中应用,并且可以大致形成在晶片上的同一位置处。散射仪可以具有如例如在共同拥有的专利申请EP1,628,164A中所描述的对称检测配置,使得任何不对称性是可明确区分的。这提供用以测量光栅中的未对准的直接方式。可以在全文以引用方式并入本文中的PCT专利申请公开号WO 2011/012624或美国专利申请号US 20160161863中找到经由所述周期性结构的不对称性测量包含作为目标的周期性结构的两个层之间的重叠误差的另外的示例。
图7示出衬底W上的诸如可以用以测量重叠的示例性量测目标T。所述目标T可以包括通过光刻过程而主要在抗蚀剂中且也在例如蚀刻过程之后形成的复合光栅或子目标32、33、34、35的整体。针对重叠应用,子目标32、33、34、35可以是在晶片上的相同位置上在不同的但不必是连续的层中形成的一对相似光栅(相同的节距、CD、SWA等)。量测设备将测量这两个叠置光栅之间的未对准,这被称为重叠测量。在实施例中,目标T可以被设计为用于使用合适的散射仪进行暗场测量。典型地将使暗场目标小于可获得的照射斑31(典型的目标为5×5平方微米,而照射斑具有35微米的直径)。因而,将存在足够的空间来使用可以同时测量的多个重叠子目标32、33、34、35,从而允许测量多个功能。子目标32、33、34、35可以在其方向方面不同(如所示出的),以便使入射辐射在X方向和Y方向上衍射。在所图示的具体示例中,子目标32和34是分别具有偏置+d、-d的X方向子目标,并且子目标33和35是分别具有偏移+d和-d的Y方向子目标。替代地,在仅一个方向上的测量将仅需要一半的子目标,即,仅需要对应于所述方向的那些子目标。虽然图示了四个子目标,但另一个实施例可以包括更大矩阵以获得期望的准确度。例如,3×3阵列的九个复合子目标可以具有偏置-4d、-3d、-2d、-d、0、+d、+2d、+3d、+4d。可以在由检测系统捕获的图像中识别这些子目标的单独的图像。
在实施例中,可以接着确定目标的不对称性,并且因此确定例如重叠。这可以通过比较针对每个周期性结构32至35的+1和-1阶(或其它互补的较高阶)获得的强度值以识别其强度的任何差异(即,强度不对称性),使用图像处理器和控制器来进行。术语“差异”不意图仅指减法。差异可以以比率形式或以总和形式来计算。使用用于一定数目个周期性结构的所测量的强度不对称性,连同那些周期性结构的重叠偏置的知识(在适用时),以计算光刻过程在目标T附近的一个或更多个性能参数。感兴趣的性能特性是重叠。可以计算光刻过程的其它性能参数,诸如,聚焦和/或剂量。例如,可以反馈所述一个或更多个性能参数以用于改良光刻过程、用以改良散射仪自身的测量和计算过程且用以改良目标T的设计。
更具体地,使用例如在全文以引用方式并入本文中的PCT专利申请公开号WO2011/012624或美国专利申请US 20160161863中所描述的方法,包含子目标32至35的两个层之间的重叠可以通过包括以下步骤的方法来测量。在初始步骤中,通过图2的光刻单元处理衬底(例如半导体晶片)一次或更多次,以产生包括目标的结构,所述目标包括周期性结构32至35。在下一步骤中,来自周期性结构32至35的第一衍射图案使用一阶衍射束中的一个(即,-1),例如使用图示于图5的(a)中的暗场散射仪来获得。在实施例中,使用第一照射模式。接着,无论是通过例如改变照射模式或改变成像模式或通过使衬底W在量测设备的视场中旋转180°,获得使用另一一阶衍射束+1的来自周期性结构的第二衍射图案。因此,在第二图像中捕获+1衍射辐射。在实施例中,改变照射模式且使用第二照射模式。在实施例中,可以通过在0°和180°衬底方向下进行测量而移除工具引发的伪像,如工具引发的移位(TIS)。接着例如通过计算每个子目标的衍射图案内的强度水平的差来比较第一衍射图案与第二衍射图案。
图8示出使用图7的目标作为以上描述的方法的部分(例如,使用图5的(a)的设备)可以形成在传感器上和由传感器检测的图像的示例。暗矩形表示传感器上的图像的场,在所述场内,衬底上的照射斑31被成像至相应的圆形区域41中。在这个场内,矩形区域42至45表示小目标光栅32至35的图像。如果目标位于产品区域中,则这个图像场的周边中,产品特征也可能是可见的。图像处理器和控制器PU使用图案识别来处理这些图像以识别光栅32至35的单独的图像42至45。以这种方式,图像并非必须在传感器框架内的具体部位处非常精确地对准,这极大地改良测量设备整体上的生产量。一旦已识别光栅的单独的图像,就可以(例如)通过对所识别的区域内的所选择的像素强度值进行平均化或求和,测量那些单独的图像的强度。可以将图像的强度和/或其它属性彼此进行比较。可以对这些结果进行组合以测量光刻过程的不同参数。重叠性能是这种参数的重要示例。
下文将论述的本发明的实施例可以实施于上文论述的量测设备或检查设备中的一种设备中。本发明的实施例涉及用于确定衬底上的至少一个结构(例如,目标)的感兴趣的特性的方法和/或量测设备或检查设备。
在本量测设备中,典型地使用空间非相干光源。为了增大光子通量(以便减小测量时间),将期望使用(至少部分)相干光源(诸如,白光激光器),其具有AOTF(声-光可调谐滤波器)以选择测量波长和/或带宽。相干照射源也可以允许小的照射斑,这也是有益的(例如,以便支撑较小目标大小或防止目标附近的结构的照射)。此外,典型地,在本量测设备中,支持的波长范围限于大约400nm至900nm。
通常,合适的高品质强度图像的测量需要光学器件应具有在宽波长λ范围上的低像差规范,使得存在选择最佳测量波长和/或带宽的灵活性。宽波长范围将实现覆盖大量不同的材料、叠层和应用的测量。同时,光学器件也应具有使相邻结构之间的串扰最小化的大的数值孔径NA(例如,NA>0.8),和大的视野。其它考虑因素是大的动态范围(低重影/反射)和与抑制零阶的暗场测量原理的兼容性。
在单个量测设备中实施所有这些要求和期望的特征是非常困难或不可能的,这是因为其中的许多要求和期望的特征将对传感器光学器件强加竞争要求以维持足够低的像差性能。具体地,在符合其它要求同时将照射辐射的波长范围增大显著超出目前的400nm至900nm将使传感器光学器件的像差性能变差。这将导致增大的像差,这又将使检测器强度图像品质变差。
具体地,结合大的视野(>50μm),期望促进较大的波长范围,例如,200nm至2000nm)。并非在维持像差性能的同时尝试以光学方式实现这种情形,提议通过允许传感器光学器件具有较大像差来实现这种情形。当然,简单地允许在传感器光学器件内的较大像差将对图像品质产生不可接受的影响,除非进行了一些事情以补偿这些光学像差的效应。因此,提议使用计算成像技术来补偿放宽对传感器光学器件内的像差性能的要求的负面效应。
因此,提议在量测设备中使用计算成像从而测量使用光刻过程形成的衬底(例如,包括半导体材料的晶片)上的结构。用于进行这种操作的先前解决方案包括基于(例如,相对较低品质的)强度测量来执行相位获取,其依据电场振幅和相位描述目标与照射辐射的相互作用。这种方法例如公开于2017年11月2日申请的欧洲专利申请EP17199764.6和2017年12月13日申请的EP17206967.6中,所述欧洲申请通过引用的方式并入本文。
更具体地,这样的相位获取技术包括校准阶段,在所述校准阶段,光学元件的像差经由数学优化来估计。描述传感器缺陷的这种所估计的信息稍后用以以数值方式反转缺陷的效应以恢复具有例如用以计算重叠或聚焦的足够量测品质的图像。然而,用以校准和图像后处理阶段中的算法的复杂度可能较大,使得为了将计算时间减小为可接受的量,要求额外的计算硬件(例如,超级GPU的形式)。通过这种额外的硬件确定的额外成本将被减小或甚至克服较低成本传感器的益处。
为了解决这种问题,现提议基于深度学习技术的针对计算成像的不同方法。该提议可以包括使用深度神经网络来直接从使用低成本的成像传感器获取的低品质图像提取量测(例如,重叠、聚焦、剂量、像差或任何其它感兴趣的特性)信息。图像可以具有诸如图示于图7中的标准(微型)DBO(基于衍射的重叠)目标,或(微型)DBF(基于衍射的聚焦)目标。还提议一种用于确定与通过光刻过程形成的衬底上的至少一个结构相关的感兴趣的特性的量测系统,所述量测设备包括训练后的神经网络(其可以包括保存在数据储存介质上的数据结构);和处理器,所述处理器被配置成获得所述结构的输入图像;以及使用所述训练后的神经网络以从所述输入图像确定所述感兴趣的特性。
为了训练深度神经网络,提议校准阶段。在校准阶段中,所述网络学习如何从低品质图像计算重叠或聚焦值,同时校正所使用的低成本光学器件的像差。由于传感器光学器件可以相对简单(在一些情况下,包括仅单个光学元件),因此可以假设针对特定类别的传感器(且因此一类别的量测工具)产生的所有单独的传感器具有非常类似的像差。在这种实施例中,校准阶段可以在被包括在一类别的传感器内的一定数目个传感器中的一个传感器(或代表性的几个传感器)的检核期间按传感器的类别(例如,在量测工具生产设施处)执行。替代地,(例如,在确定或相信传感器至传感器的性能变化过大的情况下),校准可以被执行以具体地针对每个单独的传感器训练网络。所述工序虽然费时,但可以被充分自动化且需要仅在生产量测工具期间执行。
图9是图示这种校准阶段的步骤的流程图。在步骤900处,获取(例如,诸如量测目标的一个或更多个校准结构的)第一训练图像905。在步骤910处,也可以获取(例如,诸如与第一训练图像905的那些量测目标相对应的量测目标的一个或更多个校准结构的)第二训练图像915。
第一训练图像905可以包括使用量测工具获取的相对低品质的图像,所述量测工具包括简化的相对较低品质的光学器件(本文中被称作计算成像传感器,这是由于其被设计成结合计算成像增强技术使用)。第二训练图像915可以使用常规的量测工具来获得,所述常规量测工具包括具有最小像差的高品质光学器件。步骤910与诸如重叠之类的感兴趣的特性最相关,其中需要测量参考值。对于聚焦或剂量,例如,这一步骤可以通过简单地从用以形成目标的光刻设备(扫描器)获得实际聚焦和剂量设定而可以被替换(即,可能不要求第二训练图像915)。然而,在其它实施例中,步骤910即使在感兴趣的特性是聚焦和/或剂量的情况下仍可以被执行以测量考虑了实际聚焦/剂量从扫描器设定的漂移的参考聚焦/剂量值。感兴趣的特性应在每个第二训练图像915的获取之间发生变化(不管是自然变化还是有意变化)。
在也获取第二训练图像915的情况下,第二训练图像915应对应于第一训练图像905(例如,相同的设定和目标)。提议,第一训练图像905和第二训练图像915中的每个图像包括整个图像,而不是仅包括所选择的相关信息(例如,感兴趣的区)。在步骤920处,获得感兴趣的特性(例如,重叠和/或聚焦)的已知参考值(黄金参考值)。对于重叠,黄金参考算法可以用以从第二训练图像915提取黄金参考值。黄金参考算法可以是用于从量测图像确定重叠(例如,所述图像的不对称性)的任何已知算法。例如,可以使用诸如描述于WO2015/018625中的计算重叠的方法,其通过引用的方式并入本文。这种方法可以包括对于多个不同的照射特性来说在第一目标或子目标(例如,具有第一偏置+d)中的强度不对称性相对于第二目标或子目标(例如,具有第二偏置-d)中的强度不对称性的曲线上的回归(regress),其中重叠由回归的斜率来指示。强度不对称性是通过目标衍射的辐射的正衍射阶(例如,+1)的强度与通过目标衍射的辐射的相应的负衍射阶(例如,-1)的强度之间的差(或其它比较量度)。
对于聚焦,黄金参考值可以包括光刻设备的已知聚焦设定,或使用适当已知黄金参考算法(例如,使用诸如柏桑(Bossung)曲线分析或基于衍射的聚焦的已知技术)从第二训练图像915确定。第二训练图像915连同其相关联的重叠/聚焦值可以储存在数据库中以供将来使用930。使用简化的光学传感器获取的第一训练图像905连同在步骤920中确定的相应的重叠和/或聚焦值接着馈送940至网络。网络的深层接着学习950如何从诸如第一训练图像905的异常图像提取感兴趣的特性(例如,重叠、聚焦、临界尺寸、与结构的3D重构相关的一个或更多个其它参数、线边缘粗糙度(LER)、线宽粗糙度(LWR)、像差、照射特性(例如,高能或几何非远心性(non-telecentricity)),和/或任何2D轮廓线参数)。在这种内容背景下,与结构的3D重构相关的一个或更多个其它参数可以描述目前使用重构技术确定/建模的参数中的任一参数。在这样的重构技术中,结构被建模为3D结构(例如,被建模为具有某些固定参数和可变参数的线/多条线,所述参数诸如线宽、节距、长度、高度、侧壁角、表面之间(例如,侧壁与顶面之间)的圆角度数)。通过这种3D结构对辐射的散射进行模拟,并且得到的所模拟的图像与正被测量的结构的所测量的图像进行比较。可变参数中的一个或更多个可变参数接着被调整,并且模拟被反复地迭代以便使所模拟的图像与所测量的图像之间的差被最小化。提议,感兴趣的特性可以是这些参数中的一个或更多个参数,从而可能避免对重构(其是计算密集型的)的需要。
在完成这种校准阶段之后,包括训练后的网络960的算法准备好使用(例如,运送)。由于所述网络应提供连续输出,因此提议所述网络的最后一层(输出层)将是回归层。
图10是图示根据实施例的神经网络的完整校准和使用流程的流程图。存在三个阶段:第一阶段1000包括如上文已描述且通过图9图示的初始校准和训练。一旦网络进行了训练,网络就可以被供应有产品或量测工具(或作为产品或量测工具的部分),所述产品或量测工具包括与网络相关联的简化的光学传感器。相关联的网络在这种内容背景下可以是这样的网络:其与通常光学传感器的类型或类别相关联,或与具体的单独的传感器(或被训练成光学传感器的类型或类别,或被训练成具体的单独的传感器)相关联。阶段1010包括可选的额外的过程校准。这可以包括例如在过程设定阶段期间执行针对最终层的额外的训练步骤。这种训练步骤可以使用称作“迁移学习”的技术,以便专攻与将被执行的特定过程相关的具体光刻步骤的网络的最终层。迁移学习是用于训练仅神经网络的最后几个层(或输出层)以便使神经网络专用于特定图像的已知技术。训练图像可以包括与神经网络正专攻的特定过程相关的高品质图像和低品质图像的相应的集合,以便以与初始校准1000类似的方式训练这些最后几个层。由于仅网络的最后层被训练,因此这种阶段与在阶段1000处执行的深层的训练要求相比少得多的时间。可能的是,网络经由迁移学习的这种过程校准将并非总是必要的。阶段1010是否被执行将依赖于将通过量测工具监测的相关过程的难度/复杂度。
一旦这种过程校准1010已被执行(在完全执行的情况下),包含计算成像传感器和相关神经网络的产品可以用以执行量测1020,作为(例如)光刻过程监测应用程序的部分。量测1020可以包括获得衬底上正被测量的结构(例如,目标)的输入图像1030。输入图像1030可以包括从简化的传感器获得的像差图像。输入图像1030可以接着作为输入馈送至训练后的网络(步骤1040)。输入图像1030可以包括整个图像(所有信息)而不是仅感兴趣的区。在步骤1050处,训练后的网络将输出针对感兴趣的特性的值。
以上方法可以被修改以开发扩展后的深度神经网络,所述扩展后的深度神经网络被开发以处理在测量照射(例如,用于在测量期间照射目标)的多个照射特性(例如,波长和偏振)处采集的图像(例如,针对所述校准结构或校准结构的样本)的矢量。例如,归因于过程效应和具体光刻步骤,这种方法例如对于高品质图像(用于训练网络)不可获得的产品层可能是有益的。得到的网络有效地作为先前实施例的神经网络的扩展。为了训练这种网络,对感兴趣的特性的黄金参考可以使用准确的参考设备/方法,诸如扫描电子显微法(SEM,(例如)CD-SEM),来获得:一旦经过训练,就可以接着使用网络:
●对于感兴趣的特性的多波长测量;和/或
●作为对选择从计算成像传感器和如先前实施例中所描述的相关神经网络获得的最佳感兴趣的特性配置文件的参考(每个配置文件与具体的照射特性相关)。
此外,为了增强总体学习过程,除了使用计算成像传感器获得的图像外,额外的输入和/或信息可以被供应至深度神经网络。额外的信息可以例如是衬底上的目标(训练样本)的相应的部位和/或基于与相邻目标相对应的图像的正则化(regularization)(例如,基于与其它目标的每个目标的类似性强加先前信息约束)。
应了解,本文中所述的神经网络中的任一神经网络可以包括深度残差神经网络。这可以改良收敛时间且简化网络优化。与从头(scratch)学习每个层的参数相比,深度残差神经网络可以在无相应的额外成本的情况下具有增大的深度。在实施例中,训练可以对围绕恒等映射(identity mapping)的扰动执行。深度残差神经网络是用于从包括感兴趣的特性的图像提取可辨别特征的良好候选者,这是由于常规的更深度的神经网络将更难以训练。
在一些情形下,可能并非可能获得合适的高品质(第二)训练图像。当所施加的光刻层中的一个或更多个光刻层对于量测工具(使用常规的测量照射)是不透明的时,可能是这种情况。在这种情形下,图示于图9中的校准阶段可以适于包括对训练图像的计算成像过程(例如,相位获取,诸如公开于前述专利申请EP17199764.6和EP17206967.6中的相位获取)。在这种方法中,初始原始训练图像可以使用具有传感器的量测装置获得,所述量测装置具有能够支持频谱的红外部分中的扩展频率范围的传感器。在实施例中,这种传感器可以包括与用以获得第一训练图像的传感器类似或相同的计算成像传感器。红外线照射能够穿透这样的“不透明”层,并且因此将能够从这些不透明层捕获图像。这样的原始训练图像将受像差影响。因此,提议,相位获取方法(或其它合适的计算成像技术)用以改良图像品质(例如,通过校正原始图像中的像差)以获得第二训练图像。所获取的(清洁的)高品质图像可以接着替代图9中的第二训练图像使用,例如使用黄金参考算法分析以确定关联的感兴趣的参数,其中过程流程在其它方面是相同的。在这种实施例中,第二训练图像可以是第一训练图像的计算增强(例如,相位获取)版本。
这种实施例通过更常规的计算成像(例如,相位获取)和深度学习方法的混合使用来表征,并且因此受益于这些方法中的两种方法。以不同方式组合两种方法的类似的替代性实施例也可以被设想到;例如,当学习通过使用传统的信号或图像处理技术支持或促进以处理训练图像以便改良其品质时。在某些实施例中,混合方法可以使用经由计算成像设定获得的低品质图像(在使用任何可能的数学/信号处理装置来改良所述低品质图像之后)。
上文所描述的本发明的实施例通过神经网络的输出是单个感兴趣的特性的事实来表征。在另一个实施例中,神经网络的输出可以包括从如所测量的低品质图像外加一个(或更多个)感兴趣的参数重构的全新图像。这种实施例可以例如使用编码器-解码器(或自动编码器)深度学习网络。利用这种方法,被包含在所测量的图像中的基本上所有信息来编码。编码可以被解释为信息压缩形式。因此,编码可以用以编码存在于所测量的图像中的感兴趣的特性信息。编码也可以用以投影或解码为较高品质的超级分辨率图像。这种网络通过以下来训练:提供标注的感兴趣的特性数据(例如,如从第二训练图像所确定的感兴趣的特性的值)外加图像对:来自第一训练图像和第二训练图像的多对相应的图像,如关于图9所描述的。因此,与图9的校准方法相比,第二训练图像用以训练网络外加从这种第二训练图像和第一训练图像确定的参数值。最终,给定分解所测量的图像情况下,网络将能够预测多个感兴趣的参数(例如,重叠和聚焦两者)且另外的输出直接对应于所确定的参数值的“干净”图像。因此,这种方法可以用作对用于从较低品质传感器获得高品质图像的相位获取技术的替代例。
此外,因为编码器-解码器类型网络最小地包括被包含在图像中的所有信息,所以可以分开训练。例如,可以将训练网络以将所测量的(较低品质)图像映射至较高品质图像与训练所述网络以将编码层映射至各种标量(例如,感兴趣的特性)分开执行。
这种编码也允许图像的加密(压缩的加密)。所述编码也允许图像的故障检测。基本上,编码矢量描述量测系统的状态。因此,所述编码矢量可以被用作状态估计和控制系统的部分,并且可以通过外生输入(诸如聚焦或剂量)来驱动。
神经网络在量测中的上述使用与用于计算成像中的典型算法相比,允许以增大的速度和较小的计算能力和成本确定对于生产晶片的感兴趣的特性(例如,重叠、聚焦、临界尺寸、一个或更多个其它3D重构参数、线边缘粗糙度(LER)线宽粗糙度(LWR)像差、非远心性(高能或几何)和/或任何2D轮廓线参数)的值。这有益于降低计算硬件成本。更具体地,以上提议将苛刻的计算元从使用量测工具的时刻(例如,在晶片生产监测期间)转移至所述量测工具的初始生产的时刻。因此,额外的处理(例如,额外的GPU)的成本将在多个传感器之间共享,因此减小每个传感器的成本和购置成本。这归因于以下事实:训练网络可能是非常耗时的工序,但网络的使用一旦被训练就非常快速且低廉。此外,深度神经网络并不需要其它方法需要的最小方差无偏估计器,自然地允许清样(repro)与变化之间的更好取舍。
训练后的神经网络的构思也可以用于传统(即,非计算成像)设定中作为对最先进的算法的替代例,以从高品质图像提取重叠和/或聚焦信息(或其它感兴趣的特性)。具体地,现将描述如下实施例:其改良例如诸如描述于前述PCT申请WO2015/018625中的算法的这样的最先进的技术算法的准确性。
诸如WO2015/018625(用于测量重叠)的方法或用于测量聚焦的类似方法描述了基于来自目标光栅的衍射辐射的简化模型从散射测量目标测量衍射不对称性。当前使用的单波长方法和多波长方法两者依赖于这样的简化模型。归因于简化实体模型的限制,过程效应(诸如,各种类型的目标不对称性)和传感器不对称性可以对感兴趣的特性(例如,重叠或聚焦)的估计的准确性产生不利影响。已尝试例如通过使用关键绩效指标(KPI)来评估并优化测量照射的波长,来改善实体模型的准确性。然而,存在使用这样的方法可能进行多少改善的限制,同时尝试对所有不对称性在实体上进行建模是不切实际的或不可能的。解决相关联的数学问题将要求处理描述叠层的不切实际的量的信息、并处理重叠计算复杂的电磁模拟,或否则将导致超出可获得的观测/测量数的未知项。
因此,提议使用深度学习方法,所述深度学习方法能够对从与感兴趣的参数相关的不同观测的复杂的非线性映射进行建模且学习所述非线性映射,并且其可以接着应用至新数据。可以证明,得到的训练后的模型和相关联的基于机器学习的感兴趣的特性估计方案(例如,对于重叠或聚焦)没有被过度拟合,并且证明非常准确的重叠或聚焦估计,即使对于不提供参考值的其它叠层和层。
主要的构思是使用与各种参考示例(例如,各种参考或目标几何形状)相关的参考数据(例如图像数据或平均强度数据),各种参考示例每个都具有针对感兴趣的特性的相应的参考值,即,针对感兴趣的特性的准确值或已知值。参考数据还可以涉及各种测量照射特性(例如,多个波长/偏振/入射角或强度)。目标可以例如包括重叠或聚焦目标,诸如DBO/DBF目标;例如,类似于针对重叠的图示于图7中的重叠。使用这种参考数据,形成针对深度神经网络的特征空间。使用参考值和参考数据,神经网络被训练以从参考数据预测参考值。
参考数据可以从模拟环境获得,例如,目标几何形状和测量响应(参数数据)可以被模拟。这种模拟环境可以类似于目前用于重构技术例如使用诸如严密耦合波分析(RCWA)的麦克斯韦(Maxwell)求解器的环境。替代地或另外地,参考数据可以从准确量测(例如,扫描电子显微镜(SEM)测量)获得,和/或基于诸如相邻目标中和/或晶片间的预期重叠一致性的KPI标准。
因此提议使用来自多个参考示例(参考几何形状和/或叠层,不管是模拟的和/或真实的)的参考数据(例如,不同的数据或大型数据)以训练神经网络。得到的训练后的神经网络将已从参考示例学习相关的相互作用和行为。因此,神经网络将学习对包括叠层、目标和传感器的整个系统的测量照射(且因此对得到的测量图像/强度)的效应。更具体地,神经网络学习例如:
●各种叠层行为,
●目标与叠层的相互作用,
●各种目标/光栅不对称性和其对图像的影响(或平均强度数据,视情况而定),和
●传感器缺陷和这些传感器如何与各个叠层和目标几何形状相互作用。
借助于示例,深度神经网络可以使用大量不同的目标几何形状对一个或更多个(例如,模拟的)叠层进行训练。不同的目标几何形状可以包括例如不同的高度和不同的光栅不对称性;例如,不同的侧壁角和/或底板倾角。网络也可以使用变化的测量照射特性(例如,以下各项中的一个或更多个的变化:不同的波长、不同的偏振、不同的入射角、不同的强度和/或其不同的组合)对这些目标的测量结果进行训练。用以训练网络的目标几何形状的数目可以是数十、数百或数千,例如,每个目标几何形状都具有针对感兴趣的特性的相应的参考值。
可以示出,以这种方式训练的神经网络的参数估计性能依据估计误差(即,所估计的重叠与参考重叠/所强加的偏置之间的差)的3σ与目前(例如,重叠)估计技术相比更准确地为1与3个数量级之间。具体地,当存在较大参考分集时,即,存在用以训练网络的参考结构上的较大分集时,观测到更优的估计和更大的准确性增益。例如,如果训练使用来自不同的叠层(即,不同层中)的目标而不是都来自单个叠层(单层)的目标来执行,则可以改良所述估计性能。然而,即使当训练是基于与仅单个叠层相关的参考数据时,估计准确性与目前方法相比仍被显著改良。
感兴趣的观测是,训练后的网络表明与用于训练中的叠层(即,被包括在参考数据中的叠层中的任一叠层)相比,针对不同叠层中的目标的良好估计性能(即,好于当前估计方法)。因此,并不要求参考数据涉及与正被测量的目标相同的叠层。估计准确性保持良好,其中对于训练后的网络,针对正被测量的目标中的任一目标的叠层信息和参考重叠都不是已知的。
此外,特别地关于典型地(每个方向)使用多对目标(每对目标具有不同的偏置)的重叠量测,神经网络可以对单独的目标进行训练,或每个测量方向针对一定数目个单独的目标进行训练,其中考虑多于一个的方向。目标可以具有偏置或不具有偏置。因此,训练后的神经网络可以用以从每个测量方向仅具有单个光栅对(不同层中的光栅)的测量目标估计感兴趣的特性。因此,目标大小可以相对于目前使用的双偏置目标设计被显著地减小(实际上面积减半)。
方法可以仅针对强度来使用,或可以被扩展以使用可获得的全部图像信息。在后一情况下,可以训练深度卷积神经网络,所述深度卷积神经网络设想为对于过程效应和传感器摄影机缺陷是更鲁棒的。
综上所述,上述实施例在估计重叠或其它感兴趣的特性中提供非常显著的准确性增益(相较于当前方法),并且对于各种过程效应和/或目标/传感器不对称性是显著鲁棒的。此外,这些方法是数据驱动的,并且不依赖于叠层信息,并且提供通用的复杂的建模框架,而不依赖于情况,使得性能在新衬底和/或叠层上以非常高的水平保持,对于所述新衬底和/或所述叠层,无参考数据可用。此外,测量速度被改善,从而使得能够进行更快的在线重叠测量;一旦已训练了网络,所述网络就可以立即使用而无需在线训练或优化/校准。最终,由于可以使用单个偏置目标,因此可以使得目标较小。
诸如神经网络或推断模型之类的机器学习技术的其它应用基于衬底上的不同特征中的多于两个的特征(并且特别地,显著地超出两个特征)来确定感兴趣的特性(更具体地,聚焦),每个特征对于感兴趣的特性具有不同的敏感度。
从特征测量聚焦(即,形成特征的光刻设备的聚焦设定)常常通过测量特征(例如线/空间周期性特征)的临界尺寸(CD)来执行,这是由于CD随着聚焦可预测地发生变化(这种变化典型地通过柏桑曲线来表征,如将良好理解的)。已观测到,使用仅1条线或2条线/空间周期性特征测量来聚焦会提供比理想结果更少的结果,特别是当已使用EUV光刻技术来形成所述结构(归因于在EUV光刻中涉及的较小的结构和更薄的抗蚀剂)时。特别地,主要挑战是确定所测量的聚焦对应于柏桑曲线的峰值的那侧(即,+或-散焦)。
因此,提议使用显著较大数目个特征来测量感兴趣的特性,诸如光刻系统的聚焦、剂量、照射特性和/或投影系统(透镜)像差。这样的方法可以包括测量目标,所述目标包括对于感兴趣的特性(特别地,聚焦和/或剂量,虽然诸如远心性或焦阑性或投影光学器件像差的照射特性也可以以这种方式监测)具有不同敏感度的多种不同的(例如,周期性)特征。多敏感度目标可以包括对于感兴趣的特性具有不同敏感度的多于两个的特征、对于感兴趣的特性具有不同敏感度的多于三个的特征、对于感兴趣的特性具有不同敏感度的多于五个的特征、对于感兴趣的特性具有不同敏感度的多于十个的特征、对于感兴趣的特性具有不同敏感度的多于二十个的特征,或对于感兴趣的特性具有不同敏感度的多于三十个的特征。在实施例中,具有不同敏感度的周期性特征中的全部(或一些)特征可以对于第二(例如,正交)方向来重复。例如,不同的周期性特征中的每个周期性特征可以在水平方向和竖直方向上重复。也可以包括针对敏感度中的一些或全部敏感度的对角线周期性特征(例如,45度)例如以分离出或添加针对Z6像差的敏感度。替代地,可以使用包括一组量规的目标。
不同的敏感度可以通过将目标划分成不同的区来实施,每个区对于周期性特征的某些结构参数具有不同的值。例如,区可以包括线-空间周期性特征,其依据每个区的节距和/或临界尺寸(CD)发生变化。可以另外(或替代地)包括多个不同类型的特征(例如,接触孔特征)。每个区可以各自具有大约1×1μm2的尺寸。通过这种方法,可以包括所有成像特征的多次重复。
图11示意性地图示可能多敏感度目标布置的示例。这种具体实施例中的多敏感度目标包括四个不同节距p1至p4,其中每个节距(CD/p)1-(CD/p)9是9个CD。在实施例中,节距可以接近(但大于)分辨率极限,并且因此具有表示实际产品的尺度。每个组合产生针对感兴趣的特性的不同敏感度,并且在这个示例中,每个水平H方向和竖直V方向提供每个组合。可选地也包括一列接触孔CH(例如,具有变化直径)。提供这种特定的多敏感度目标仅作为适于这种实施例的多敏感度目标的示例。甚至更具体地且再次仅作为示例,节距可以包括25、35、50和70nm,并且CD/节距比率可以包括1/16、2/16、3/16、4/16、8/16、12/16、13/16、14/16和15/16。
从这种大量多维数据确定感兴趣的特性是具挑战性的,具体地,以将噪声从特定于聚焦的图像(位图)变化分离出来。为了解决这种问题,提议使用机器学习技术,以与描述于前述实施例中的技术类似的技术(例如,基于光刻设备的已知设定)来校准神经网络(或推断模型)。替代性机器学习技术可以包括执行多输入(n维)校准且在确定感兴趣的特性中使用得到的关系。这样的多输入校准可以包括成分分析(例如,主成分分析PCA)或线性辨别分析LDA。
提议,用于这种实施例中的多敏感度目标的目标量测使用扫描电子显微法(SEM)执行。具体地,提议,量测图像(更具体地,多敏感度目标的原始宽视场SEM图像)在校准期间在不同的测量条件下获得,并且获得在生产期间测量(例如,产品上)的类似SEM图像。宽FOV量测(SEM)测量优选地限制量测时间,虽然也可以使用多个标准FOV SEM测量,如任何其它合适的量测/成像技术能够分别测量多敏感度目标的不同区处的局部CD或图案移位。应注意,相较于关于图9和图10(例如,所提议的量测典型地使用暗场技术,使得衬底上的结构并不在图像中求解)描述的许多实施例,求解图11的目标的结构的显著部分(例如,在使用SEM量测时),使得例如可以确定CD或图案移位。然而,在其它实施例中,多敏感度目标可以使用散射测量技术(亮场和/或暗场)技术(视情况而定)来测量。这提供“通用”目标且避免对目标选择的需要(例如,以优化敏感度)。
校准步骤可以包括获得多敏感度目标的许多图像,每个图像与利用变化的一个或更多个感兴趣的参数形成的类似目标相关。在感兴趣的特性是聚焦的情况下,这种校准步骤可以包括在多个不同聚焦值处印制目标且获得这些聚焦值中每个聚焦值的图像。这样的方法可以通过也改变剂量(即将多敏感度目标曝光于聚焦曝光矩阵(FEM)中)来改善。除聚焦外,这也使得能够进行剂量的校准。也可以包括额外的轴线例如以校准投影光学器件中的某些像差(例如,泽尼克多项式(Zernikes)中的一个或更多个泽尼克多项式:Z5、Z7、Z8或Z9)。校准可以通过曝光并测量一个或更多个校准衬底来执行。
一旦已获得了校准图像,就可以使用校准图像连同已知的聚焦/剂量值(和像差值,视情况而定)从而以类似于已描述的方式训练神经网络。替代地,PCA或LDA技术可以用以减小维数,并且n维校准曲线可以类似于在基于衍射的聚焦技术(例如,如以引用方式并入本文中的US9594299B2中所描述的)中执行的方式确定,以便使图像中的差与感兴趣的特性的差相关。在任一情况下,输入可以是来自多个校准图像的所测量的CD值。
在校准步骤之后,类似的多敏感度目标可以印制于生产衬底上,从而监测和/或控制感兴趣的特性。合适的指标,(例如,CD、特征变化(例如,图案移位(参见下文))、任何其它重构参数、线边缘粗糙度、线宽粗糙度和/或任何2D轮廓线参数)可以从目标和用以从所测量的指标确定感兴趣的特性的训练后的神经网络或n维校准曲线来测量。如在校准阶段中,对多敏感度目标的量测可以使用SEM量测设备(例如,具有宽FOV)来执行。量测可以在蚀刻之后执行,使得(在聚焦和剂量正被监测的情况下)所确定的校正将是针对有效聚焦或有效剂量。这种情形意味着,针对光刻过程确定的任何校正(例如,具体地,印制/曝光)交叉补偿其它处理工具效应(例如,蚀刻和沉积过程)。
在实施例中,多敏感度目标的周期性特征可以使用用以印制目标以增强聚焦敏感度的掩模版上的子分辨率特征来形成。子分辨率特征用于基于衍射的聚焦((微型)DBF)中,并且导致所印制的较大特征的特征变化(例如,强加的不对称性),子分辨率特征将与所述特征变化相关联(虽然所述子分辨率特征低于光刻设备的分辨率极限,并且因此将不被印制)。特征变化可以包括例如特征移位或大小改变(重心移位),或更一般地,其2D轮廓线的可测量的变化(更适于SEM类型的量测)。对于散射仪类型的量测,特征变化可以例如是不对称性变化、侧壁角变化或任何其它3D重构参数的变化。
不对称放置产生质心移位和相应的图案移位。在这种实施例中,除了从图像测量CD外(或替代从图像测量CD),可以测量特征变化(例如,图案移位)。镜像目标可以用以区分正在被确定的多个成像和/或参数。例如,为了分离聚焦效应与慧形像差。包括简单线使得能够分离聚焦与剂量。因此,这种目标使得能够进行独立的慧形像差和剂量两者的聚焦量测。当然,慧形像差、剂量和散焦的分离确定在被校准的情况下也是可能的。
图12示出这种周期性特征的单个敏感度区示例(如在掩模版上可见)。其示出镜像(即,相对定向的)子特征1200a、1200b,子特征1200a、1200b每个都具有镜像(即,相对定向的)子分辨率特征1210。交替或以其它方式与每对镜像子特征1200a、1200b组合地布置成简单线特征1220。提议,多敏感度目标(例如,如图11中所示)的线空间区中的每个线空间区(或一些线空间区)包括图12中所图示的每个区具有变化的节距/CD的特征,如前所述。
图13图示了目标包括用以分离Z4像差与较高阶球体像差(例如,Z9)的额外修改的实施例。所提议的修改使得能够测量每个区内的节距和双重节距,因此提供像差光瞳的两个非常不同的取样。因此,存在如下两者:具有形成节距为p的线空间周期性特征的特征的子区(行);和具有形成节距为2p的线空间周期性特征的特征的子区(行)。该构思可以应用至仅具有简单线特征的简单线空间布置,或(如这里示出的)可以与图示于图11中的以上示例中引入的构思组合(例如,包括子分辨率特征,可选地被镜像且与有简单线特征组合)。在每个区中,“节距”(与双重节距相对)距分辨率极限不是非常远,以便是聚焦敏感的且以便提供产品相关的测量。修改也可以分离较低阶慧形像差项与较高阶慧形像差项。
本文中所描述的机器学习/神经网络技术的进一步应用以确定针对特定测量应用和/或叠层结构的最佳测量选配方案(测量设定的组合)。目前,设定例如针对重叠测量的测量选配方案被自动化,但是对于新应用/过程,更新所述过程可能是繁琐且劳动密集的。
典型的选配方案优化流程要求对叠层改变(例如,依据不同的材料、叠层厚度等)和不同的计算方法的连续调适。针对选配方案优化要求的数据的可用性受到限制且因此定性/定量改良难以实施。因此,选配方案优化典型地花费长时间和/或需要多次迭代。另外,对于所有使用情况,当前存在仅一个可获得的选配方案优化流程。此外,不管工具与工具匹配规范,每个量测装置对于每个层稍微不同地起作用。这种情形可能导致针对总群体的次优选配方案。
因此提议使用机器学习(例如,神经网络)来在诸如重叠之类的感兴趣的参数的测量中优化测量选配方案。该方法可以包括三个主要阶段:校准或训练阶段、设定阶段和制造阶段。
训练阶段可以使用关于模拟或参考叠层的模拟训练的测量数据以产生参考测量集。参考测量集对于某一使用情况可以是通用的或特定的(例如,对于特定结构或装置类型;借助于特定示例,对于3D-NAND是特定的)。模拟的测量数据可以涉及模拟的测量和模拟的叠层结构的模拟响应(例如,基于通用叠层数据库)。测量集可以覆盖例如以下中的一个或更多个:
●目标变化(例如,不同的重叠值、偏置、节距、目标类型、CD、子区段等);
●叠层扰动(例如,n、k、厚度、侧壁角(SWA)和过程不对称性,诸如:ΔSWA(结构的相对壁的SWA中的差)、地板倾角、光栅不平衡性等);
●选配方案设定(例如,照射设定,诸如波长、偏振、带宽、照射孔、入射角)和/或任何其它设定/选配方案参数。
这些模拟产生重叠限定符:例如,强度、过程不对称性、叠层敏感度参数、模拟的重叠等。训练阶段接着使用机器学习技术(诸如,神经网络训练技术)来学习模拟的模拟输入数据与模拟输出数据(且被包括在数据集中的任何测量数据)与相应的设定重叠值之间的关系。一旦被训练,神经网络或其它训练后的模型就可以用以预测最佳测量设定(例如,最佳测量选配方案设定)。例如,训练后的模型可以基于所提议的测量选配方案和稀疏测量数据来预测重叠测量的预期准确性和/或敏感度。
模型可以另外结合模拟的测量数据,使用某一实际测量数据来训练。这种方法中的难题是在真实测量数据中不存在绝对重叠参考。然而,未模拟的KPI与测量的KPI之间的关系可以通过手动地标注测量结果或经由另一机制来建立。
在设定阶段,仅与各种照射条件相关的稀疏测量集是可获得的。训练后的模型可以应用于这种测量集以确定一个或更多个最佳测量选配方案设定。在实施例中,这种所应用的模型的输出可以是各种选项的加权得分。这为用户提供如下选项:基于加权得分人工地选择其自身偏好。加权得分和/或手动选择两者可以考虑其它因子和/或偏好,诸如(例如)量测努力和/或时间(或任何其它相关的KPI)和/或测量准确性与测量敏感度的优选平衡。
在制造阶段(例如,高量制造HVM阶段)中,测量可以使用在设定阶段中确定的测量选配方案来执行。此外,(可选的)验证步骤可以被执行以连续地验证/评估正被使用的测量选配方案的功效。具体地,这种验证可以使用相同的(或类似的)训练后的模型,通过评估测量设定是否保持最佳(例如,最佳/非最佳的二元分类,或更复杂的基于得分的验证)而对测量进行验证和/或分类。可以是如下情形:可以针对这种制造阶段而要求包括多个波长/偏振的测量是恰当有效的。
训练后的模型可以致力于特定叠层类型或甚至致力于特定器件。此外,如上文所述的波长选择可以被扩展至多波长方法。
当前设定受测量时间限制。为了有助于适应这种情形,模型可以(在实施例中)可以被分离成粗略模型和精细模型。这种粗略模型和精细模型可以接着用以对可获得的数据量与估计品质之间的取舍进行优化。
测量参考水平(测量重叠所在的叠层的水平)可以根据模拟来确定。潜在地,这种水平可以被链接至测量的水平预测。
一些测量KPI不能被恰当地模拟,并且不能在基于模拟的方法中考虑。然而,数据驱动方法可以接着用于这样的KPI。另外,来自先前产品/层的校准数据和测量数据可以用以消除任何工具间变化,所述变化可能对针对所有量测设备寻找最佳选配方案有影响。
本文中所描述的构思可以被组合。具体地,描述于第一实施例中的用于确定感兴趣的参数(例如,重叠)的神经网络可以用作神经网络的输入和/或形成神经网络的部分从而用于描述于这种实施例中的选配方案产生。因此,包括用于确定重叠的重叠分量和用于最佳选配方案选择的设定分量的组合后的神经网络(或网络对)在后者可以在设定校准阶段被训练的情况下可以用以从输入图像/测量确定重叠且也可以用以从相同的(或不同的)输入图像/测量结果寻找最准确的重叠测量结果的最佳选配方案设定。
在后续被编号的方面中定义另外的实施例:
1.一种确定与通过光刻过程形成在衬底上的结构相关的感兴趣的特性的方法,所述方法包括:
获得所述结构的输入图像;和
使用训练后的神经网络以从所述输入图像确定所述感兴趣的特性。
2.根据方面1所述的方法,包括执行校准阶段以训练所述神经网络,所述校准阶段包括:
针对所述感兴趣的特性的不同值和所述感兴趣的特性的相应的已知值来获得校准结构的第一训练图像,所述结构和所述校准结构包括类似的结构;和
使用所述第一训练图像和所述感兴趣的特性的相应的已知值来训练所述神经网络以从所述第一训练图像来推断所述感兴趣的特性的值。
3.根据方面2所述的方法,其中从第二训练图像获得所述感兴趣的特性的所述已知值,所述第二训练图像的品质高于所述第一训练图像,所述第二训练图像是与所述第一训练图像相应的校准结构的训练图像。
4.根据方面3所述的方法,其中所述第二训练图像包括计算增强的训练图像。
5.根据方面4所述的方法,其中所述计算增强的训练图像包括相位恢复图像。
6.根据方面4或5所述的方法,其中所述第二训练图像包括所述第一训练图像的计算增强版本。
7.根据方面3所述的方法,其中用以获得所述第二训练图像的量测设备包括传感器,所述传感器与用以获得所述第一训练图像的量测设备内的相应的传感器相比具有较少和/或较小的像差。
8.根据方面3至7中任一项所述的方法,其中所述训练后的神经网络包括编码器-解码器神经网络,并且所述方法还包括:基于所述输入图像输出增强后的输出图像。
9.根据方面8所述的方法,其中所述校准阶段包括:使用与相应的所述第二训练图像配对的所述第一训练图像和所述感兴趣的特性的所述已知值,训练所述编码器-解码器神经网络。
10.根据方面2所述的方法,其中所述已知值从用以形成所述校准结构的光刻设备的设定来获得。
11.根据方面2至10中任一项所述的方法,其中:
所述神经网络包括扩展神经网络,所述扩展神经网络被训练以处理与针对测量照射的不同照射特性对所述校准结构进行的测量相对应的多个图像的矢量,并且
已使用多个照射特性来获得所述输入图像。
12.根据方面2至10中任一项所述的方法,其中所述方法还包括:
训练扩展的神经网络以处理与针对测量照射的不同照射特性对所述校准结构进行的测量相对应的多个图像的矢量;和
使用所述扩展的神经网络作为参考以从已使用多个照射特性获得的所述输入图像选择针对所述感兴趣的特性的优选轮廓,其中每个轮廓涉及照射特性。
13.根据方面2至12中任一项所述的方法,其中所述训练后的神经网络涉及用于获得所述第一训练图像的传感器类别,并且所述校准阶段针对所述传感器类别执行。
14.根据方面2至12中任一项所述的方法,其中所述训练后的神经网络涉及用于获得所述第一训练图像的单独的传感器,并且所述校准阶段针对所述单独的传感器执行。
15.根据前述方面中任一项所述的方法,包括执行迁移学习步骤,所述迁移学习步骤包括:针对与待监测的特定过程相关的一个或更多个具体光刻步骤,训练所述神经网络的最终层中的一个或更多个最终层。
16.根据前述权利要求中任一项所述的方法,其中所述神经网络的输出层包括回归层。
17.根据前述方面中任一项所述的方法,其中所述训练后的神经网络包括训练后的残差神经网络。
18.根据前述方面中任一项所述的方法,还包括:
使用所述训练后的神经网络以从所述输入图像确定一个或更多个最佳测量设定。
19.根据方面18所述的方法,包括执行设定校准阶段以训练所述神经网络,所述设定校准阶段包括:
针对所述感兴趣的特性的不同值、针对不同测量设定和针对其它结构和/或叠层参数变化,获得校准结构的训练测量数据,和进一步获得所述感兴趣的特性的相应的已知值,和
使用所述训练测量数据和所述感兴趣的特性的相应的已知值来训练所述神经网络或其设定分量,从而基于所述测量设定来推断所述感兴趣的特性的测量的功效。
20.根据方面19所述的方法,其中所述训练测量数据包括关于模拟结构的模拟测量数据以获得模拟测量响应。
21.根据方面18至20中任一项所述的方法,其中所述使用训练后的神经网络来确定一个或更多个最佳测量设定包括:确定一个或更多个测量选配方案设定,测量选配方案设定描述测量设定的组合。
22.根据方面21所述的方法,其中所述使用训练后的神经网络来确定一个或更多个最佳测量设定包括:使用训练后的神经网络来确定针对多个候选测量选配方案设定中的每个候选测量选配方案设定的得分,每个候选测量选配方案设定描述测量设定的候选组合。
23.根据方面21或22所述的方法,还包括:使用训练后的神经网络或类似的训练后的神经网络来在制造过程期间验证所选择的测量选配方案设定,所述制造过程使用测量来监测,所述测量使用所选择的测量选配方案设定来执行。
24.根据方面23所述的方法,其中所述验证步骤包括:针对测量,对是否使用最佳测量设定选配方案进行分类。
25.根据前述方面中任一项所述的方法,其中所述神经网络或所述设定分量分离成粗略神经网络和精细神经网络以对可获得的数据量与估计品质之间的取舍进行优化。
26.根据方面1所述的方法,包括执行校准阶段以训练所述神经网络,所述校准阶段包括:
获得所述感兴趣的特性的参考数据和相关联的参考值,所述参考数据与测量照射对不同参考结构几何形状的散射响应相关;和
使用参考数据和相关联的参考值来训练所述神经网络,以从所述参考数据推断所述感兴趣的特性的值。
27.根据方面26所述的方法,其中所述参考数据还涉及具有各种照射特性的测量照射。
28.根据方面26或27所述的方法,其中所述参考数据还涉及不同层中的不同叠层结构和/或参考结构。
29.根据方面26、27或28所述的方法,其中所述结构的所述叠层结构不同于被包括在所述参考数据内的所述叠层结构中的任一叠层结构。
30.根据方面26至29中任一项所述的方法,其中所述结构和所述参考结构几何形状描述用于测量重叠的形成在两个层中的每个测量方向的单个光栅目标。
31.根据方面26至30中任一项所述的方法,其中所述参考数据包括模拟的数据。
32.根据前述方面中任一项所述的方法,其中所述感兴趣的特性是以下中的任一项:用于所述光刻过程中的所述光刻设备的重叠、临界尺寸、任何其它重构参数、聚焦、剂量、线边缘粗糙度、线宽粗糙度、任何2D轮廓线参数,和照射特性或像差。
33.根据前述方面中任一项所述的方法,其中所述结构包括多敏感度目标,所述多敏感度目标具有对所述感兴趣的特性具有不同敏感度的多于两个的特征。
34.根据方面33所述的方法,其中所述多敏感度目标包括对于所述感兴趣的特性具有不同敏感度的多于五个的特征。
35.根据方面33所述的方法,其中所述多敏感度目标包括对于所述感兴趣的特性具有不同敏感度的多于十个的特征。
36.根据方面33至35中任一项所述的方法,其中具有不同敏感度的所述特征被包括在两个正交方向上。
37.根据方面33至36中任一项所述的方法,其中具有不同敏感度的所述特征每个都包括节距和/或临界尺寸变化的周期性特征。
38.根据方面37所述的方法,其中每个周期性特征包括第一节距和第二节距,所述第二节距是第一节距的两倍。
39.根据方面37或38所述的方法,其中所述周期性特征通过掩模版上的相应的掩模版特征形成,所述掩模版特征包括子分辨率特征,所述子分辨率特征导致衬底上的可测量的特征变化,所述可测量的特征变化依赖于所述结构的形成期间的聚焦和/或剂量。
40.根据方面39所述的方法,其中所述特征变化包括所述周期性特征的2D轮廓线中的变化。
41.根据方面39或40所述的方法,其中所述掩模版特征包括子特征,并且多对所述子特征包括相对定向的子分辨率特征。
42.根据方面41所述的方法,其中包括相对定向的子分辨率特征的所述多对所述子特征与不具有子分辨率特征的线特征组合地布置。
43.一种掩模版,包括目标形成特征,所述特征包括多于两个的子特征,每个子特征在成像在衬底上以在所述衬底上形成相应的目标结构时对感兴趣的特性具有不同的敏感度。
44.根据方面43所述的掩模版,包括多于五个的子特征,每个子特征在成像在衬底上以在所述衬底上形成相应的目标结构时对感兴趣的特性具有不同的敏感度。
45.根据方面43所述的掩模版,包括多于十个的子特征,每个子特征在成像在衬底上以在所述衬底上形成相应的目标结构时对感兴趣的特性具有不同的敏感度。
46.根据方面43至45中任一项所述的掩模版,其中具有不同敏感度的所述子特征被包括在两个正交方向上。
47.根据方面43至46中任一项所述的掩模版,其中具有不同敏感度的所述子特征每个都包括节距和/或临界尺寸变化的周期性特征。
48.根据方面47所述的掩模版,其中每个周期性特征包括第一节距和第二节距,所述第二节距是第一节距的两倍。
49.根据方面47或48所述的掩模版,其中所述周期性特征通过掩模版上的相应的掩模版特征形成,所述掩模版特征包括子分辨率特征,所述子分辨率特征导致所述衬底上的特征移位,所述特征移位的幅值依赖于在所述目标结构的形成期间的聚焦和/或剂量。
50.根据方面49所述的掩模版,其中所述周期性特征包括多对线特征,所述线特征包括相对定向的子分辨率特征。
51.根据方面50所述的掩模版,其中所述周期性特征包括不具有子分辨率特征的线特征,所述线特征与包括相对定向的子分辨率特征的所述多对线特征交替。
52.一种包括目标结构的衬底,所述目标结构由根据方面43至51中任一项所述的所述目标形成特征形成。
53.一种用于确定与通过光刻过程形成的衬底上的结构相关的感兴趣的特性的方法,所述方法包括:
获得方面52的目标结构的图像;和
从所述目标结构的所述图像确定所述感兴趣的特性。
54.根据方面53所述的方法,包括横跨所述目标结构的所述图像来测量指标,并且
从所述指标确定所述感兴趣的特性。
55.根据方面54所述的方法,其中所述指标为以下中的一个或更多个:临界尺寸、特征变化、任何其它重构参数、线边缘粗糙度、线宽粗糙度和任一2D轮廓线参数。
56.根据方面54或55所述的方法,其中从所述指标确定所述感兴趣的特性包括将所述指标输入至训练后的神经网络或推断模型中并指出所述输出。
57.根据方面56所述的方法,包括执行校准阶段以训练所述神经网络,所述校准阶段包括:
针对所述感兴趣的特性的不同值和所述感兴趣的特性的相应的已知值来获得校准结构的校准图像,所述目标结构和所述校准结构包括类似的结构;和
使用从所述校准图像和所述感兴趣的特性的相应的已知值获得的指标值来训练所述神经网络或推断模型以从所述校准图像推断所述感兴趣的特性的值。
58.根据方面54或55所述的方法,其中从所述确定的指标确定所述感兴趣的特性包括使用n维校准曲线。
59.根据方面58所述的方法,包括执行校准阶段以确定所述n维校准曲线,所述校准阶段包括:
针对所述感兴趣的特性的不同值和所述感兴趣的特性的相应的已知值来获得校准结构的校准图像,所述目标结构和所述校准结构包括类似的结构;
对从所述校准图像获得的所述指标值执行降维
确定所述n维校准曲线以使所述指标值中的差异与所述感兴趣的特性的差异相关。
60.根据方面59所述的方法,其中所述降维包括主成分分析或其它成分分析或线性判别分析。
61.一种用于确定与通过光刻过程形成的在衬底上的至少一个结构相关的感兴趣的特性的量测系统,所述量测设备包括:
-训练后的神经网络;和
-处理器,所述处理器被配置成:
获得所述结构的输入图像;和
使用所述训练后的神经网络以从所述输入图像确定所述感兴趣的特性。
62.一种用于训练神经网络的方法,包括:
针对感兴趣的特性的不同值和所述感兴趣的特性的相应的已知值获得校准结构的第一训练图像;和
使用所述第一训练图像和所述感兴趣的特性的相应的已知值来训练所述神经网络以从所述第一训练图像来推断所述感兴趣的特性的值。
63.根据方面62所述的方法,其中从第二训练图像获得所述感兴趣的特性的所述已知值,所述第二训练图像的品质高于所述第一训练图像,所述第二训练图像是与所述第一训练图像相应的校准结构的训练图像。
64.一种优化测量设定的方法,所述测量设定用于测量与通过光刻过程形成的衬底上的结构相关的感兴趣的特性,所述方法包括:
获得与所述结构的测量相关的测量数据;和
使用训练后的模型以从所述测量数据确定一个或更多个最佳测量设定。
65.根据方面64所述的方法,包括执行校准阶段以训练所述模型,所述校准阶段包括:
针对所述感兴趣的特性的不同值、针对不同测量设定和针对其它结构和/或叠层参数变化,获得校准结构的训练测量数据,和进一步获得所述感兴趣的特性的相应的已知值,和
使用所述训练测量数据和所述感兴趣的特性的相应的已知值来训练所述神经网络,从而基于所述测量设定来推断所述感兴趣的特性的测量的功效。
66.根据方面65所述的方法,其中所述训练测量数据包括关于模拟结构的模拟测量数据以获得模拟测量响应。
67.根据方面64至66中任一项所述的方法,其中所述使用训练后的模型来确定一个或更多个最佳测量设定包括:确定一个或更多个测量选配方案设定,测量选配方案设定描述测量设定的组合。
68.根据方面67所述的方法,其中所述使用训练后的模型来确定一个或更多个最佳测量设定包括:使用训练后的模型来确定针对多个候选测量选配方案设定中的每个候选测量选配方案设定的得分,每个候选测量选配方案设定描述测量设定的候选组合。
69.根据方面67或68所述的方法,还包括:使用训练后的模型或类似的训练后的模型来在制造过程期间验证所选择的测量选配方案设定,所述制造过程使用测量来监测,所述测量使用所选择的测量选配方案设定来执行。
70.根据方面69所述的方法,其中所述验证步骤包括:针对测量,对是否使用最佳测量设定选配方案进行分类。
71.一种用于校准模型的方法,包括:
针对感兴趣的特性的不同值、针对不同的测量设定和针对其它结构和/或叠层参数变化,获得校准结构的训练测量数据,和进一步获得所述感兴趣的特性的相应的已知值,和
使用所述训练测量数据和所述感兴趣的特性的相应的已知值来训练所述神经网络,从而基于所述测量设定来推断所述感兴趣的特性的测量的功效。
72.根据方面71所述的方法,其中所述训练测量数据包括关于模拟结构的模拟测量数据以获得模拟测量响应。
73.根据方面64至72中任一项所述的方法,其中所述训练后的模型包括训练后的神经网络。
74.根据方面64至73中任一项所述的方法,其中所述模型分离成粗略模型和精细模型以对可获得的数据量与估计品质之间的取舍进行优化。
75.根据方面62至74中任一项所述的方法,其中所述感兴趣的特性是重叠或聚焦。
76.一种非暂时性计算机程序产品,包括机器可读指令,所述机器可读指令用于使处理器执行根据方面1至42、53至60和62至75中任一项所述的方法。
虽然可以在本文中具体地参考光刻设备在IC制造中的使用,但应理解,本文中所描述的光刻设备可以具有其它应用。可能的其它应用包括制造集成光学系统、用于磁畴存储器的引导和检测图案、平板显示器、液晶显示器(LCD)、薄膜磁头等等。
虽然在本文中可以对在检查或量测设备的内容背景下的本发明的实施例进行具体参考,但本发明的实施例可以用于其它设备中。本发明的实施例可以形成掩模检查设备、光刻设备或者测量或处理诸如晶片(或其它衬底)或掩模(或其它图案形成装置)之类的物体的任一设备的部分。也注意到,术语量测设备或量测系统涵盖术语检查设备或检测系统,或可以被术语检查设备或检查系统取代。如本发明所公开的量测或检查设备可以用以检测衬底上或内的缺陷和/或衬底上的结构的缺陷。在这种实施例中,例如,衬底上的结构的特性可以涉及结构中的缺陷、结构的特定部分的不存在或衬底上的不想要的结构的存在。
虽然上文可能已具体地参考在光学光刻的内容背景下对本发明的实施例的使用,但将了解,本发明在内容背景允许的情况下不限于光学光刻术,并且可以用于其它应用(例如,压印光刻术)中。
虽然上文所描述的目标或目标结构(更通常,衬底上的结构)是出于测量目的而特定设计和形成的量测目标结构,但在其它实施例中,可以对作为在衬底上形成的装置的功能性部分的一个或更多个结构测量感兴趣的特性。许多装置具有规则的类光栅结构。如本文中所使用的术语结构、目标光栅和目标结构不要求已特定针对正被执行的测量来提供结构。关于多敏感度目标实施例,不同的产品特征可以包括具有变化的敏感度(变化的节距等)的许多区。另外,量测目标的节距p接近散射仪的光学系统的分辨率极限,但可以比通过目标部分C中的光刻过程制造的典型产品特征的尺寸大得多。在实践中,可以使所述目标结构内的重叠光栅的行和/或空间包括在尺寸上类似于产品特征的较小结构。
虽然上文已描述了本发明的具体实施例,但应了解,可以与所描述方式不同的其它方式来实践本发明。以上描述意图是说明性的,而不是限制性的。因此,本领域技术人员将明白,可以在不背离下文所阐明的权利要求的范围的情况下对所描述的本发明进行修改。

Claims (12)

1.一种确定与通过光刻过程形成在衬底上的结构相关的感兴趣的特性的方法,所述方法包括:
获得所述结构的输入图像,其中所述结构是量测目标;和
使用训练后的神经网络以从所述输入图像确定所述感兴趣的特性;
方法包括:执行校准阶段以训练所述神经网络,所述校准阶段包括:
针对所述感兴趣的特性的不同值和所述感兴趣的特性的相应的已知值来获得校准结构的第一训练图像,所述结构和所述校准结构包括类似的结构;和
使用所述第一训练图像和所述感兴趣的特性的相应的已知值来训练所述神经网络以从所述第一训练图像来推断所述感兴趣的特性的值;
其中从第二训练图像获得所述感兴趣的特性的所述已知值,所述第二训练图像的品质高于所述第一训练图像,所述第二训练图像是与所述第一训练图像相应的校准结构的训练图像。
2.根据权利要求1所述的方法,其中所述第二训练图像包括计算增强的训练图像,并且可选地,所述计算增强的训练图像包括相位恢复图像。
3.根据权利要求2所述的方法,其中所述第二训练图像包括所述第一训练图像的计算增强版本。
4.根据权利要求1所述的方法,其中用以获得所述第二训练图像的量测设备包括传感器,所述传感器与用以获得所述第一训练图像的量测设备内的相应的传感器相比具有较少和/或较小的像差。
5.根据权利要求1至4中任一项所述的方法,其中所述训练后的神经网络包括编码器-解码器神经网络,并且所述方法还包括基于所述输入图像输出增强后的输出图像。
6.根据权利要求1至4中任一项所述的方法,其中:
所述神经网络包括扩展神经网络,所述扩展神经网络被训练以处理与针对测量照射的不同照射特性对所述校准结构进行的测量相对应的多个图像的矢量,并且
已使用多个照射特性来获得所述输入图像。
7.根据权利要求1至4中任一项所述的方法,包括执行迁移学习步骤,所述迁移学习步骤包括:针对与待监测的特定过程相关的一个或更多个具体光刻步骤,训练所述神经网络的最终层中的一个或更多个最终层。
8.根据权利要求1至4中任一项所述的方法,其中所述感兴趣的特性是以下中的一个或更多个:用于所述光刻过程中的光刻设备的重叠、临界尺寸、任何其它重构参数、聚焦、剂量、线边缘粗糙度、线宽粗糙度、任何2D轮廓线参数、以及照射特性或像差。
9.根据权利要求1至4中任一项所述的方法,其中所述结构包括多敏感度目标,所述多敏感度目标具有对所述感兴趣的特性具有不同敏感度的多于两个的特征,并且其中可选地,所述多敏感度目标包括对于所述感兴趣的特性具有不同敏感度的多于五个的特征或多于十个的特征。
10.根据权利要求9所述的方法,其中具有不同敏感度的所述特征每个都包括节距和/或临界尺寸变化的周期性特征,
并且可选地,每个周期性特征包括第一节距和第二节距,所述第二节距是所述第一节距的两倍。
11.一种量测系统,用于确定与通过光刻过程形成在衬底上的至少一个结构相关的感兴趣的特性,所述量测系统包括:
-训练后的神经网络;和
-处理器,所述处理器被配置成:
获得所述结构的输入图像;和
使用所述训练后的神经网络以从所述输入图像确定所述感兴趣的特性;
其中通过执行校准阶段以训练神经网络以获得训练后的神经网络,所述校准阶段包括:
针对所述感兴趣的特性的不同值和所述感兴趣的特性的相应的已知值来获得校准结构的第一训练图像,所述结构和所述校准结构包括类似的结构;和
使用所述第一训练图像和所述感兴趣的特性的相应的已知值来训练所述神经网络以从所述第一训练图像来推断所述感兴趣的特性的值;
其中从第二训练图像获得所述感兴趣的特性的所述已知值,所述第二训练图像的品质高于所述第一训练图像,所述第二训练图像是与所述第一训练图像相应的校准结构的训练图像。
12.一种非暂时性计算机储存介质,包括机器可读指令,所述机器可读指令用于使处理器执行根据权利要求1至10中任一项所述的方法。
CN201980038174.8A 2018-06-08 2019-05-17 确定衬底上的一个或更多个结构的特性的量测设备和方法 Active CN112236724B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN202310622332.2A CN116758012A (zh) 2018-06-08 2019-05-17 确定与在衬底上的结构相关的感兴趣的特性的方法、掩模版、衬底

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
EP18176718.7 2018-06-08
EP18176718.7A EP3579052A1 (en) 2018-06-08 2018-06-08 Metrology apparatus and method for determining a characteristic of one or more structures on a substrate
EP18190559.7 2018-08-23
EP18190559 2018-08-23
EP18206279 2018-11-14
EP18206279.4 2018-11-14
PCT/EP2019/062767 WO2019233738A1 (en) 2018-06-08 2019-05-17 Metrology apparatus and method for determining a characteristic of one or more structures on a substrate

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN202310622332.2A Division CN116758012A (zh) 2018-06-08 2019-05-17 确定与在衬底上的结构相关的感兴趣的特性的方法、掩模版、衬底

Publications (2)

Publication Number Publication Date
CN112236724A CN112236724A (zh) 2021-01-15
CN112236724B true CN112236724B (zh) 2023-05-23

Family

ID=66625190

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201980038174.8A Active CN112236724B (zh) 2018-06-08 2019-05-17 确定衬底上的一个或更多个结构的特性的量测设备和方法
CN202310622332.2A Pending CN116758012A (zh) 2018-06-08 2019-05-17 确定与在衬底上的结构相关的感兴趣的特性的方法、掩模版、衬底

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN202310622332.2A Pending CN116758012A (zh) 2018-06-08 2019-05-17 确定与在衬底上的结构相关的感兴趣的特性的方法、掩模版、衬底

Country Status (4)

Country Link
US (1) US12112260B2 (zh)
CN (2) CN112236724B (zh)
TW (1) TW202004366A (zh)
WO (1) WO2019233738A1 (zh)

Families Citing this family (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111587478A (zh) 2018-06-28 2020-08-25 应用材料公司 用于光谱监测的机器学习系统的训练光谱产生
FR3089286B1 (fr) * 2018-11-30 2022-04-01 Unity Semiconductor Procédé et système pour mesurer une surface d’un objet comprenant des structures différentes par interférométrie à faible cohérence
WO2020142301A1 (en) * 2019-01-02 2020-07-09 Kla Corporation Machine learning for metrology measurements
US11763553B2 (en) * 2019-04-17 2023-09-19 The Regents Of The University Of California Artificial intelligence advance imaging—processing conditioned light photography and videography to reveal features detectable by other advanced imaging and functional testing technologies
IL294547B2 (en) * 2020-01-06 2023-07-01 Nova Ltd Self-supervised representational learning for interpreting ocd data
EP4100721B1 (de) * 2020-02-05 2024-01-10 Carl Zeiss SMT GmbH Euv-reflektometer
US11330164B2 (en) * 2020-03-17 2022-05-10 KLA Corp. Determining focus settings for specimen scans
US11967058B2 (en) * 2020-06-24 2024-04-23 Kla Corporation Semiconductor overlay measurements using machine learning
US20230298158A1 (en) * 2020-08-19 2023-09-21 Asml Netherlands B.V. Apparatus and method for selecting high quality images from raw images automatically
US11556738B2 (en) * 2020-10-01 2023-01-17 Kla Corporation System and method for determining target feature focus in image-based overlay metrology
JP2023550904A (ja) * 2020-11-27 2023-12-06 エーエスエムエル ネザーランズ ビー.ブイ. メトロロジ方法並びに関連付けられたメトロロジ及びリソグラフィ装置
EP4040233A1 (en) * 2021-02-03 2022-08-10 ASML Netherlands B.V. A method of determining a measurement recipe and associated metrology methods and appratuses
EP4123506A1 (de) * 2021-07-20 2023-01-25 Fujitsu Technology Solutions GmbH Verfahren und vorrichtung zum analysieren eines produkts, trainingsverfahren, system, computerprogramm und computerlesbares speichermedium
EP4131053A1 (de) * 2021-08-06 2023-02-08 Siemens Aktiengesellschaft Verfahren und system zum steuern eines produktionssystems
WO2023025506A1 (en) * 2021-08-26 2023-03-02 Asml Netherlands B.V. Method for determing a measurement recipe and associated apparatuses
EP4194952A1 (en) * 2021-12-13 2023-06-14 ASML Netherlands B.V. Method for determing a measurement recipe and associated apparatuses
WO2023036539A1 (en) * 2021-09-08 2023-03-16 Asml Netherlands B.V. Patterning parameter determination using a charged particle inspection system
EP4224255A1 (en) * 2022-02-08 2023-08-09 ASML Netherlands B.V. Metrology method
US20240167813A1 (en) * 2022-11-23 2024-05-23 Kla Corporation System and method for suppression of tool induced shift in scanning overlay metrology
US20240320508A1 (en) * 2023-03-22 2024-09-26 Onto Innovation Inc. Transfer learning for metrology data analysis
CN117146730B (zh) * 2023-10-27 2024-01-19 清华大学 全光智能计算三维感知系统及装置

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5222196A (en) 1990-02-20 1993-06-22 International Business Machines Corporation Neural network shell for application programs
JP3977324B2 (ja) 2002-11-12 2007-09-19 エーエスエムエル ネザーランズ ビー.ブイ. リソグラフィ装置
US7791727B2 (en) 2004-08-16 2010-09-07 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US8218865B2 (en) 2007-02-27 2012-07-10 Canon Kabushiki Kaisha Constructing a color transform using a neural network for colors outside the spectrum locus
US7873585B2 (en) * 2007-08-31 2011-01-18 Kla-Tencor Technologies Corporation Apparatus and methods for predicting a semiconductor parameter across an area of a wafer
NL1036245A1 (nl) 2007-12-17 2009-06-18 Asml Netherlands Bv Diffraction based overlay metrology tool and method of diffraction based overlay metrology.
NL1036734A1 (nl) 2008-04-09 2009-10-12 Asml Netherlands Bv A method of assessing a model, an inspection apparatus and a lithographic apparatus.
NL1036857A1 (nl) 2008-04-21 2009-10-22 Asml Netherlands Bv Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method.
KR101295203B1 (ko) 2008-10-06 2013-08-09 에이에스엠엘 네델란즈 비.브이. 2차원 타겟을 이용한 리소그래피 포커스 및 조사량 측정
NL2005162A (en) 2009-07-31 2011-02-02 Asml Netherlands Bv Methods and scatterometers, lithographic systems, and lithographic processing cells.
WO2012022584A1 (en) 2010-08-18 2012-02-23 Asml Netherlands B.V. Substrate for use in metrology, metrology method and device manufacturing method
KR102057879B1 (ko) 2012-06-22 2019-12-20 에이에스엠엘 네델란즈 비.브이. 포커스를 결정하는 방법, 검사 장치, 패터닝 장치, 기판, 및 디바이스 제조 방법
KR101855243B1 (ko) 2013-08-07 2018-05-04 에이에스엠엘 네델란즈 비.브이. 메트롤로지 방법 및 장치, 리소그래피 시스템 및 디바이스 제조 방법
US9710728B2 (en) * 2014-10-28 2017-07-18 Kla-Tencor Corporation Image based signal response metrology
CN110553602B (zh) 2014-11-26 2021-10-26 Asml荷兰有限公司 度量方法、计算机产品和系统
WO2017171890A1 (en) 2016-04-02 2017-10-05 Intel Corporation Systems, methods, and apparatuses for reducing opc model error via a machine learning algorithm
WO2017171891A1 (en) 2016-04-02 2017-10-05 Intel Corporation Systems, methods, and apparatuses for modeling reticle compensation for post lithography processing using machine learning algorithms
US10346740B2 (en) * 2016-06-01 2019-07-09 Kla-Tencor Corp. Systems and methods incorporating a neural network and a forward physical model for semiconductor applications
EP3435162A1 (en) 2017-07-28 2019-01-30 ASML Netherlands B.V. Metrology method and apparatus and computer program
EP3480554A1 (en) 2017-11-02 2019-05-08 ASML Netherlands B.V. Metrology apparatus and method for determining a characteristic of one or more structures on a substrate

Also Published As

Publication number Publication date
TW202004366A (zh) 2020-01-16
WO2019233738A1 (en) 2019-12-12
CN112236724A (zh) 2021-01-15
US20190378012A1 (en) 2019-12-12
US12112260B2 (en) 2024-10-08
CN116758012A (zh) 2023-09-15

Similar Documents

Publication Publication Date Title
CN112236724B (zh) 确定衬底上的一个或更多个结构的特性的量测设备和方法
CN110553602B (zh) 度量方法、计算机产品和系统
JP6738423B2 (ja) 測定を向上させるための非対称なサブ分解能フィーチャを用いるリソグラフィプロセスの光学計測
CN112005157B (zh) 用于确定衬底上的一个或更多个结构的特性的量测设备和方法
TWI796917B (zh) 量測微影程序之參數之方法、度量衡裝置及非暫時性電腦程式產品
CN105874388B (zh) 用于量测目标的设计的方法和设备
KR101457030B1 (ko) 오버레이 오차를 결정하는 장치 및 방법
TWI430333B (zh) 決定疊對誤差之方法及裝置
TWI559099B (zh) 用於設計度量衡目標之方法及裝置
JP2019537237A (ja) メトロロジレシピ選択
EP3444674A1 (en) Method and apparatus to determine a patterning process parameter
KR20200077590A (ko) 패터닝 프로세스에 관한 정보를 결정하는 방법, 측정 데이터의 오차를 감소시키는 방법, 계측 프로세스를 교정하는 방법, 및 계측 타겟을 선택하는 방법
TW201531810A (zh) 用於設計度量衡目標之方法及裝置
SG175246A1 (en) Inspection method for lithography
CN111316167A (zh) 校准聚焦测量结果的方法、测量方法和量测设备、光刻系统以及器件制造方法
US9163935B2 (en) Device manufacturing method and associated lithographic apparatus, inspection apparatus, and lithographic processing cell
EP3579052A1 (en) Metrology apparatus and method for determining a characteristic of one or more structures on a substrate
NL2021802A (en) Metrology apparatus and method for determining a characteristic of one or more structures on a substrate
EP3299890A1 (en) Metrology recipe selection
EP4080284A1 (en) Metrology tool calibration method and associated metrology tool
EP4374226A1 (en) Methods and computer programs for data mapping for low dimensional data analysis
CN117642700A (zh) 用于低维度数据分析的数据映射的方法和计算机程序

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant