CN111133384A - 用于确定图案化过程参数的方法 - Google Patents

用于确定图案化过程参数的方法 Download PDF

Info

Publication number
CN111133384A
CN111133384A CN201880061025.9A CN201880061025A CN111133384A CN 111133384 A CN111133384 A CN 111133384A CN 201880061025 A CN201880061025 A CN 201880061025A CN 111133384 A CN111133384 A CN 111133384A
Authority
CN
China
Prior art keywords
target
radiation
measurement
wavelength
asymmetry
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201880061025.9A
Other languages
English (en)
Other versions
CN111133384B (zh
Inventor
M·J·J·杰克
S·G·J·马斯杰森
K·布哈塔查里亚
张源宰
边珍武
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASML Netherlands BV
Original Assignee
ASML Netherlands BV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from EP17192525.8A external-priority patent/EP3460574A1/en
Application filed by ASML Netherlands BV filed Critical ASML Netherlands BV
Publication of CN111133384A publication Critical patent/CN111133384A/zh
Application granted granted Critical
Publication of CN111133384B publication Critical patent/CN111133384B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • G03F7/70133Measurement of illumination distribution, in pupil plane or field plane
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • G03F7/70091Illumination settings, i.e. intensity distribution in the pupil plane or angular distribution in the field plane; On-axis or off-axis settings, e.g. annular, dipole or quadrupole settings; Partial coherence control, i.e. sigma or numerical aperture [NA]
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/7055Exposure light control in all parts of the microlithographic apparatus, e.g. pulse length control or light interruption
    • G03F7/70575Wavelength control, e.g. control of bandwidth, multiple wavelength, selection of wavelength or matching of optical components to wavelength
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching

Abstract

一种用于确定图案化过程参数的方法,该方法包括:针对目标,从利用包括中心波长的辐射照射该目标所获得的数据计算中间参数的第一值;针对该目标,从利用包括两种不同中心波长的辐射照射该目标所获得的数据计算该中间参数的第二值;并且基于该中间参数的第一值和第二值计算图案化过程参数的组合测量。

Description

用于确定图案化过程参数的方法
相关申请的交叉引用
本申请要求于2017年09月22日提交的EP申请17192525.8的优先权,以及2018年1月12日提交的EP申请18151420.9,它们全文通过引用而结合于此。
技术领域
本公开涉及一种用于确定图案化过程参数的方法、一种量测装置、一种非瞬态计算机程序产品以及一种系统。
背景技术
光刻装置是一种将所期望图案应用于衬底上——通常是应用到衬底的目标部分上——的机器。光刻装置例如可以在集成电路(IC)的制造中使用。在该实例中,可替换地被称作掩模(mask)或掩模版(reticle)的图案化设备可以被用来生成要在IC的个体层上形成的电路图案。该图案可以被传递到衬底(例如,硅晶片)上的目标部分(例如,包括一个或多个裸片中的一部分)上。图案的传递通常是经由到衬底上提供的辐射敏感材料(抗蚀剂)层的成像来进行的。一般而言,单个衬底将包含被连续图案化的相邻目标部分的网络。
使得图案化过程(即,涉及图案化(诸如光刻曝光或压印)的创建器件或其它结构的过程,其通常可以包括一个或多个相关联的处理步骤,诸如抗蚀剂的显影、蚀刻等)能够进行的重要方面包括对过程本身进行开发,对其进行设置以用于监视和控制,以及随后实际监视并控制该过程自身。假设图案化过程的基础的配置——诸如图案化设备的(多种)图案、(多种)抗蚀剂类型、光刻后的过程步骤(诸如显影、蚀刻等),期望设置图案化过程中的装置以便将图案传递到衬底上,显影一个或多个量测目标以监视该过程,设置量测过程以测量该量测目标,并且随后实施监视的过程和/或基于该测量控制该过程。
因此,在图案化过程中,期望确定(例如,测量,使用对图案化过程的一个或多个方面进行建模的一种或多种模型进行模拟,等等)一个或多个感兴趣的参数,诸如结构的临界尺寸(CD),在衬底之中或之上形成的连续层之间的重叠误差(即,连续层所不期望的或无意的未对准),等等。
期望针对图案化过程所创建的衬底,确定这样的一个或多个感兴趣参数,并且将它们用于与图案化过程有关的设计、控制和/或监视,例如用于过程设计、控制和/或验证。所确定的图案化结构的一个或多个感兴趣参数可以被用于图案化过程的设计、校正和/或验证、缺陷检测或分类、产出估计和/或过程控制。
因此,在图案化过程中,期望频繁地对所创建的结构进行测量,以例如用于过程控制和验证。用于进行这样的测量的各种工具是已知的,包括经常被用来测量临界尺寸(CD)的扫描电子显微镜,以及用于测量重叠(即,器件中的两个层的对准准确性的度量)的专用工具。重叠可以就两个层之间的未对准程度来描述,例如对1nm的所测量重叠的引用可以描述两个层未对准1nm的情形。
已经开发出用于在光刻领域中使用的各种形式的检查装置(例如,量测装置)。这些设备将辐射束引导至目标上并且测量重定向(例如,散射)辐射的一种或多种性质——例如,作为波长的函数的单一反射角度下的强度;作为反射角度的函数的一种或多种波长下的强度;或者作为反射角度的函数的偏振——以获得能够由其确定目标的感兴趣性质的“谱”。感兴趣性质的确定可以通过各种技术来实行:例如,通过诸如严格耦合波分析或有限元方法、库搜索、和主成分分析之类的迭代方法对目标进行重构。
另一技术涉及使(对应于反射镜反射的)第零阶衍射受阻,而仅处理较高阶的衍射。这样的量测示例可以在PCT专利申请公开号WO 2009/078708和WO 2009/106279中找到,它们通过引用全文结合于此。该技术的进一步发展已经在美国专利申请公开号US2011-0027704、US 2011-0043791和US 2012-0242940中被描述,它们均通过引用全文结合于此。这样的基于衍射的技术通常被用来测量重叠。用于技术的目标可以小于照射斑并且可以被衬底上的产品结构所包围。目标可以包括多个周期性结构,它们可以在一个图像中被测量。在这样的量测技术的特定形式中,可以通过在在旋转目标或者改变照射模式或成像模式以单独获得正(例如,第+1)和互补(例如,第-1)衍射阶强度的同时,在某些条件下测量目标两次而获得重叠测量结果。针对给定目标的强度非对称性——这些衍射阶强度的对比——提供了目标非对称性(即,目标中的非对称性)的度量。目标中的该非对称性可以被用作重叠误差的指征。
在重叠测量的示例中,以上技术依赖于重叠(即,重叠误差和刻意偏置)是目标中的目标非对称性的唯一原因这一假设。目标或测量中的任何其它非对称性,诸如上层和/或下层中的周期性结构内的特征的结构非对称性、使用传感器进行的测量中的非对称性等,也会导致第1(或其它更高)阶的所测量的强度非对称性。归因于目标和/或测量中的这种其它非对称性所导致的并且与重叠(包括有意偏置)无关的这种强度非对称性的对重叠测量造成了扰动,导致不准确的重叠测量。
特定测量辐射波长下的测量结果可能出现显著变化。如果假设没有特征非对称性的影响,则不同的测量结果可以产生非常不同的重叠结果。使用从两种不同测量辐射波长所取得的测量是可能的,使得重叠测量对于任何特征非对称性的影响更加鲁棒。然而,在一些情况下,与利用单一测量辐射波长进行的测量相比,使用不同测量辐射波长的测量受到更多噪声的影响。
发明内容
期望对确定诸如重叠的图案化过程参数的准确性加以改善。期望减少噪声对于图案化过程参数的确定的影响。期望改善图案化过程参数的确定的再现性。
在一个实施例中,提供了一种确定图案化过程参数的方法,该方法包括:针对目标,从利用包括中心波长的辐射照射该目标所获得的数据计算中间参数的第一值;针对该目标,从利用包括两种不同中心波长的辐射照射该目标所获得的数据计算该中间参数的第二值;并且基于该中间参数的第一值和第二值计算图案化过程参数的组合测量。
在一个实施例中,提供了一种确定图案化过程参数的方法,该方法包括:针对跨衬底的层的多个目标中的每一个目标,计算图案化过程参数的测量,其中针对至少一个目标,该测量从利用包括中心波长的辐射照射该目标所获得的数据来计算,并且针对至少一个其它目标,该测量从利用包括两种不同中心波长的辐射照射该目标所获得的数据来计算。
在下文参考附图详细描述本发明另外的特征和优势以及各个实施例的结构和操作。注意到,本发明并不局限于本文所描述的具体实施例。这样的实施例仅是出于说明的目的在本文给出。基于本文所包含的教导,另外的实施例对于相关(多个)领域的技术人员而言将是清楚明白的。
附图说明
现在将参考附图仅通过示例对本发明的实施例进行描述,其中:
图1描绘了光刻装置的实施例;
图2描绘了光刻单元或簇的实施例;
图3示意性地描绘了示例的检查装置和量测技术;
图4示意性地描绘了示例的检查装置;
图5图示了检查装置的照射斑与量测目标之间的关系;
图6示意性地描绘了基于测量数据导出多个感兴趣变量的过程;
图7A描绘了被配置为使用第一对照射孔测量目标的检查装置(例如,这种情况下的暗场散射仪)的示意图;
图7B示意性地描绘了针对给定照射方向的目标周期性结构的衍射光谱的细节;
图7C示意性地描绘了在使用图7A的检查装置进行基于衍射的重叠测量时提供了另外的照射模式的第二对照射孔;
图7D示意性地描绘了组合了第一对和第二对孔的第三对照射孔。
图8描绘了多个周期性结构目标的形式以及测量斑在衬底上的轮廓;
图9描绘了在图7A的检查装置中获得的图8的目标的图像;
图10是示出使用图3的检查装置的重叠测量方法的步骤的流程图;
图11A、图11B和图11C分别示出了在零区域具有不同重叠值的重叠周期性结构的示意性截面图;
图11D是由于处理影响而导致在底部周期性结构中具有结构非对称性的重叠周期性结构的示意性截面图;
图11E是具有带刻意偏置的周期性结构的重叠目标的示意性俯视图;
图11F描绘了从诸如图11中所描绘的目标检测到的特定阶辐射的衍射信号的示例;
图11G描绘了从诸如图11中所描绘的目标检测到的另一个特定阶辐射的衍射信号的示例;
图11H是用于描述来自具有两层周期性结构的目标的辐射衍射的简单模型的示意性描绘;
图12图示了不受结构非对称性影响的理想目标中的重叠测量的原理;
图13图示了如本文的实施例中所公开的结构非对称性被校正的非理想目标中的重叠测量的原理;
图14是根据一个实施例的没有特征非对称性影响的重叠目标的A+对A-的绘图;
图15是根据一个实施例的具有特征非对称性影响的重叠目标的A+对A-的绘图;
图16A、16B和16C是根据一个实施例的具有各种特征非对称性影响(包括没有特征非对称性影响)的重叠目标的A+对A-的绘图;
图17A是根据一个实施例的没有特征非对称性影响的重叠目标的A+对A-的绘图;
图17B是根据一个实施例的具有特征非对称性影响的重叠目标的A+对A-的绘图;
图18是根据一个实施例的没有特征非对称性影响的重叠目标实例和具有特征非对称性影响的重叠目标实例的A+对A-的绘图;
图19是示出测量辐射波长和重叠敏感度之间的关系的示图;
图20是示出测量辐射波长和叠层敏感度之间的关系的示图;
图21是示出衬底上的位置与单波长和多波长重叠值之间的差值之间的关系的示意图;
图22是示出根据本发明一个实施例的衬底上的位置与单波长和多波长测量可以如何混合之间的关系的示意图;
图23是示出被划分为区域的衬底的层的示意图。
具体实施方式
在详细描述本发明的实施例之前,给出实施例可以在其中实施的示例环境是有益的。
图1示意性描绘了光刻装置LA。该装置包括被配置为调节辐射束B(例如,UV辐射或DUV辐射)的照射光学系统(照射器)IL,被构造为支撑图案化设备(例如,掩模)MA并且连接至第一定位器PM的图案化设备支撑件或支撑结构(例如,掩模台)MT,所述第一定位器PM被配置为依据某些参数准确定位该图案化设备;被构造为保持衬底(例如,涂覆有抗蚀剂的晶片)W并且连接至第二定位器PW的衬底台(例如,晶片台)WT,所述第二定位器PW被配置为依据某些参数准确定位衬底;和被配置为将图案化设备MA所赋予至辐射束B的图案投影到衬底W的目标部分C(例如,包括一个或多个裸片)上的投影光学系统(例如,折射投影透镜系统)PS。
该照射光学系统可以包括用于对辐射进行定向、整形或控制的各种类型光学组件,诸如折射、反射、磁性、电磁、静电或其它类型的光学组件,或者它们的任意组合。
图案化设备支撑以取决于图案化设备的定向、光刻装置的设计和其它条件的方式保持该图案化设备,其它条件诸如例如图案化设备是否被保持在真空环境中。图案化设备支撑件可以使用机械、真空、静电或其它夹持技术来保持图案化设备。图案化设备支撑件可以是框架或台,其例如可以根据需要而是固定的或可移动的。该图案化设备支撑件可以确保图案化设备处于例如关于投影系统的所期望位置。术语“掩模版”或“掩模”在本文的任何使用都可以被认为是更一般性的术语“图案化设备”的同义词。
本文所使用的术语“图案化设备”应当宽泛地被解释为指代可以被用来在辐射束的横截面中对辐射束赋予图案以诸如在衬底的目标部分中形成图案的任何设备。应当注意的是,被赋予至辐射束的图案可能并不完全对应于衬底的目标部分中的所期望图案,例如在图案包括相移特征或所谓的辅助特征的情况下。通常,被赋予至辐射束的图案将对应于正在目标部分(诸如集成电路)中创建的器件中的特定功能层,。
图案化设备可以是透射式或反射式的。图案化设备的示例包括掩模、可编程反射镜阵列和可编程LCD面板。掩模在光刻中是公知的,并且可以包括诸如二元、交替相移和衰减相移之类的掩模类型,以及各种混合掩模类型。可编程反射镜阵列的示例采用小型反射镜的矩阵排列,其中每个反射镜可以被单独倾斜从而沿不同方向反射入射辐射束。倾斜反射镜在被反射镜矩阵所反射的辐射束中赋予图案。
如本文所描绘的,该装置是透射式类型(例如,采用透射式掩模)。可替换地,该装置可以是反射式类型(例如,采用如上文所提到类型的可编程反射镜阵列,或者采用反射式掩模)。
光刻装置也可以是以下的类型,其中衬底的至少一部分被具有相对高折射率的液体(例如水)所覆盖,从而填充投影系统和衬底之间的空间。浸没液体也可以被应用于光刻装置中的其它空间,例如掩模和投影系统之间。浸没技术在本领域是公知的,以用于提高投影系统的数值孔径。如本文所使用的术语“浸没”并非意味着诸如衬底之类的结构必须被浸入液体之中,而是仅意味着液体位于投影系统和曝光期间的衬底之间。
参考图1,照射器IL接收来自辐射源SO的辐射束。该源和光刻装置可以是分离的实体,例如在该源是准分子激光器时。在这样的情况下,该源并不被认为形成光刻装置的一部分,并且辐射束借助于光束传递系统BD而从源SO被传递至照射器IL,该光束传递系统BD例如包括适当的定向反射镜和/或光束扩展器。在其它情况下,该源可以是该装置的组成部分,例如在该源是汞灯时。源SO和照射器IL——在需要的情况下连同光束传递系统BD一起——可以被称作辐射系统。
照射器IL可以包括用于调节辐射束的角强度分布的调节器AD。通常,至少可以对照射器的光瞳面的强度分布中的外部和/或内部径向范围(一般分别被称作σ外和σ内)进行调节。此外,照射器IL可以包括各种其它部件,诸如积分器IN和聚光器CO。照射器可以被用来调节辐射束,以在其横截面具有所期望的均匀性和强度分布。
辐射束B入射在被保持在图案化设备支撑件(例如,掩模台MT)上的图案化设备(例如,掩模)MA上,并且被图案化设备所图案化。在遍历图案化设备(例如,掩模)MA之后,辐射束B经过投影系统PS,后者将该光束聚焦于衬底W的目标部分C上,由此将图案的图像投影在目标部分C上。借助于第二定位设备PW和位置传感器IF(例如,干涉测量设备、线性编码器、2-D编码器或电容传感器),衬底台WT可以被准确地移动,从而例如将不同的目标部分C定位在辐射束B的路径中。类似地,第一定位设备PM和另一位置传感器(其并未在图1中明确描绘)可以被用来关于辐射束B的路径准确定位图案化设备(例如,掩模)MA,例如在从掩模库进行机械检索之后或者在扫描期间。
图案化设备(例如,掩模)MA和衬底W可以使用掩模对准标记M1、M2和衬底对准标记P1、P2进行对准。虽然如所图示的衬底对准标记占据了专用的目标部分,但是它们可以被定位在目标部分之间的空间中(这些标记被称作刻道对准标记)。类似地,在图案化设备(例如,掩模)MA上提供有多于一个的裸片的情况下,该掩模对准标记可以位于裸片之间。小的对准标记也可以被包括在裸片之内设备特征之间,在这种情况下,期望该标记尽可能小并且不需要任何与相邻特征不同的成像或过程条件。下文进一步描述检测对准标记的对准系统。
在该示例中,光刻装置LA是所谓的双级类型,其具有两个衬底台WTa、WTb以及两个站——曝光站和测量站——该衬底台可以在它们之间进行交换。在一个衬底台上的一个衬底在曝光站被曝光的同时,另一个衬底可以被加载到测量站处的其它衬底台并且实施各种预备步骤。该预备步骤可以包括使用水平传感器LS映射衬底的表面控制以及使用对准传感器AS测量衬底上的对准标记的位置。这使得能够大幅增加该装置的吞吐量。
所描绘的装置可以以各种模式使用,例如包括步进模式和扫描模式。光刻装置的构造和操作是本领域技术人员所公知的,并且无需为了本发明实施例的理解而进一步描述。
如图2所示,光刻装置LA形成被称作光刻单元LC(或者lithocell)或簇的光刻系统的一部分。光刻单元LC还可以包括用来对衬底执行曝光前和曝光后过程的装置。常规地,这些装置包括用于沉积抗蚀剂层的旋涂机SC、用于显现所曝光的抗蚀剂的显影器DE、激冷板CH和烘烤板BK。衬底处置器或机器人RO从输入/输出端口I/O1、I/O2拾取衬底,将它们在不同过程装置之间移动并且随后送往光刻装置的进料台LB。经常统称为轨道的这些设备处于轨道控制单元TCU的控制之下,所述轨道控制单元TCU自身则由监管控制系统SCS所控制,后者还经由光刻控制单元LACU来控制光刻装置。因此,可以操作不同的装置以使得吞吐量和过程效率最大化。
为了对包括至少一个图案化步骤(例如,光学光刻步骤)的图案化过程(例如,设备制造过程)进行设计、监视、控制等,可以检查图案化衬底并且测量图案化衬底的一个或多个参数。该一个或多个参数可以包括例如在图案化衬底之中或之上形成的连续层之间的重叠,在图案化衬底之中或之上形成的例如特征的临界尺寸(CD)(例如,临界线宽),光学光刻步骤的聚焦或聚焦误差,光学光刻步骤的剂量或剂量误差,光学光刻步骤的光学像差,等。可以对产品衬底自身的目标和/或提供于衬底上的专用量测目标执行该测量。存在用于对形成于图案化过程中的结构进行测量的各种技术,包括使用扫描电子显微镜、基于图像的测量,或者检查工具和/或各种专用工具。一种相对快速且非入侵形式的专用量测和/或检查工具是其中辐射束被引导到衬底表面上的目标上并且对散射(衍射/反射)光束的性质进行测量的工具。通过比较光束在被衬底散射之前和之后的一种或多种性质,可以确定该衬底的一种或多种性质。这可以被称作基于衍射的量测或检查。
图3描绘了示例的检查装置(例如,散射仪)。其包括将辐射投影到衬底W上的宽带(白光)辐射投影器2。经重定向的辐射被送往光谱仪检测器4,后者测量经镜面反射的辐射的光谱10(强度作为波长的函数),如例如在左下方的图形中所示。如在图3的右下方所示出的,根据该数据,例如通过严格耦合波分析和非线性回归或者通过与模拟谱库相比较,可以由处理器PU来重构产生了所检测光谱的结构或轮廓。通常,对于重构而言,结构的一般形式是已知的并且根据制成该结构的工艺的知识而假定一些变量,仅留下要从所测量数据来确定的该结构的几个变量。这样的检查装置可以被配置为正入射检查装置或倾斜入射检查装置。
图4中示出了另一种可以使用的检查装置。在该设备中,辐射源2所发射的辐射使用透镜系统120来准直并且透射通过干涉滤波器130和偏振器170,被部分反射表面160反射并且经由物镜150聚焦为衬底W上的光斑S,所述物镜150具有高的数值孔径(NA),其期望地至少为0.9或至少为0.95。浸没式检查装置(使用相对高折射率的流体,诸如水)甚至可以具有超过1的数值孔径。
如同在光刻装置LA中,可以提供一个或多个衬底台以在测量操作期间保持衬底W。该衬底台在形式上可以与图1的衬底台WT相似或相同。在检查装置与光刻装置集成的示例中,它们甚至可以是相同的衬底台。可以针对第二定位器PW提供粗糙和精细定位器,该第二定位器被配置为将衬底关于测量光学系统准确定位。提供各种传感器和致动器以例如获取感兴趣目标的位置,并且将它们置于物镜150下的位置。通常,将对处于跨衬底W的不同位置的目标进行多次测量。衬底支撑件可以在X和Y方向上移动以获取不同的目标,并且在Z方向上移动以获得目标相对于光学系统的焦点的所期望位置。当例如在实践中,光学系统可以基本上保持静止(通常,沿X方向和Y方向,但也许还沿Z方向)而只有衬底移动时,则思考和描述如同将物镜相对于衬底置于不同位置的操作是方便的。如果衬底和光学系统的相对位置正确,则原理上衬底和光学系统中的哪个在现实世界中正在移动、或者两者都正在移动、或者光学系统的一部分正在移动(例如,沿Z方向和/或倾斜方向)和光学系统的其余部分保持静止并且衬底正在移动(例如,沿X和Y方向,可选地,还沿Z方向和/或倾斜方向)的组合都无关紧要。
衬底W重定向的辐射随后穿过部分反射表面160进入到检测器180中以便使得光谱被检测。检测器180可以位于反向投影焦平面110(即,位于透镜系统150的焦距处)或者平面110可以利用辅助光学器件(未示出)被重新成像到检测器180上。该检测器可以是二维检测器,从而可以测量衬底目标30的二维角散射谱。检测器180例如可以是CCD或CMOS传感器的阵列,并且可以使用例如每帧40毫秒的积分时间。
例如,可以使用参考光束来测量入射辐射的强度。为此,在辐射束入射在部分反射表面160上时,其一部分作为参考光束透过该部分反射表面160射向参考反射镜140。该参考光束随后被投影到相同检测器180的不同部分上,或者可替换地被投影到不同的检测器(未示出)上。
一个或多个干涉滤波器130可用于选择比如405-790nm或者更低——诸如200-300nm——范围内的感兴趣波长。该干涉滤波器可以是可调节的,而不是包括不同滤波器的集合。可以使用光栅而不是干涉滤波器。可以在照射路径中提供孔径光阑或空间照射调制器(未示出)以控制辐射在目标上的入射角度的范围。
检测器180可以测量单一波长(或窄波长范围)的重定向辐射的强度,分别地处于多个波长的强度或者在波长范围上被积分的强度。此外,该检测器可以分别地测量横向磁性偏振辐射和横向电偏振辐射的强度和/或横向磁性偏振辐射和横向电偏振辐射之间的相位差。
衬底W上的目标30可以是1-D光栅,其被印刷为使得在显影之后,形成实心抗蚀剂线条的条。目标30可以是2-D光栅,其被印刷为使得在显影之后,形成具有抗蚀剂中的通孔或实心抗蚀剂柱的光栅。该条、柱或通孔可以被蚀刻到衬底之中或之上(例如,蚀刻到衬底上的一个或多个层之中)。该(例如,条、柱或通孔的)的图案对于图案化过程中的处理变化(例如,光刻投影装置(尤其是投影系统PS)中的光学像差,焦点变化、剂量变化等)是敏感的,并且将以所印刷光栅的变化显示出来。因此,印刷栅格的测量数据被用来重构该栅格。1-D栅格的诸如线宽和/或形状的一个或多个参数,或者2D栅格的诸如柱或通孔宽度或长度或形状之类的一个或多个参数可以被输入到由处理器PU根据印刷步骤和/或其它检查过程的知识所执行的重构过程。
除了通过重构所进行的参数测量之外,可以在产品和/或抗蚀剂图案的特征非对称性测量中使用基于衍射的量测或检查。非对称性测量的特定应用例如是用于重叠的测量,但是其它应用也是已知的。在这种情况下,目标30通常包括相互叠加的一组周期性特征。例如,可以通过比较来自目标30的衍射光谱的相对部分来测量非对称性(例如,比较周期性结构的衍射光谱中的第-1和第1阶)。使用图3或图4的仪器的非对称性测量的构思例如在美国专利申请公开US2006-066855中有所描述,其全文通过引用结合于此。简言之,虽然目标的衍射光谱中的衍射阶的位置仅由目标的周期性所确定,但是衍射光谱中的非对称性指示构成目标的个体特征中的非对称性。在图4的仪器中,在检测器180可以是图像传感器的情况下,这样的衍射阶的非对称性直接表现为检测器180所记录的光瞳图像中的非对称性。该非对称性可以被单元PU中的数字图像处理所测量,并且针对已知重叠值进行校准。
图5图示了典型目标30的平面图,以及图4的装置中的照射斑S的范围。为了获得没有周围结构的干扰的衍射光谱,在一个实施例中,目标40是大于照射斑S的宽度(例如,直径)的周期性结构(例如,光栅)。光斑S的宽度可以小于目标的宽度和长度。换句话说,目标被照射“欠填充”,并且衍射信号基本上没有来自目标自身之外的产品特征等的任何信号。照射装置2、120、130、170可以被配置为跨物镜150的后焦平面提供均匀强度的照射。可替换地,例如通过在照射路径中包括孔,照射可以被限制为轴上或离轴方向。
图6示意性地描绘了基于使用量测获得的测量数据确定目绘图案30’的一个或多个感兴趣变量的值的示例过程。检测器180所检测的辐射提供了针对目标30’的测量辐射分布108。
针对给定目标30’,辐射分布208可以例如使用数值麦克斯韦(Maxwell)求解器210从参数化模型206进行计算/模拟。参数化模型206示出了构成目标并且与之相关联的各种材料的示例层。参数化模型206可以包括所考虑目标的部分的特征和层的一个或多个变量,所述变量可能被改变和导出。如图6所示,该一个或多个变量可以包括一个或多个层的厚度t、一个或多个特征的宽度w(例如,CD)、一个或多个特征的高度h,和/或一个或多个特征的侧壁角度α。虽然并未示出,但是该一个或多个变量可以进一步包括但并不局限于一个或多个层的折射率(例如,实部折射率或复折射率、折射率张量等)、一个或多个层的消光系数、一个或多个层的吸收、显影期间的抗蚀剂损耗、一个或多个特征的基脚和/或一个或多个特征的边缘粗糙度。变量的初始值可以是针对测量的目标所预期的那些值。所测量的辐射分布108随后在212与所计算的辐射分布208进行比较以确定二者之间的差异。如果存在差异,则参数化模型206的一个或多个变量的值可以有所变化,新计算的辐射分布208被计算并且与所测量的辐射分布208进行比较,直至所测量的辐射分布108和所计算的辐射分布208之间充分匹配。此时,参数化模型206的变量的值提供了实际目标30’的几何形状的良好或最佳的匹配。在一个实施例中,在所测量的辐射分布108和所计算的辐射分布208之间的差异处于公差阈值之内时存在充分匹配。
图7A中示出了适于在实施例中使用的另外的检查装置。图7B中则更加详细地图示了目标T以及用来照射目标的测量辐射的衍射光线。所图示的检查装置是被称作暗场量测装置的类型。该检查装置可以是独立设备或者被整合在要么光刻装置LA——例如在测量站——或者要么光刻单元LC中。贯穿该装置具有若干分支的光学轴线由虚线O表示。在该装置中,源11(例如,氙灯)所发射的辐射被包括透镜12、14和物镜16的光学系统经由光学元件15引导到衬底W上。这些透镜以4F布置的双重序列进行排列。可以使用不同的透镜布置,只要其例如将衬底图像提供到检测器上并且同时允许为了空间频率滤波而对中间光瞳面进行访问即可。因此,辐射入射在衬底上的角范围可以通过在表示衬底平面的空间光谱的平面中限定空间强度分布来选择,该平面在这里被称作(共轭)光瞳面。特别地,这可以通过在透镜12和43之间在作为物镜光瞳面的反向投影图像的平面中插入适当形式的孔板13来实现。在所图示的示例中,孔板13具有标记为13N和13S的不同形式,这允许选择不同的照射模式。该示例中的照射系统形成离轴照射模式。在第一照射模式中,孔板13N从被指定为“北”——仅是出于描述的原因——的方向提供离轴辐射。在第二照射模式中,孔板13S被用来提供类似的照射,但是是从标记为“南”的相反方向。通过使用不同孔可能有其它的照射模式。光瞳面的其余部分期望地是暗的,因为所期望照射模式之外的任何不必要辐射都将对所期望的测量信号造成干扰。
如图7B所示,在衬底W垂直于物镜16的光轴O的情况下放置目标T。衬底W可以被支撑件(未示出)所支撑。从偏离轴线O的角度撞击在目标T上的测量辐射的光线形成了第零阶光线(实线0)和两条第一阶光线(点链虚线+1和双点链虚线-1)。应当记住的是,在过度填充的小目标的情况下,这些光线仅是覆盖衬底中包括量测目标T和其它特征的区域的许多平行光线之一。由于板13中的孔具有有限宽度(必须接纳有用数量的辐射),所以入射光线I实际上将会占据一定角度范围,并且衍射光线0和+1/-1将会稍有发散。根据小目标的点扩散函数,+1和-1每一阶都将在一定角度范围上进一步发散,而不是如所示出的单一的理想光线。注意到,目标的周期性结构的节距以及照射角度可以被设计或调节成使得进入物镜的第一阶光线与中心光轴紧密对准。图7A和7B中所示的光线被示为稍微离轴,这只是为了使得它们能够更容易在示图中被辨别。
衬底W上的目标T所衍射的至少第0和1阶由物镜16所收集并且被反向引导通过光学元件15。返回图7A,通过指定被标记为北(N)和南(S)的径向上相对的孔而图示出第一和第二照射模式两者。当测量辐射的入射光线I来自光轴的北侧时,也就是使用孔板13N应用第一照射模式时,被标记为+1(N)的+1衍射光线进入物镜16。与之相反,当使用孔板13S应用第二照射模式时,进入透镜16的则是-1衍射光线(标记为-1(S))。
分束器17将衍射束划分为两个测量分支。在第一测量分支中,光学系统18使用第零阶和第一阶衍射束在第一传感器19(例如,CCD或CMOS传感器)上形成目标的衍射光谱(光瞳面图像)。每个衍射阶击中传感器上不同的点,从而图像处理可以对阶进行比较和对比。传感器19所捕捉的光瞳面图像可以被用于将检查装置对焦和/或对第一阶光束的强度度量进行归一化。该光瞳面图像还可以被用于诸如重构的许多测量用途。
在第二测量分支中,光学系统20、22在传感器23(例如,CCD或CMOS传感器)上形成目标T的图像。在该第二测量分支中,在与光瞳面共轭的平面中提供孔径光阑21。孔径光阑21用来阻挡第零阶衍射束,从而在传感器23上形成的目标的图像仅由-1或+1的第一阶光束所形成。传感器19和23所捕捉的图像被输出至处理图像的处理器PU,后者的功能将取决于所执行测量的特定类型。注意到,术语“图像”在本文以宽泛含义使用。因此,如果仅存在第-1和第+1阶之一,则将不会形成这样的周期性结构特征的图像。
图7A、7C和7D中所示出的特定形式的孔板13和场光阑21仅是示例。在一个实施例中,使用目标的轴上照射并且使用具有离轴孔的孔径光阑以基本上仅将一个第一阶衍射辐射传送至传感器。在又其它的实施例中,除了第一阶光束之外或者作为其替代,可以在测量中使用(图7A、7B、7C或7D中未示出的)第2、第3和更高阶的光束。
为了使得测量辐射能够针对这些不同类型的测量进行调整,孔板13可以包括围绕盘片形成的多个孔,所述盘片进行旋转而使得所期望的图案就位。注意到,孔板13N或13S仅可以被用来测量以一个方向(X或Y,取决于设置)定向的周期性结构。为了测量正交周期性结构,可能执行使得目标旋转90°和270°。图7C和7D中示出了不同的孔板。这些孔板的使用以及该装置的多种其它变化和应用在以上提到的专利申请公开文本中有所描述。
图8描绘了根据已知实践而形成于衬底上的(复合)目标。在该示例中,该目标包括四个周期性结构(例如,光栅)32至35,它们被紧密定位在一起,使得它们将全部处于检查装置的量测辐射照射束所形成的测量斑31之内。该四个周期性结构因此全部同时被照射并且同时成像在传感器19和23上。在专用于重叠测量的示例中,周期性结构32至35自身就是通过重叠周期性结构(该周期性结构被图案化在例如形成在衬底W上的半导体器件的不同层中)而形成的复合周期性结构。周期性结构32至35可以具有不同偏置的重叠偏移量,以便有助于其中形成有该复合周期性结构的不同部分的层之间的重叠测量。下面将参考图8解释重叠偏置的含义。如所示出的,周期性结构32至35还可以在它们的方位上有所不同,从而使得在X和Y方向上衍射入射辐射。在一个示例中,周期性结构32和34是分别具有偏置偏移量+d和-d的X方向周期性结构。周期性结构33和35是分别具有偏置偏移量+d和-d的Y方向周期性结构。这些周期性结构的单独图像可以在传感器23所捕捉的图像中被标识。这仅是目标的一个示例。目标可以包括多于或少于4个的周期性结构,或者仅包括单个周期性结构。
图9示出了在图7的装置中使用图8的目标、使用来自图7D的孔板13NW或13SE,而可以在传感器23上形成并且被传感器23检测的图像的示例。虽然光瞳面图像传感器19无法分辨不同的个体周期性结构32至35,但是图像传感器23却可以。暗的矩形表示传感器上图像的场,衬底上的照射斑23在其内被成像至相对应的圆形区域41。在此之内,矩形区域42-45表示小目标周期性结构32至35的图像。如果目标位于产品区域中,则产品特征在该图像场的外围中也能够看到。图像处理器和控制系统PU使用图案标识处理这些图像以标识周期性结构32至35中的单独图像42至45。以这种方式,图像并不必在传感器框架内的具体位置非常精确地对准,这大幅提高了该测量装置整体的吞吐量。
一旦已经标识了该周期性结构的单独图像,则那些个体图像的强度就可以被测量,例如通过对所标识区域内的所选择像素强度值进行平均或求和。图像的强度和/或其它性质可以互相比较。这些结构可以被组合以测量图案化过程的不同参数。重叠性能是这样的参数的一个重要示例。
图10图示了如何使用例如PCT专利申请公开号WO2011/012624(其通过引用全文结合于此)中所描述的方法来测量包含部件周期性结构32至35的两个层之间的重叠误差(即,不期望且无意的重叠未对准)。该测量通过标识目标非对称性来完成,该目标非对称性通过将目标周期性结构的正常衍射阶图像和互补衍射阶图像中的强度进行比较,以获得强度非对称性的度量来揭示。在一个实施例中,正常衍射阶是第1阶辐射而互补衍射阶是第-1阶辐射。虽然本文的讨论关注于作为第1阶辐射的正常衍射阶而作为第-1阶辐射的互补衍射阶,但是可以比较其它相对应的更高阶的强度,例如第+2和第-2阶。
在步骤S1,通过诸如图2的光刻单元之类的光刻装置将例如半导体晶片的衬底处理一次或多次,以形成包括周期性结构32-35的目标。在S2,使用图7的检查装置,仅使用第一阶衍射束之一(比如,+1阶)获得周期性结构32至35的图像。在步骤S3,无论是通过改变照射模式、或是改变成像模式,或是将衬底W在检查装置的视场中旋转180°,都可以使用其它的第一阶衍射束(-1阶)获得该周期性结构的第二图像。因此,在该第二图像中捕捉到-1阶衍射辐射。
注意到,由于在每个图像中仅包括第一阶衍射辐射的一半,这里所提及的“图像”并不是常规的暗场显微镜图像。目标周期性结构的个体目标特征将不会被分辨。每个目标周期性结构将仅仅地通过某个强度水平的区域来表示。在步骤S4,在每个组件目标周期性结构的图像内,标识将从其测量强度水平的感兴趣区域(ROI)。
在已经标识了每个个体目标周期性结构的ROI并且测量了其强度的情况下,随后就可以确定目标的非对称性以及因此确定重叠误差。这在步骤S5中(例如,由处理器PU)完成,该步骤将针对每个目标周期性结构32至35的正常和互补衍射阶辐射所获得的强度值相比较,以标识它们的强度非对称性,例如它们强度的任何差值。术语“差值”并非意在仅指减法。差值可以以比率的形式来计算。在步骤S6,针对多个目标周期性结构所测量的强度非对称性连同那些目标周期性结构的任何所已知施加的重叠偏置的知识一起被用来计算目标T附近的图案化过程的一个或多个性能参数。
图11A-11D示出了具有不同偏置偏移量的目标周期性结构(重叠周期性结构)的示意性横截面。这些可以被用作如在图7-9中所看到的衬底W上的目标T。仅出于示例的原因而示出了在X方向具有周期性的周期性结构。这些具有不同偏置且具有不同方位的周期性结构的不同组合可以被单独地提供或者被提供作为目标的一部分。
从图11A开始,示出了被形成在标记为L1和L2的至少两个层中的目标600。在下层或底部层L1中,例如光栅的第一周期性结构(下周期性结构或底部周期性结构)由衬底606上的特征602和空间604所形成。在层L2中,例如光栅的第二周期性结构由特征608和空间610所形成。(该横截面被绘制为使得特征602、608(例如,线条)延伸至页面之中。)该周期性结构的图案在两个层中以节距P重复。特征602和608可以采用线、点、块和通孔的形式。在图11A所示的情形中,并不存在由于未对准所导致的重叠贡献,例如没有重叠误差且没有所施加的偏置,从而第二结构的每个特征608都完全置于第一结构中的特征602的上方。
在图11B,示出了具有第一已知所施加偏置+d的相同目标,使得第一结构的特征608相对于第二结构的特征向右偏移了距离d。该偏置距离d在实践中可能是几纳米,例如10nm-20nm,而节距P例如处于300-1000nm的范围内,例如是500nm或600nm。在图11C,描绘了具有第二已知所施加偏置-d的另一个特征,从而608的特征向左偏移。d的值对于每种结构无需是相同的。在图11A至11C中所示的这种类型的偏置周期性结构在上文提到的先前专利申请公开中有所描述。
图11E从上方示意性地描绘了具有子目标612、614、616和618的示例目标600,所述子目标包括诸如图11A至11C中所描绘的上层和下层中的周期性结构。下层在图11E中未示出。在一个实施例中,子目标612、614、616和618被设计为测量两个垂直方向(例如,X和Y)中的重叠并且为了促成所述测量而具有所施加偏置d(如上文关于图11B和11C所描述的)。虽然图11E的实施例示出了四个子目标,但是可能有不同的数量并且它们可以全部被用来测量1个方向的重叠或者用来测量多于2个方向的重叠。
在一个实施例中,子目标612和614被设计为共同测量X方向的重叠。在一个实施例中,子目标612具有偏置+d,而子目标614则具有偏置-d。在一个实施例中,子目标616和618被设计为共同测量Y方向的重叠。在一个实施例中,子目标616具有偏置+d,而子目标618则具有偏置-d。
图11F描绘了诸如图11E中所描绘的目标600的来自步骤S2的正常(例如,第+1)阶辐射的所检测衍射信号的示例。图11G描绘了诸如图11E中所描绘的目标600的来自步骤S3的互补(例如,第-1)阶辐射的所检测衍射信号的示例。对于每个周期性结构方向(X和Y),存在具有在图11F和11G中通过“+”(针对+d偏置)和“-”(针对-d偏置)所示出的刻意偏置的相反方向的两个周期性结构。因此,X+表示从子目标612所检测的衍射信号,X-表示从子目标614所检测的衍射信号,Y+表示从子目标618所检测的衍射信号,而Y-表示从子目标616所检测的衍射信号。因此,在每个周期性结构的周期检测中检测到四个衍射强度信号。
图11H是用于描述来自具有(诸如图11A至11C中所示的)双层周期性结构的目标(诸如子目标612、614、616或618)的辐射的衍射的简单模型的示意性描绘。示出了来自上层和下层的衍射辐射的复振幅。来自下层的衍射辐射包括来自重叠的相位贡献。
在图12中,曲线702示出了针对“理想”目标的重叠OV和强度非对称性A(例如,第+1和第-1衍射阶强度之间的差值)之间的关系,所述“理想”目标在形成目标的个体周期性结构内——尤其是在第一结构的个体周期性结构内——没有结构非对称性并且具有零偏移量。因此,该理想目标的目标非对称性仅包括由于第一结构和第二结构的非对准所导致的重叠贡献,其源自于所已知施加的偏置和重叠误差OVE。该示图以及图13的示图仅图示了本公开背后的原理,并且在每个示图中,强度非对称性和重叠OV的单位是任意的。以下将进一步给出实际尺寸的示例。
在图12的“理想”情形中,曲线702指示强度非对称性A与重叠具有非线性的周期性关系(例如,正弦关系)。该正弦变化的周期P对应于周期性结构的周期或节距P,显然其被转换为适当的比例。该正弦形式在该示例中是纯粹的,但是在实际情况中可能包括谐波。
如上文提到的,偏置的周期性结构(具有所已知施加的重叠偏置)可以被用来测量重叠,而不是依赖于单一测量。该偏置具有在图案化设备(例如,掩模版)中所定义的已知值(该图案化设备根据该已知值制造),所述值用作与所测量强度非对称性相对应的重叠的衬底上校准。在附图中,以图形方式图示了计算。在步骤S1-S5中,针对分别具有所施加偏置+d和-d的周期性结构(例如,如图11B和11C所示),获得强度非对称性测量A+d和A-d。如所示出的,将这些测量拟合至正弦曲线给出了点704和706。已知该偏置,就能够计算出真实的重叠误差OVE。该正弦曲线的节距P根据目标的设计是已知的。曲线702的垂直标度一开始并不知晓,而是一个未知因子,其可以被称作第1谐波比例常数K。因此,重叠敏感度K是强度非对称性针对重叠的敏感度的度量。在一个实施例中,所测量的强度关于重叠成比例。这因此有助于检测重叠的过程依赖性。
在等式项中,重叠误差OVE和强度非对称性A之间的关系被假设为:
A±d=Ksin(OVE±d) (1)
其中重叠误差OVE以这样的标度表达,使得目标节距P对应于2π弧度的角度。使用具有不同的已知偏置(例如,+d和-d)的周期性结构的两个测量,重叠误差OVE可以使用下式来计算
Figure BDA0002418396290000221
返回参考图11H,重叠OV(也被称作重叠误差OVE)也可以如下评估。具体地,基于图11H中所表示的模型,第+1和-1阶的衍射辐射的强度可以如下计算:
Figure BDA0002418396290000222
其中
Figure BDA0002418396290000223
是由于重叠和偏置所导致的相位差,并且
Figure BDA0002418396290000224
是来自上层和下层的衍射辐射之间的相位差,其与上周期性结构和下周期性结构之间的层厚度T成比例并且与入射辐射的波长成反比。
为了便利,一个周期性结构方向(例如,X)的四个强度可以被指定如下:
-PBN(来自正偏置周期性结构的第+1衍射阶)
-PBC(来自正偏置周期性结构的第-1衍射阶)
-NBN(来自负偏置周期性结构的第+1衍射阶)
-NBC(来自负偏置周期性结构的第-1衍射阶)
因此,ΔIPB可以被指定为PBN-PBC并且ΔINB可以被指定为NBN-NBC。由此,在来自第+1和-1阶辐射的衍射波以及还来自正偏置和负偏置周期性结构的振幅和相位(排除了重叠相位)相等,以及量测设备的光学器件自身对称的假设之下,第+1和-1阶辐射的强度之间的差值被导出为ΔI=K.sin(ΦOV),其中K是等于K=4A.B.sin(β)的重叠比例。因此,重叠可以被如下计算:
Figure BDA0002418396290000231
现在,图11D示意性地示出了结构非对称性的现象,在此情况下,所述结构非对称性是第一结构中的结构非对称性(下部结构非对称性或底部结构非对称性)。图11A至11C的周期性结构中的特征被示为是侧边完全为正方形的,而真实特征的侧边上会有一些斜率以及某些粗糙度。然而,它们意在至少在轮廓上是对称的。图11D的第一结构中的特征602和/或空间604完全不再具有对称形式,而是已经通过一个或多个处理步骤而变得扭曲。因此,例如,每个空间的底部表面已经变得倾斜(底壁倾斜)。例如,特征和空间的侧壁角度已经变为非对称的。作为其结果,目标的整体目标非对称性将包括与结构非对称性(即,由于第一结构和第二结构未对准所导致的重叠贡献;其自身由重叠误差和任何已知施加的偏置所构成)无关的重叠贡献和由于目标中的该结构非对称性所导致的结构贡献。
在仅使用两个偏置的周期性结构通过图10的方法来测量重叠时,该过程所引发的结构非对称性无法与由于未对准所导致的重叠贡献区分开来,并且因此重叠测量(尤其是测量不期望的重叠误差)变得不可靠。目标的第一结构(底部周期性结构)中的结构非对称性是结构非对称性的常见形式。其例如可能源自于在第一结构初始形成之后所执行的衬底处理步骤,诸如化学机械抛光(CMP)。
图13示出了引入例如图11D中所示的底部周期性结构非对称性的结构非对称性的第一影响。“理想”的正弦曲线702不再适用。然而,至少近似地,底部周期性结构非对称性或其它结构非对称性具有向强度非对称性A±d增加强度移位项K0和相移项φ的影响。所产生的曲线被示为图中的712,其中标记K0指示强度移位项,并且标记φ指示相位移位项。强度移位项K0和相移项φ取决于目标和所选择的测量辐射的特性——诸如测量辐射的波长和/或偏振——的组合,并且对于过程变化是敏感的。在等式项中,用于步骤S6中的计算的关系变为:
A±d=K0+K sin(OVE±d+φ) (5)
在存在结构非对称性的情况下,等式(2)所描述的重叠模型将提供被强度移位项K0和相移项φ所影响的重叠误差值,并且因此将是不准确的。在映射重叠误差时,该结构非对称性还将导致使用一个或多个不同测量参数(例如,测量束的波长、测量束的偏振,等)对相同目标所进行测量的误差,这是因为强度和相移例如是依赖于波长和/或偏振的。
经修改的步骤S6的重叠计算依赖于某些假设。首先,假设强度非对称性表现为重叠的正弦函数,其中周期P对应于周期性结构节距。这些假设对于当前的重叠范围是有效的。谐波的数量可以被设计为很小,因为小的节距-波长比率仅允许来自周期性结构的少量传播衍射阶。然而,实践中,由于未对准所导致重叠对于强度非对称性的贡献可能不一定确实是正弦的,并且可能不一定关于OV=0完全对称。
因此,结构非对称性的影响一般可以以公式表达为:
ΔI+=K(OV+d)+ΔIBG (6)
ΔI_=K(OV-d)+ΔIBG (7)
其中ΔI-(也与A-同义)和ΔI+(也与A+同义)分别表示针对负偏置和正偏置的周期性结构所测量的强度非对称性,并且ΔIBG是针对结构非对称性的强度非对称性的贡献。并且因此,重叠误差ΔOV可以被认为是ΔIBG/K的函数。
现在,已经进一步发现,除了目标中的结构非对称性之外或者作为其替代,目标的相邻周期性结构之间或者相邻目标之间的叠层差异可能是对诸如重叠测量之类的测量的准确性造成不利影响的因素。叠层差异可以被理解为相邻周期性结构或目标之间的物理配置中的非故意差异。叠层差异导致相邻周期性结构或目标之间的测量辐射的光学性质(例如,强度、偏振等)的差异,这是除了重叠误差、有意偏置以及相邻周期性结构或目标所共有的结构非对称之外的原因。叠层差异包括但并不局限于相邻周期性结构或目标之间的厚度差异(例如,一个或多个层的厚度差异,从而一个周期性结构或目标比被设计为基本上等同水平的另一个周期性结构或目标更高或更低),相邻周期性结构或目标之间的折射率差异(例如,一个或多个层的折射率差异,从而一个周期性结构或目标的一个或多个层的组合折射率不同于另一个周期性结构或目标的一个或多个层的组合折射率,即使它们被设计为具有基本上相等的组合折射率),相邻周期性结构或目标之间的材料差异(例如,一个或多个层的材料类型、材料一致性等的差异,从而一个周期性结构或目标的材料与被设计为具有基本上相同材料的另一个周期性结构或目标的材料存在差异),相邻周期性结构或目标中的结构的周期性结构的周期的差异(例如,一个周期性结构或目标的周期性结构的周期与被设计为具有基本上相同的周期性结构的周期的另一个周期性结构或目标的周期的差异),相邻周期性结构或目标的结构深度的差异(例如,由于在一个周期性结构或目标的结构的深度进行的蚀刻所导致的与被设计为具有基本上相同深度的另一个周期性结构或目标的差异),相邻周期性结构或目标的宽度(CD)差异(例如,一个周期性结构或目标的特征宽度与被设计为具有基本上相同的特征宽度的另一个周期性结构或目标的差异),等等。在一些示例中,叠层差异是由图案化过程中诸如CMP、层沉积、蚀刻等的处理步骤所引入的。在一个实施例中,如果彼此处于200μm内、彼此处于150μm内、彼此处于100μm内、彼此处于75μm内、彼此处于50μm内、彼此处于40μm内、彼此处于30μm内、彼此处于20μm内或者彼此处于10μm内,则周期性结构或目标是相邻的。
叠层差异(其可以被称作光栅之间的光栅不平衡)的影响可以总体上以公式表示为:
ΔI+=(K+ΔK)(OV+d) (8)
ΔI_=(K-ΔK)(OV-d) (9)
其中ΔK表示可归因于叠层差异的重叠敏感度的差异。从而,重叠误差ΔOV可以与
Figure BDA0002418396290000261
成比例。
因此,为了表征叠层差异,可以定义一个或多个叠层差异参数。如上文提到的,叠层差异参数是相邻周期性结构或目标的非故意的不同物理配置的度量。在一个实施例中,叠层差异参数可以通过评估相邻周期性结构或目标的横截面来确定。
在一个实施例中,可以通过在施加上周期性结构之前评估相邻的下周期性结构来确定针对复合周期性结构中的相邻的下周期性结构的叠层差异参数。在一个实施例中,叠层差异参数可以根据相邻周期性结构或目标的光学测量或者相邻周期性结构或目标的横截面而从相邻周期性结构或目标的重构而导出。也就是说,物理尺寸、特性、材料性质等被重构并且相邻周期性结构或目标之间的差异被确定以得到叠层差异参数。
叠层差异参数的一个实施例是周期性结构强度不平衡(GI),其可以被定义为:
Figure BDA0002418396290000271
其中
Figure BDA0002418396290000272
是具有+d偏置的第一周期性结构所衍射的第+1衍射阶强度信号
Figure BDA0002418396290000273
和具有+d偏置的第一周期性结构所衍射的第-1衍射阶强度信号
Figure BDA0002418396290000274
的平均值。类似地,
Figure BDA0002418396290000275
是具有-d偏置的第二周期性结构所衍射的第+1衍射阶强度信号
Figure BDA0002418396290000276
和具有-d偏置的第二周期性结构所衍射的第-1衍射阶强度信号
Figure BDA0002418396290000277
的平均值。在一个实施例中,周期性结构强度不平衡(GI)可以是所导出的形式,诸如
Figure BDA0002418396290000278
等。
另外,目标的测量准确性和/或敏感度可以关于目标自身的一种或多种属性和/或提供到目标上的测量辐射的一种或多种属性而变化,所述属性例如是辐射的波长、辐射的偏振和/或辐射的强度分布(即,角或空间强度分布)。在一个实施例中,辐射的波长范围被限制为从一定范围选择(例如,从大约400nm至900nm的范围选择)的一个或多个波长。另外,可以提供辐射束的不同偏振的选择(例如,TE偏振辐射和TM偏振辐射)并且可以例如使用多个不同的孔而提供各种照射形状。
因此,为了使得能够进行这样的选择和测量,可以使用规定使用该测量系统的测量的一个或多个参数的量测处方。在一个实施例中,术语“量测处方”包括测量自身的一个或多个参数,所测量目标的图案的一个或多个参数,或者此二者。
在该上下文中,所测量目标(也被称作“目标”或“目标结构”)的图案可以是被光学测量的图案,例如其衍射被测量的图案。所测量的目绘图案可以是出于测量目的而被专门设计或选择的图案。目标的多个副本可以被置于衬底上的多个地方。
在一个实施例中,如果量测处方包括测量自身的一个或多个参数,则测量自身的该一个或多个参数可以包括与用来进行该测量的测量束和/或测量装置相关的一个或多个参数。例如,如果在量测处方中使用的测量是基于衍射的光学测量,则测量自身的一个或多个参数可以包括测量辐射的波长和/或测量辐射的偏振,和/或测量辐射强度分布,和/或相对于衬底的测量辐射的照射角度(例如,入射角度、方位角等),和/或相对于衬底上的图案的经衍射的测量辐射的相对方位,和/或目标的测量点或实例的数量,和/或所测量的目标实例在衬底上的位置。该测量自身的一个或多个参数可以包括在测量中使用的量测装置的一个或多个参数,其可以包括检测器敏感度、数值孔径等。
在一个实施例中,如果该量测处方包括所测量图案的一个或多个参数,则该所测量图案的一个或多个参数可以包括一个或多个几何特性(诸如图案的至少一部分的形状,和/或图案的至少一部分的方位,和/或图案的至少一部分的节距(例如,周期性结构的节距,其包括下周期性结构的节距和/或在下周期性结构之上的层中的上周期性结构的节距),和/或图案的至少一部分的大小(例如,CD)(例如,周期性结构的特征的CD,包括上周期性结构和/或下周期性结构的特征的CD),和/或图案的特征分割(例如,周期性结构的特征到子结构的划分),和/或周期性结构的长度或周期性结构的特征的长度),和/或图案的至少一部分的材料性质(例如,折射率、消光系数、材料类型等),和/或图案的标识(例如,将一个图案与另一个图案加以区分),等等。
量测处方可以以如(r1,r2,r3,…rn;t1,t2,t3,…tm)的形式来表达,其中ri是测量的一个或多个参数,而tj是一个或多个所测量图案的一个或多个参数。如将要意识到的,n和m可以为1。另外,量测处方并不需要具有测量的一个或多个参数以及一个或多个所测量图案的一个或多个参数两者;其可以仅具有测量的一个或多个参数或者仅具有一个或多个所测量图案的一个或多个参数。
可以使用量测处方A和B使得目标经受测量,它们例如在目标被测量的阶段上有所不同(例如,A在其包括潜在图像结构时测量目标,而B在其不包括潜在图像结构时测量目标)和/或在它们的测量的参数方面有所不同。量测处方A和B可以至少在所测量目标上有所不同(例如,A测量第一目标,而B测量不同的第二目标)。量测处方A和B可以在它们的测量以及目标测量的参数上有所不同。量测处方A和B甚至可以并不基于相同的测量技术。例如,处方A可以以基于衍射的测量为基础,而处方B则可以基于扫描电子显微镜(SEM)或原子力显微镜(AFM)测量。
现在,如上文提到的,一些确定重叠的技术假设所测量的强度非对称性仅与周期性结构层之间的实际重叠偏移成比例。然而情况并不一定如此,因为所测量的非对称性还被在目标的周期性结构的生产中出现的各种特征非对称性效应所影响,诸如结构非对称性、叠层差异等。这些特征非对称性效应干扰了基于第一阶非对称性的重叠测量并且会导致测量偏置,从而导致不准确的重叠测量。
一种旨在考虑诸如结构非对称性、叠层差异等的特征非对称性效应的用于分析重叠的技术涉及到自参考技术,其使用在PCT专利申请公开号WO 2015/018625和美国专利申请公开号US2016/0161864中所描述的A+对A-分析,上述申请通过引用全文结合于此。
在一个实施例中,这涉及到A+对A-分析,其包括通过确定作为来自负偏置的周期性结构(例如,周期性结构614)的辐射的非对称性A-(例如,正的第1阶辐射和负的第1阶辐射之间的差异)的函数的来自正的偏置的周期性结构(例如,周期性结构612)的辐射的非对称性A+(例如,正的第1阶辐射和负的第1阶辐射之间的差异)来分析基于衍射的重叠测量。在一个实施例中,针对多个不同的所测量光瞳像素和/或多种不同的波长-偏振组合(即,针对多种不同的量测处方)确定A+和A-。根据该数据,获得经过该数据的曲线(例如,直线)或函数(例如,表示曲线的函数,特别是表示直线的函数)的拟合。在一个实施例中,该拟合通过回归获得。在一个实施例中,该拟合通过线性回归获得。在一个实施例中,可以从该曲线或函数获得重叠的度量(例如,从直线或者该直线的相关联函数的斜率)。本文的描述将关注于曲线(例如,线条),但是将会意识到的,除此之外或可替换地,可以拟合经过该数据的函数(诸如表示诸如直线的曲线的函数)。本文的描述还将关注于A+对A-的绘图,但是如将要意识到的,A+对A-数据的绘图并不是确定经过该数据的拟合曲线或函数所必需的。
图14是用于示出拟合示例的不具有特征非对称性效应的重叠周期性结构的A+(标记为A+d)对A-(标记为A-d)的示例绘图,从而在辐射中存在的仅有的非对称性是由于偏置和重叠所导致的非对称性。在该情况下,A+和A-之间的关联处于经过原点拟合的曲线上,特别是直线上(因为假设没有特征非对称性效应)。所有量测处方的相对应的A+对A-数据点都位于这条线上。(作为拟合的)这条线的斜率与实际的重叠有关。图14示出了取决于数据性质而出现的六条示例的线。标记为OV=0的虚线是指示0重叠并且具有斜率-1的线。该线条将在重叠为零的情况下出现。标记为OV∞的虚线是具有斜率+1的线并且指示接近于无限大的重叠。因此,在数据中存在重叠误差时,将例如存在被标记为OV<0的实线,这是具有小于-1的斜率的线并且指示小于零的重叠,或者将例如存在被标记为OV>0的实线,这是具有大于-1的斜率的线并且指示大于零的重叠。此外,能够看到重叠等于+d,其中d是周期性结构偏置,这将导致沿y轴绘制的线;并且重叠等于-d将导致沿x轴绘制的线。
图15是根据上文所描述的技术的A+对A-绘图,其中重叠目标具有特征非对称性效应。根据上文所讨论的技术,其中重叠目标没有特征非对称性效应,数据点930将利用经过原点的线900拟合。然而,在该实施例中,数据点根据最佳拟合方法(例如,最小平方)由并不一定经过原点的线910所拟合。以这种方式,重叠仍然可以从线910的斜率进行计算;但是可以看到,线910平行于线920,后者表示针对没有特征非对称性效应的相同测量结构所看到的。线910的轴截距——这是线910距线920(与线910具有相同斜率但是经原点标绘的线)的偏移量——在数量上指示特征非对称性效应的影响。
所以,通过例如回归拟合经过A+对A-数据的曲线或函数,可以借助于确定经过数据集的所拟合线条的斜率(此线并不一定被拟合经原点)而产生更为准确的重叠值,原因在于其没有归因于特征非对称性效应所导致的贡献。可选地,可以经由拟合线距原点的偏移量(例如,截距项)来确定特征非对称性效应。
图16A-C是展示数据如何针对特征非对称性效应(包括缺失其)的各种情形进行偏移的A+对A-的绘图。图16A是针对没有特征非对称性效应(例如,没有结构非对称性且没有叠层差异)的重叠目标的A+对A-绘图。线1600表示具有某个重叠的重叠目标的数据,而线1610表示具有不同重叠的重叠目标的数据。如上文所讨论的,线的斜率对应于重叠的大小。从而,箭头示出了数据——以及因此的线——如何根据重叠的大小而旋转。
图16B是示出数据如何被例如重叠目标的结构非对称性所影响的A+对A-的绘图。线1620表示没有特征非对称性效应(并且具有某个重叠)的重叠目标的数据。如上文所讨论的,在没有特征非对称性效应的情况下,线1620经过原点。现在,线1630表示具有结构非对称性(例如,底部光栅结构非对称性)但是具有相同重叠的重叠目标的数据。该结构非对称性导致数据以及因此的线1630在保持相同斜率的同时发生位移。所以,箭头示出了数据以及因此的线1630如何根据结构非对称性的大小而发生位移。
图16C是示出数据如何被例如重叠目标的叠层差异所影响的A+对A-的绘图。线1640表示没有特征非对称性效应(并且具有某个重叠)的重叠目标的数据。如上文所讨论的,在没有特征非对称性效应的情况下,线1640经过原点。现在,线1650表示具有叠层差异但是具有相同重叠的重叠目标的数据。叠层差异导致数据以及因此的线以不同的量发生位移。所以,箭头示出了数据以及因此的线1650如何根据叠层差异的大小而发生位移。显著地,可以看到该线的斜率受到了影响。
图17A是针对没有特征非对称性效应的重叠目标的不同偏振-波长组合的(模拟)数据的A+对A-的绘图。能够看到,如已经讨论过的,所有数据都拟合在同一线上。图17B示出了与图17A相似的绘图,但是存在特征非对称性效应,尤其是0.5nm的底板倾斜(floortilt)。在图17A和17B两者中,圆圈所标记的数据表示TE辐射,而由交叉标记的数据则表示TM辐射。虽然这里无法看到,但是沿着线的位置很大程度上由(给定偏振的)波长所确定,从而趋向于在线的上端(A+=6至8)处发现较短的(紫色)波长,并且在线的下端处发现较长的(红色)波长。
从图17B能够看出,依赖于波长和偏振的相对于线性关系的偏差在原点周围的区域1000中被观察到。在该0.5nm底板倾斜的示例中,针对TE偏振的重叠敏感度是最小的。此外,具有最大K值(重叠和所测量辐射中的非对称性之间的比例性因子)——即针对重叠的最大敏感度——的数据也可以轻易被标识,这是仍然表现出距原点最远的线性关系的数据1010。在该示例中,数据1010是针对短波长(紫色)区域的辐射。因此,诸如这样的绘图允许选择最优的量测处方,其在被用来测量周期性结构时产生对于重叠最为敏感且对特征非对称性效应依赖最少的数据1010。
在实际的量测处方优化中,应当针对不同的波长和偏振在衬底上执行多次测量,而使得衬底上所有可能的特征非对称性效应(例如,在边缘处的)都被考虑到。一旦选择了最优或所期望的处方,就可以利用该单一处方来执行测量(例如,波长-偏振-照射角度的组合)。
如果没有单一的量测处方提供充分的特征非对称性效应的鲁棒性,则可能使用以上所解释的A+对A-分析而标识出2或3个量测处方的组合。这可能是其中每个个体量测处方产生数据条目的云,并且经过2至3个量测处方的线示出非零的轴线截止的情况;这样的线的斜率将仍然产生针对特征非对称性效应相对鲁棒的重叠数据。为此,2或3个量测处方被用于实际的重叠测量。
因此,在一个实施例中,可以针对具有正偏置(A+)的周期性结构和具有负偏置(A-)的周期性结构的目标使用A+对A-分析来评估量测处方。从而,对于作为性能参数的重叠而言,针对每个量测处方确定A+和A-,并且所确定的A+的值相对所确定的A-的值而被评估,以产生经过这样的数据的拟合。与该拟合相关的值对应于该目标的实例的更加准确的实际重叠值。例如,该线的斜率提供了重叠的度量。因此,在校准例程中,在所设定的已知重叠的情况下,产生其拟合的斜率值处于或接近于该所设定的已知重叠的量测处方是待被用于大容量测量的量测处方的有力候选。
参考图18,在特定量测处方下的测量结果可能存在明显方差。例如,在图18中的A+对A-的绘图中针对特定的单一量测处方(例如,特定的测量辐射波长)示出了两个示例测量结果1800、1805。在这种情况下,每个测量结果与同一重叠关联,但是具有某个目标的不同实例。如实线1810、1820的斜率所示出的,每个测量结果1800、1805在假设没有特征非对称性效应(即,线经过原点)的情况下将产生非常不同的重叠。实际上,对应于测量结果1800的目标实例具有显著的特征非对称性效应(例如,结构非对称性),而对应于测量结果1805的目标实例则并非如此。从而,可以看出,在存在显著的特征非对称性效应(例如,结果非对称性、叠层差异等)时,单一量测处方(例如,单一波长)可能给出所确定的重叠的误差,尤其是如从测量结果1800所确定的重叠的误差。
然而,可以使用从多个不同量测处方(例如,两个不同的量测处方)所取得的测量更加准确地确定重叠,这是因为这对于特征非对称性效应更加鲁棒。再次参考图18,图18中的A+对A-的绘图中针对特定的第二量测处方(例如,特定的测量辐射波长)示出了两个另外的示例测试结果1830、1835,所述特定的第二量测处方不同于用于结果1800、1805的第一量测处方。如同测量1800、1805,在该情况下,测试结果1830、1835中的每一个都与同一重叠关联,但但是具有某个目标的不同实例。测量结果1830具有与测量结果1800相同的目标实例,而测量结果1835则具有与测量结果1805相同的目标实例。如上文提到的,实际上,对应于测量结果1800、1830的目标实例具有显著的特征非对称性效应(例如,结构非对称性),而对应于测量结果1805、1835的目标实例则并非如此。
但是现在,如虚线1840、1850的斜率所示,测量结果1800、1805、1830、1835的组合无论特征非对称性效应如何都将产生基本上相同的重叠。从而,可以看出,即使具有线1850距原点的距离1860所指示的显著的特征非对称性效应(例如,结构非对称性、叠层差异等),两个或更多量测处方(例如,多种波长)也能够产生针对特征非对称性效应相当鲁棒的所确定重叠。
因此,在一个实施例中,选择了两种或更多的不同量测处方,通过它们对目标实例进行测量并且由此确定针对该目标示例的可能特征非对称性效应鲁棒的重叠的结果组合。也就是说,在一个实施例中,提供了一种选取适当量测处方组合的技术,所述量测处方组合可以被用来提供对于特征非对称效应或其它寄生强度非对称性来源(叠层差异、结构非对称性等)相对地的重叠结果。
通过选择两个或更多量测处方(例如,两种波长),诸如重叠之类的图案化过程参数的确定对于任何特征非对称效应而言都更加鲁棒。然而,在一些情况下,使用两个或更多量测处方导致比使用单一量测处方而言更多的噪声。
图19是示出测量辐射波长(沿x轴)和重叠敏感度(沿y轴)之间的关系的示图。在重叠的测量中,强度非对称性等于重叠敏感度和重叠的乘积。强度非对称性是从目标反射的+1和-1衍射阶之间的强度差。重叠敏感度是强度非对称性测量对重叠的敏感度的度量。
如在图19中所例示的,重叠敏感度可以取决于所使用的测量辐射波长而变化。期望重叠敏感度的大小很大以便改善重叠测量的准确性。当重叠敏感度的大小很大时,重叠可以更准确地被测量。在图18所示类型的非对称性示图中,期望被用来确定重叠的两个测量结果彼此远离。当这两个测量结果彼此远离时,重叠可以更准确地被测量。
在图18所示的示例中,因为重叠敏感度的大小相对大,所以测量结果1800、1805、1830、1835距离原点相对远。如果重叠敏感度已经针对那些测量有所降低,则该测量结果会在图18的非对称性示图中更接近于原点。
与此同时,在图18所示的示例中,测量结果1800相比另外的测量结果1830处于原点的相反侧。类似地,测量结果1805相比另外的测量结果1835处于原点的相反侧。之所以期望如此是因为期望两个测量结果(例如,测量结果1800和1830,或者测量结果1805和1835)在图18的非对称性示图中相互远离。这导致对重叠的更加准确的测量。一种实现该目的的方式是选取用于两个测量结果的测量辐射波长而使得它们具有大量级的符号相反的重叠敏感度值。例如,用于测量结果1800的测量辐射波长可以被选择为使得重叠敏感度大且为正。同时,用于测量结果1830的测量辐射波长可以被选择为使得重叠敏感度大且为负。
然而,并非始终都可能选择这样所期望的测量辐射波长。例如,这在测量辐射波长和辐射敏感度之间的关系如图19中所示时,这将是不可能的。如图19中的示例所示,重叠敏感度对于可能测量辐射波长的整个范围都始终为负。如果选择了具有相似重叠敏感度值的两个测量辐射波长,则两个测量结果在图18的非对称性示图中就会彼此接近。这会降低重叠测量的准确性,因为测量结果中的任何不准确都会对所测量的重叠具有较大影响。
一种选择将是选择提供大且为负的重叠敏感度的一个测量辐射波长以及给出接近于零的重叠敏感度的第二测量辐射波长。这会导致一个测量结果令人期望地远离图18的非对称性示图中的原点。然而,来自给出小的重叠敏感度的测量辐射波长的测量结果将接近于图18的非对称性示图中的原点。两个测量结果都具有与它们相关联的一些不准确性(即,噪声)。
在测量结果之一非常接近于原点时(例如,因为重叠敏感度非常小),则基于两种不同测量辐射波长的重叠测量可能不如基于单一测量辐射波长的重叠测量准确。这是因为在使用单一测量辐射波长时,重叠测量从单一测量结果(其可能来自于原点)和原点自身所导出。原点并没有噪声并且因此并不对重叠测量的不准确性产生不期望的贡献。可替换地,在使用两种不同的测量辐射波长并且测量结果之一非常接近于原点时,则与使用原点的情形(例如,在仅使用单一测量辐射波长时)相比,该接近于原点的测量结果有效地增加了噪声。
因此,在一些情况下,与使用两种不同的测量辐射波长相比,使用单一测量辐射波长可以产生更具重现性的重叠测量。特别地,在图19所示的示例中,重叠敏感度对于可能的测量辐射波长的整个范围都为负。这使得不可能选择出导致作为图18中所示图形类型的远离原点以及处于与原点不同方向两者的测量结果的适当测量辐射波长。使用两种不同的测量辐射波长是否比单一测量辐射波长更好取决于目标中的特征非对称性的数量以及重叠敏感度和可能的测量辐射波长之间的关系。
虽然上文已经参考重叠敏感度对原理进行了描述,但是这些原理同样能够应用于诸如叠层敏感度和衍射效率之类的其它测量质量参数。叠层敏感度可以被理解为信号的强度随着由于目标(例如,光栅)层之间的衍射所导致的重叠变化而有多大变化的度量。也就是说,在重叠的情境下,其检测重叠目标的上周期性结构和下周期性结构之间的对比,并且因此表示该上周期性结构和下周期性结构之间的衍射效率之间的平衡。衍射效率与目标所衍射的测量的平均强度成正比例。
图20是示出测量辐射波长和叠层敏感度之间的关系的示图。如同重叠敏感度,期望选择使得叠层敏感度值大且符号相反的测量辐射波长。然而,如图20中所示,在一些情况下,叠层敏感度可能对于所测量辐射波长的整个范围都具有相同的符号(在图20的示例中为正)。作为结果,可能并不可能选择两种测量辐射波长而使得叠层敏感度值大且符号相反。
在一个实施例中,本发明提供了一种确定图案化过程参数的方法。在以下描述中,将参考作为图案化过程参数的重叠来描述本发明。然而,本发明可以被用来确定诸如结构的CD之类的其它图案化过程参数。
如上文所解释的,本发明人已经发现,在一些情况下使用两种不同的测量辐射波长要比使用单一测量辐射波长更好。这是因为使用两种不同的测量辐射波长可以提高重叠测量关于目标中不期望的特征非对称性的鲁棒性。然而,在其它情况下,使用单一测量辐射波长比使用两种不同的测量辐射波长更好,因为影响重叠测量的噪声更少。
在一个实施例中,单一波长和多波长测量两者都被使用以便确定重叠。本发明被预期实现单一波长和多波长测量二者的好处。特别地,本发明的实施例被预期改善测量关于目标中的特征非对称性的鲁棒性。与此同时,本发明的实施例被预期实现测量噪声的减少。在一个实施例中,单一波长和多波长测量可以混用从而提供有所改进的混合测量。
在一个实施例中,利用包括两种不同中心波长的辐射照射目标。换句话说,使用两种不同的测量辐射波长来照射目标并获得原始数据。当然,在选择并使用某种测量辐射波长时,照射辐射实际上可以包括在某个中心波长下具有峰值的波长光谱。在该说明书中,当使用特定的测量辐射波长时,我们是指目标被利用包括中心波长的辐射所照射。
基于从利用包括两种不同中心波长的辐射照射目标而获得的原始数据,执行计算从而提供重叠的组合测量。
在一个实施例中,该方法包括针对目标,从通过利用包括中心波长的辐射照射该目标所获得的数据计算中间参数的第一值。在以下描述中,将参考作为中间参数的重叠来描述本发明。然而,可以使用其它的中间参数,诸如强度水平或非对称水平。
在该中间参数是重叠时,从通过利用包括(单一)中心波长的辐射照射该目标所获得的数据来计算该重叠的第一值。换句话说,基于使用单一测量辐射波长来计算重叠。
在一个实施例中,该方法包括针对该目标,从通过利用包括两种不同中心波长的辐射照射该目标所获得的数据计算该中间参数的第二值。在该中间参数是重叠的情境下,该方法包括基于使用两种不同的测量辐射波长来计算第二重叠值。因此,第一值是单一波长重叠测量而第二值是多波长重叠测量。
在一个实施例中,该方法包括基于该中间参数的第一和第二值计算图案化过程参数(例如,重叠)的组合测量。该组合测量具有单一波长测量和多波长测量二者的好处。
在一个实施例中,该组合测量根据以下函数来确定,所述函数取决于目标的上层和/或下层中的周期性结构内的特征的结构非对称性的测量。如上文所解释的,多波长测量的特定优势是关于目标内的特征的结构非对称性有所提高的鲁棒性。通过根据取决于特征非对称性的测量的函数来确定组合测量,重叠测量的再现性和准确性的好处能够得到平衡。在特征非对称性较大时多波长测量可能具有较大影响,从而在其更加重要时改善了组合重叠测量的鲁棒性。与此同时,在特征的结构非对称性为低时,可能期望使得组合测量更多地以单一波长测量为基础,从而减少组合测量中的噪声。
在一个实施例中,该函数取决于结构非对称性的测量,从而在结构非对称性较大时,第二值(即,多波长测量)对于组合测量具有更大影响。
可能难以确定目标内存在何种程度的不希望的特征的结构非对称性。要测量结构非对称性有若干种不同方式。本发明可以随它们中的任一种所使用。
一种获得结构非对称性的测量的方式是确定多波长重叠测量和单一波长重叠测量之间的差异。因此,要根据其而决定从单一波长变换为多波长测量的非对称性参数可以是使用单一波长运算所测量的重叠与使用多波长运算所测量的重叠之间的差异。
使用多波长和单一波长重叠测量之间的差异作为结构非对称性的测量的优势在于不需要额外的测量时间。因此,在一个实施例中,在中间参数是重叠时,结构非对称性的测量与第一重叠值和第二重叠值之间的差异成比例。
有其它方式来确定非对称性参数。例如,如上文所解释的,特征非对称性效应可以由图18中的线1850距原点的距离1860所指示。
在可替换实施例中,从与目标的上层或下层中的周期性结构相对应的结构非对称性目标(即,专用目标)来测量结构非对称性的度量。多个这样的专用目标可以跨衬底被定位。每个专用目标包括仅与用于测量重叠的目标的下层中的周期性结构对应的光栅。该专用目标仅具有底部光栅而没有顶部光栅。作为结果,当从该专用目标测量强度不平衡时,该不平衡与不希望的特征非对称性相关。因此,可以使用专用目标以这种方式来测量特征非对称性。
在另外的可替换实施例中,在目标的上层形成之前从目标的下层测量结构非对称性的度量。在目标的制造中,首先形成下层中的周期性结构。随后,形成上层中的周期性结构。通过在形成上层中的周期性结构之前测量强度不平衡,可以测量下层中的特征非对称性。
在一个实施例中,从其计算第一值的中心波长是从其计算第二值的两种不同的中心波长之一。本发明的实施例被预期使得产生组合测量所需的测量时间最小化。并不一定要使用三种不同测量辐射波长。单一波长可以是所使用的两种不同波长之一。
在可替换实施例中,从其计算第一值的中心波长不同于从其计算第二值的两个不同的中心波长。因此,可能要使用三个不同波长。这可以允许单一波长被选择从而优化来自两个不同波长的选择的不同参数。
在一个实施例中,针对跨衬底的多个目标执行该方法。在一个实施例中,根据函数确定组合测量而使得针对距衬底中心更远的目标而言,第二值(即,从多波长测量所获得的值)对组合测量具有更大的影响。
通常,在衬底的中心,特征非对称性的影响可能很低。因此,在衬底的中心,可能更期望以单一波长测量来影响组合测量。这是因为单一波长测量的再现性会高于多波长测量(取决于所使用的测量辐射波长的重叠敏感度)。另一方面,在衬底的边缘处,可能期望更多地使用多波长。这是因为在衬底的边缘处,特征非对称性影响可能更大。
在一个实施例中,根据其确定组合测量的函数是连续函数。这可以提供单一波长和多波长测量的连续混合。该函数取决于所存在的特征非对称性的量。
以下给出了一种基于第一和第二值确定组合测量的方式:
Figure BDA0002418396290000401
在以上方程式中,OVcombined是重叠的组合测量。OV1是重叠的第一值(即,来自单一波长测量)。OV2是重叠的第二值(即,来自多波长测量)。混合因数(图22中的M)是
Figure BDA0002418396290000402
该混合因数M取决于结构非对称性的度量x。
参数x是结构非对称性的度量。在一个实施例中,参数x可以使用以下公式来计算:
Figure BDA0002418396290000403
在以上公式中,该强度参数被用来调节非对称性影响被加权多大。该强度参数可以被校准从而提供用于确定组合测量的所期望函数。
可能有确定组合测量的其它方式。例如,可以使用tanh函数。可以使用tanh函数来提供单纯使用第一值和单纯使用第二值之间的平滑过渡。
在以上针对OVcombined所提供的公式中,通过将第一和第二重叠值直接组合而将第一和第二值混合(即,组合)。这是因为中间参数是重叠。然而,该混合可以可替换地以不同的水平完成。例如,不同于直接混合重叠值,可能在强度或非对称性水平下进行混合。因此,中间参数可以相应地有所变化。
图21是示出衬底上的位置与第一和第二重叠值之间的差异之间的关系的示意图。该衬底大致是圆形。该衬底上处于x和y方向的位置在图21中由参数rx和ry所示出。如图21中所示,在衬底中心的第一和第二重叠值之间存在小的差异(指示低的特征非对称性)。然而,在衬底的边缘处,第一和第二重叠值之间存在更大的差异大小。这指示衬底边缘处存在较大的特征非对称性。
图22是示出衬底上的位置与混合因数M之间的关系的示意图。该混合因数在图22右侧标尺中以字母M表示。如图22中所示,混合因数M在衬底中心处为低。这意味着组合测量在衬底中心将接近于第一值。这之所以是适宜的是因为期望在特征非对称性为低的衬底中心使得单一波长测量占主导。与此同时,该混合因数在衬底边缘处较高。这意味着在特征非对称性为高的地方,使得多波长测量占主导。作为结果,能够实现重现性和准确性之间的良好平衡。
本发明可以在量测装置中实现,以在执行光刻过程之后确定图案化过程参数。该量测装置能够进行操作来执行以上所描述的方法。
本发明还可以在包括检查装置的系统中体现,所述检查装置被配置为在目标上提供辐射束并且检测该目标所衍射的辐射。该系统包括用于执行本发明的方法的软件。
在一个实施例中,该目标具有使用图案化过程所形成的偏置的第一目标结构和不同偏置的第二目标结构。在一个实施例中,该目标是量测目标。
在一个实施例中,从A1+、A2+、A1-和A2-来计算结构非对称性的度量。A1+是从在偏置的第一目标结构被该第一波长的辐射照射时从该偏置的第一目标结构反射的负的第1阶辐射的强度,减去在偏置的第一目标结构被两种不同波长中的第一波长的辐射照射时从该偏置的第一目标结构反射的正的第1阶辐射的强度。A2+是从在偏置的第一目标结构被该第二波长的辐射照射时从该偏置的第一目标结构反射的负的第1阶辐射的强度,减去在偏置的第一目标结构被两种不同波长中的第二波长的辐射照射时从该偏置的第一目标结构反射的正的第1阶辐射的强度。A1-是从在偏置的第二目标结构被该第一波长的辐射照射时从该偏置的第二目标结构反射的负的第1阶辐射的强度,减去在偏置的第二目标结构被该第一波长的辐射照射时从该偏置的第二目标结构反射的正的第1阶辐射的强度。A2-是从在偏置的第二目标结构被该第二波长的辐射照射时从该偏置的第二目标结构反射的负的第1阶辐射的强度,减去在偏置的第二目标结构被该第二波长的辐射照射时从该偏置的第二目标结构反射的正的第1阶辐射的强度。
在一个实施例中,在计算第二值时,其中A1+和A2+的乘积大于或等于0和/或A1-和A2-的乘积大于或等于零。A1+是从在带偏置的第一目标结构被两种不同波长中的第一波长的辐射照射时从该带偏置的第一目标结构反射的负的第1阶辐射的强度,减去在带偏置的第一目标结构被第一波长的辐射照射时从该带偏置的第一目标结构反射的正的第1阶辐射的强度。A2+是从在带偏置的第一目标结构被两种不同波长中的第二波长的辐射照射时从该带偏置的第一目标结构反射的负的第1阶辐射的强度,减去在带偏置的第一目标结构被第二波长的辐射照射时从该带偏置的第一目标结构反射的正的第1阶辐射的强度。A1-是从在带偏置的第二目标结构被该第一波长的辐射照射时从该带偏置的第二目标结构反射的负的第1阶辐射的强度,减去在带偏置的第二目标结构被该第一波长的辐射照射时从该带偏置的第二目标结构反射的正的第1阶辐射的强度。A2-是从在带偏置的第二目标结构被该第二波长的辐射照射时从该带偏置的第二目标结构反射的负的第1阶辐射的强度,减去在带偏置的第二目标结构被该第二波长的辐射照射时从该带偏置的第二目标结构反射的正的第1阶辐射的强度。
将参考图23描述本发明的可替换实施例。在一个实施例中,该方法包括针对跨衬底的层的多个目标中的每一个目标计算图案化过程参数(例如,重叠)的测量。
在该可替换实施例中,针对至少一个目标,从通过利用包括(单一)中心波长的辐射照射该目标所获得的数据计算该度量。换句话说,基于使用单一测量辐射波长来计算重叠。针对至少一个其它目标,从通过利用包括两种不同中心波长的辐射照射该目标所获得的数据计算该测量。换句话说,基于使用多波长重叠测量来计算重叠
该可替换实施例与之前所知的有所不同。先前,单一波长重叠测量或多波长重叠测量都是贯穿整个衬底层上使用。与之对比,根据本发明的实施例,单一波长重叠测量被用于至少一个目标,而多波长重叠测量被用于衬底W的相同层内的至少一个目标。
本发明的实施例被预期实现重叠(或者不同的图案化过程参数)的测量质量的提升。通过使用针对一些目标使用单一波长重叠测量以及针对其它目标使用多波长重叠测量,能够针对每个目标选取最佳的测量类型从而提高测量的质量。
在一个实施例中,目标根据要使用单一波长重叠测量还是多波长重叠测量被分类成组。目标可以进一步根据在重叠测量中要使用针对该波长(或多个波长)的哪个实际值而被进一步分类。
例如,在一个实施例中,针对衬底层的多个区域中的每一个区域,选择要根据其来计算目标的重叠测量的数据类型。对于至少一个区域而言,所选择的数据类型是通过利用包括(单一)中心波长的辐射照射该目标而获得的数据(即,对于单一波长重叠测量而言)。对于至少一个其它区域,所选择的数据类型是利用包括两个不同中心波长辐射照射该目标而获得的数据(即,对于多波长重叠测量而言)。
图23示意性地描绘了被划分为不同区域51、52、53的衬底W的层。可以针对不同区域使用不同类型的重叠测量。每个区域包括要针对其测量重叠的至少一个目标。
例如,在一个实施例中,在中心区域51中,使用第一辐射波长来执行单波长重叠测量。针对中间区域52,可以使用单一波长重叠测量。中心波长可以与针对中心区域51中的目标的重叠测量中所使用的第一波长相同或不同。在外围区域53中,可以使用多波长重叠测量。多波长重叠测量可以使用两种不同的中心波长来执行。该两种不同的中心波长可以与测量针对中心区域51和中间区域52中的目标的重叠时所使用的波长有所不同。可替换地,在外围区域53中所使用的两种中心波长之一可以与在中心区域51和中间区域52之一或二者中使用的中心波长相同。
在一个实施例中,区域51、52、53对应于距衬底W的中心不同的距离。仅作为示例,如果衬底W的半径为150mm,则中心区域51可以具有70mm的半径,中间区域51可以具有120mm的半径,而外围区域53则可以具有150mm的半径(即,与衬底W的半径相同)。当然,这些值仅是示例性的并且可以选用其它值。此外,区域的数量并不特别受限并且可以是两个、四个或更多个。
在一个实施例中,衬底W要被暴露的每个层都被划分为如图23所示的区域。在一个实施例中,针对不同层以相同方式来定义区域。例如,每个层都可以有具有第一半径的中心区域51、具有第二半径的中间区域52以及具有第三半径的外围区域53。可替换地,区域的半径针对衬底W的不同层可以有所不同。不同层可以具有不同数量的区域。
针对不同层,用于重叠测量的数据类型和波长可以有所不同。例如,针对第一层,中心区域51对应于使用第一中心波长的单一波长重叠测量,而第二层的中心区域51则对应于使用不同中心波长的单一波长重叠测量。在一个实施例中,第一层的中间区域52对应于使用第一中心波长的单一波长重叠测量,而第二层的中间区域52则对应于使用第二和第三中心波长(它们可以不同于第一中心波长)的多波长重叠测量。在一个实施例中,第一层的外围区域53对应于使用第一和第二中心波长的多波长重叠测量,而第二层的外围区域53则对应于使用第三和第四中心波长(它们可以不同于第一和第二中心波长)的多波长重叠测量。
如图23所示,在一个实施例中,基于区域中的至少一个目标和衬底W的中心之间的距离来选择数据类型(例如,是使用单一波长重叠测量还是多波长重叠测量)。然而,可以使用不同的性能指征作为将衬底划分为不同区域的参考。例如,在一个实施例中,基于结构非对称性的测量来定义区域。例如,如上文所解释的,在衬底W的外围区域53中可能有更大的结构非对称性的量。因此,外围区域53可以对应于具有较大的结构非对称性的量(例如,大于预定阈值的结构非对称性)的目标。中心区域51则可以对应于其中目标具有较低结构非对称性(例如,低于预定阈值的结构非对称性)的区域。中间区域52可以对应于其中目标具有中间的结构非对称性的量的区域。
结构非对称性可以以如上文所解释的各种方式来测量。例如,结构非对称性可以通过计算相同目标的单一波长重叠测量和多波长重叠测量之间的差值来确定。
在一个实施例中,目标基于诸如叠层敏感度或重叠敏感度之类的可替换参数进行分类。
在一个实施例中,中心波长(或者在多波长重叠测量的情况下的多个中心波长)可以被选择从而优化测量的至少一个质量参数。该质量参数例如可以是叠层敏感度、重叠敏感度或衍射效率。
以上所描述的实施例可以被组合。例如,使用单一波长和双波长测量两者的组合测量可以被用于衬底W的一个或多个区域。例如,在一个实施例中,单一波长测量被用于中心区域51,多波长重叠测量被用于外围区域53,并且组合测量被用于中间区域52。
在一个实施例中,目标可以不被分组为如图23所示的空间区域。可替换地,目标可以仅根据结构非对称性或者诸如叠层敏感度或重叠敏感度的其它参数而被分组。因此,目标的组(其使用相同的重叠测量处方)可以跨衬底W的不同部分进行分布。可替换地,可以针对每个目标单独地选择重叠测量处方。
根据本发明,可能选择衬底W的每个区域的最优测量波长。例如,该最优波长可以是一个区域中的单一波长,另一个区域中的双波长,另一区域中不同的双波长,等等。这使得可能以比之前更加优化的方式来测量衬底W。本发明的实施例被预期实现更加精确的重叠测量。
作为使用更优化波长的结果,可能更快地执行光刻过程。之前,即使在仅衬底的外围需要双波长测量(例如,由于结构非对称性)的情况下也必须要使用双波长来测量衬底W。根据本发明,可能有使用双波长而选择性地仅测量衬底W的外围区域53量。衬底W的其余部分(例如,中心区域51和/或中间区域52)则可以使用单一波长来测量。本发明的实施例被预期减少衬底W的重叠测量时间。本发明的实施例被预期改善吞吐量。
在以下编号条款中进一步描述根据本发明的另外实施例:
16.根据条款15所述的系统,进一步包括一种光刻装置,其包括支持结构,被配置为保持图案化设备以调制辐射束,以及投影光学系统,被布置为将经调制的辐射束透射到辐射敏感衬底上。
在以下编号条款中进一步描述根据本发明的另外实施例:
I.一种用于确定图案化过程参数的方法,所述方法包括:
针对跨衬底的层的多个目标中的每一个目标,计算所述图案化过程参数的测量,
其中针对所述目标中的至少一个目标,从通过利用包括中心波长的辐射照射所述目标所获得的数据计算所述测量,并且针对所述目标中的至少一个其它目标,从通过利用包括两个不同中心波长的辐射照射所述目标所获得的数据计算所述测量。
II.根据权利要求I所述的方法,包括:
针对所述层的多个区域中的每一个区域,选择要从其针对所述区域中的目标计算所述测量的数据类型,
其中针对至少一个区域,所选择的数据类型是通过利用包括中心波长的辐射照射所述目标所获得的数据,并且针对至少一个其它区域,所选择的数据类型是通过利用包括两个不同中心波长的辐射照射所述目标所获得的数据。
III.根据权利要求II所述的方法,其中所述数据类型基于以下的至少一者来选择:所述区域中的至少一个目标与所述衬底的中心之间的距离,所述区域中的至少一个目标的上层和/或下层中的周期性结构内的特征的结构非对称性的测量,所述区域中的至少一个目标的叠层敏感度和所述区域中的至少一个目标的重叠敏感度。
IV.根据权利要求I-III中任一项所述的方法,进一步包括:
当要从通过利用包括中心波长的辐射照射所述目标所获得的数据计算所述测量时,选择所述中心波长以使得对所述测量的至少一个质量参数进行优化。
V.根据权利要求I-III中任一项所述的方法,进一步包括:
当要从通过利用包括两个不同中心波长的辐射照射所述目标所获得的数据计算所述测量时,选择所述中心波长以使得对所述测量的至少一个质量参数进行优化。
虽然上文已经具体参考了本发明的实施例在光学光刻情境中的使用,但是将要意识到的是,本发明可以在其它应用中使用,例如压印光刻,并且在情境允许的情况下,并不局限于光学光刻。在压印光刻中,图案化设备中的形貌定义了在衬底上创建的图案。图案化设备的形貌可以被压入到提供于衬底的一层抗蚀剂中,抗蚀剂在其上通过施加电磁辐射、热量、压力或者它们的组合而固化。在抗蚀剂固化之后,该图案化设备从抗蚀剂被移出而在其中留下图案。
本文所使用的术语“辐射”和“光束”涵盖所有类型的电磁辐射,包括紫外(UV)辐射(例如,具有365、355、248、193、157或126nm的波长)和极紫外(EUV)辐射(例如,具有5-20nm范围的波长),以及诸如离子束或电子束之类的粒子束。在一个实施例中,测量辐射从400nm至950nm的范围进行选择。
在情境允许的情况下,术语“透镜”可以是指各种类型的光学组件中的任意一种或组合,包括折射、反射、磁性、电磁和静电光学组件。
以上对具体实施例的描述将完全揭示本发明的总体性质,使得其他人通过应用本领域的知识能够在不经过过度实验的情况下轻易地针对各种应用修改和/或调整这样的具体实施例,而并不背离本发明的总体构思。因此,基于本文所给出的教导和引导,这样的调整和修改意在处于所公开实施例的等同形式的含义和范围之内。所要理解的是,本文的短语或术语是出于通过示例进行描述的目的,而并非出于限制的目的,从而本说明书的术语或短语要由本领域技术人员在考虑该教导和引导的情况下加以解释。
本发明的宽度和范围并不应当被以上所描述的任何示例性实施例所限制,而是仅应当依据以下权利要求及其等同形式来限定。

Claims (15)

1.一种确定图案化过程参数的方法,所述方法包括:
针对目标,从利用包括中心波长的辐射照射所述目标所获得的数据计算中间参数的第一值;
针对所述目标,从利用包括两个不同中心波长的辐射照射所述目标所获得的数据计算所述中间参数的第二值;并且
基于所述中间参数的所述第一值和所述第二值,计算所述图案化过程参数的组合测量。
2.根据权利要求1所述的方法,其中所述组合测量根据以下函数来确定,所述函数取决于所述目标的上层和/或下层中的周期性结构内的特征的结构非对称性的测量。
3.根据权利要求2所述的方法,其中所述函数取决于所述结构非对称性的测量,而使得在所述结构非对称性较大时,所述第二值对所述组合测量具有较大影响。
4.根据权利要求2或3所述的方法,其中所述中间参数是重叠,并且所述结构非对称性的测量与所述第一重叠值和所述第二重叠值之间的差值成比例。
5.根据权利要求2或3所述的方法,其中所述结构非对称性的测量从与所述目标的上层或下层中的周期性结构相对应的结构非对称性目标测得。
6.根据权利要求2或3所述的方法,其中所述结构非对称性的测量从在形成所述目标的上层之前的所述目标的下层测得。
7.根据之前任一项权利要求所述的方法,其中所述中间参数是重叠、强度或强度非对称性。
8.根据之前任一项权利要求所述的方法,其中从其计算所述第一值的所述中间波长是所述两个不同中心波长之一。
9.根据权利要求1至7中任一项所述的方法,其中从其计算所述第一值的所述中间波长不同于所述两个不同中心波长。
10.根据之前任一项权利要求所述的方法,其针对跨衬底的多个目标而被执行。
11.根据权利要求10所述的方法,其中所述组合测量根据以下函数被确定,所述函数使得针对距离所述衬底的中心更远的目标,所述第二值对所述组合测量具有更大影响。
12.根据之前任一项权利要求所述的方法,其中所述图案化过程参数是重叠。
13.一种用于在执行光刻过程之后确定图案化过程参数的量测装置,所述量测装置能够进行操作以执行根据之前任一项权利要求所述的方法。
14.一种非瞬态计算机程序产品,包括用于使得处理器导致根据权利要求1-12中任一项所述的方法得以被执行的机器可读指令。
15.一种系统,包括:
检查装置,被配置为在目标上提供辐射束,并且检测所述目标所衍射的辐射;和
根据权利要求14所述的非瞬态计算机程序产品。
CN201880061025.9A 2017-09-22 2018-09-12 用于确定图案化过程参数的方法 Active CN111133384B (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
EP17192525.8 2017-09-22
EP17192525.8A EP3460574A1 (en) 2017-09-22 2017-09-22 Method to determine a patterning process parameter
EP18151420 2018-01-12
EP18151420.9 2018-01-12
PCT/EP2018/074575 WO2019057578A1 (en) 2017-09-22 2018-09-12 METHOD FOR DETERMINING A PARAMETER OF PATTERN CREATION PROCESS

Publications (2)

Publication Number Publication Date
CN111133384A true CN111133384A (zh) 2020-05-08
CN111133384B CN111133384B (zh) 2022-04-15

Family

ID=63586698

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201880061025.9A Active CN111133384B (zh) 2017-09-22 2018-09-12 用于确定图案化过程参数的方法

Country Status (7)

Country Link
US (1) US11300883B2 (zh)
JP (1) JP7050150B2 (zh)
KR (1) KR102416276B1 (zh)
CN (1) CN111133384B (zh)
IL (1) IL272780B2 (zh)
TW (1) TWI723285B (zh)
WO (1) WO2019057578A1 (zh)

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP3435162A1 (en) * 2017-07-28 2019-01-30 ASML Netherlands B.V. Metrology method and apparatus and computer program
KR20200096843A (ko) * 2018-01-17 2020-08-13 에이에스엠엘 네델란즈 비.브이. 타겟 측정 방법, 및 계측 장치
EP3575874A1 (en) * 2018-05-29 2019-12-04 ASML Netherlands B.V. Metrology method, apparatus and computer program
WO2020094385A1 (en) 2018-11-08 2020-05-14 Asml Netherlands B.V. Prediction of out of specification based on spatial characteristic of process variability
EP3770682A1 (en) * 2019-07-25 2021-01-27 ASML Netherlands B.V. Method and system for determining information about a target structure
WO2021072743A1 (en) 2019-10-18 2021-04-22 Yangtze Memory Technologies Co., Ltd. Systems and methods for evaluating critical dimensions based on diffraction-based overlay metrology
JP2023551776A (ja) * 2020-12-08 2023-12-13 エーエスエムエル ネザーランズ ビー.ブイ. メトロロジの方法及び関連装置
KR102644981B1 (ko) * 2021-02-10 2024-03-07 (주)셀라바이오텍 멀티 파장 자동 수정 스티칭을 이용하는 기판 패터닝 방법

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1400855A2 (en) * 2002-09-20 2004-03-24 ASML Netherlands B.V. Device inspection
CN101510051A (zh) * 2008-02-13 2009-08-19 Asml荷兰有限公司 检验方法和设备、光刻设备、光刻处理单元和器件制造方法
US20090296075A1 (en) * 2008-05-29 2009-12-03 Nanometrics Incorporated Imaging Diffraction Based Overlay
CN102636963A (zh) * 2011-02-11 2012-08-15 Asml荷兰有限公司 检查设备和方法、光刻设备和处理单元、器件制造方法
US20160071255A1 (en) * 2013-03-08 2016-03-10 Samsung Electronics Co., Ltd. Methods for measuring overlays

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7791727B2 (en) 2004-08-16 2010-09-07 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US7481579B2 (en) 2006-03-27 2009-01-27 Jordan Valley Applied Radiation Ltd. Overlay metrology using X-rays
JP2007329432A (ja) * 2006-06-09 2007-12-20 Canon Inc 露光装置
US8189195B2 (en) * 2007-05-09 2012-05-29 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
TWI347428B (en) 2007-11-02 2011-08-21 Ind Tech Res Inst Overlay alignment structure and method for overlay metrology using the same
NL1036245A1 (nl) 2007-12-17 2009-06-18 Asml Netherlands Bv Diffraction based overlay metrology tool and method of diffraction based overlay metrology.
NL1036597A1 (nl) 2008-02-29 2009-09-01 Asml Netherlands Bv Metrology method and apparatus, lithographic apparatus, and device manufacturing method.
KR101429629B1 (ko) 2009-07-31 2014-08-12 에이에스엠엘 네델란즈 비.브이. 계측 방법 및 장치, 리소그래피 시스템, 및 리소그래피 처리 셀
WO2011023517A1 (en) 2009-08-24 2011-03-03 Asml Netherlands B.V. Metrology method and apparatus, lithographic apparatus, lithographic processing cell and substrate comprising metrology targets
US9927718B2 (en) 2010-08-03 2018-03-27 Kla-Tencor Corporation Multi-layer overlay metrology target and complimentary overlay metrology measurement systems
JP5377595B2 (ja) 2011-03-25 2013-12-25 富士フイルム株式会社 着色感放射線性組成物、カラーフィルタ、着色パターンの製造方法、カラーフィルタの製造方法、固体撮像素子、及び液晶表示装置
JP6077647B2 (ja) * 2012-05-29 2017-02-08 エーエスエムエル ネザーランズ ビー.ブイ. メトロロジー方法及び装置、基板、リソグラフィシステム並びにデバイス製造方法
KR102124204B1 (ko) 2013-08-07 2020-06-18 에이에스엠엘 네델란즈 비.브이. 메트롤로지 방법 및 장치, 리소그래피 시스템 및 디바이스 제조 방법
US10401279B2 (en) * 2013-10-29 2019-09-03 Kla-Tencor Corporation Process-induced distortion prediction and feedforward and feedback correction of overlay errors
KR102190305B1 (ko) * 2015-12-23 2020-12-14 에이에스엠엘 네델란즈 비.브이. 메트롤로지 방법, 메트롤로지 장치 및 디바이스 제조 방법
WO2018059824A1 (en) * 2016-09-27 2018-04-05 Asml Netherlands B.V. Metrology recipe selection
KR20200040104A (ko) * 2018-10-08 2020-04-17 삼성전자주식회사 조합된 모델 함수를 산출하는 방법, 리소그래피 장치 세팅 방법, 리소그래피 방법, 리소그래피 장치

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1400855A2 (en) * 2002-09-20 2004-03-24 ASML Netherlands B.V. Device inspection
CN101510051A (zh) * 2008-02-13 2009-08-19 Asml荷兰有限公司 检验方法和设备、光刻设备、光刻处理单元和器件制造方法
US20090296075A1 (en) * 2008-05-29 2009-12-03 Nanometrics Incorporated Imaging Diffraction Based Overlay
CN102636963A (zh) * 2011-02-11 2012-08-15 Asml荷兰有限公司 检查设备和方法、光刻设备和处理单元、器件制造方法
US20160071255A1 (en) * 2013-03-08 2016-03-10 Samsung Electronics Co., Ltd. Methods for measuring overlays

Also Published As

Publication number Publication date
KR20200039004A (ko) 2020-04-14
KR102416276B1 (ko) 2022-07-05
JP2020534580A (ja) 2020-11-26
TW201921181A (zh) 2019-06-01
US11300883B2 (en) 2022-04-12
IL272780B2 (en) 2023-11-01
WO2019057578A1 (en) 2019-03-28
IL272780B1 (en) 2023-07-01
US20190094703A1 (en) 2019-03-28
TWI723285B (zh) 2021-04-01
IL272780A (en) 2020-04-30
JP7050150B2 (ja) 2022-04-07
CN111133384B (zh) 2022-04-15

Similar Documents

Publication Publication Date Title
US11106142B2 (en) Metrology recipe selection
US10845707B2 (en) Determination of stack difference and correction using stack difference
CN111133384B (zh) 用于确定图案化过程参数的方法
CN110998455B (zh) 量测参数确定和量测配方选择
TWI626504B (zh) 判定校正之方法、量測目標之方法、量測不對稱性之方法、量測目標參數之方法、度量衡裝置、微影系統、元件製造方法及相關電腦程式
CN110050233B (zh) 用于预测测量方法的性能的方法和设备、测量方法和设备
CN111065970B (zh) 量测方法和装置
US20220035255A1 (en) Target for measuring a parameter of a lithographic process
EP3460574A1 (en) Method to determine a patterning process parameter

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant