KR102625635B1 - X-선 산란계측 시스템들을 위한 전체 빔 계측 - Google Patents

X-선 산란계측 시스템들을 위한 전체 빔 계측 Download PDF

Info

Publication number
KR102625635B1
KR102625635B1 KR1020237003604A KR20237003604A KR102625635B1 KR 102625635 B1 KR102625635 B1 KR 102625635B1 KR 1020237003604 A KR1020237003604 A KR 1020237003604A KR 20237003604 A KR20237003604 A KR 20237003604A KR 102625635 B1 KR102625635 B1 KR 102625635B1
Authority
KR
South Korea
Prior art keywords
ray
diffraction order
periodic structure
measurement
incidence
Prior art date
Application number
KR1020237003604A
Other languages
English (en)
Other versions
KR20230021767A (ko
Inventor
안토니오 겔리노
태디어스 제라드 디지우라
존 헨치
안드레이 벨드만
세르게이 잘루보프스키
Original Assignee
케이엘에이 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 케이엘에이 코포레이션 filed Critical 케이엘에이 코포레이션
Publication of KR20230021767A publication Critical patent/KR20230021767A/ko
Application granted granted Critical
Publication of KR102625635B1 publication Critical patent/KR102625635B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/252Tubes for spot-analysing by electron or ion beams; Microanalysers
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B15/00Measuring arrangements characterised by the use of electromagnetic waves or particle radiation, e.g. by the use of microwaves, X-rays, gamma rays or electrons
    • G01B15/04Measuring arrangements characterised by the use of electromagnetic waves or particle radiation, e.g. by the use of microwaves, X-rays, gamma rays or electrons for measuring contours or curvatures
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N23/00Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00
    • G01N23/20Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by using diffraction of the radiation by the materials, e.g. for investigating crystal structure; by using scattering of the radiation by the materials, e.g. for investigating non-crystalline materials; by using reflection of the radiation by the materials
    • G01N23/20066Measuring inelastic scatter of gamma rays, e.g. Compton effect
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N23/00Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00
    • G01N23/20Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by using diffraction of the radiation by the materials, e.g. for investigating crystal structure; by using scattering of the radiation by the materials, e.g. for investigating non-crystalline materials; by using reflection of the radiation by the materials
    • G01N23/205Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by using diffraction of the radiation by the materials, e.g. for investigating crystal structure; by using scattering of the radiation by the materials, e.g. for investigating non-crystalline materials; by using reflection of the radiation by the materials using diffraction cameras
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N23/00Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00
    • G01N23/20Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by using diffraction of the radiation by the materials, e.g. for investigating crystal structure; by using scattering of the radiation by the materials, e.g. for investigating non-crystalline materials; by using reflection of the radiation by the materials
    • G01N23/2055Analysing diffraction patterns
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T7/00Image analysis
    • G06T7/60Analysis of geometric attributes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/02Details
    • H01J37/04Arrangements of electrodes and associated parts for generating or controlling the discharge, e.g. electron-optical arrangement, ion-optical arrangement
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B2210/00Aspects not specifically covered by any group under G01B, e.g. of wheel alignment, caliper-like sensors
    • G01B2210/56Measuring geometric parameters of semiconductor structures, e.g. profile, critical dimensions or trench depth
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N2223/00Investigating materials by wave or particle radiation
    • G01N2223/05Investigating materials by wave or particle radiation by diffraction, scatter or reflection
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N2223/00Investigating materials by wave or particle radiation
    • G01N2223/05Investigating materials by wave or particle radiation by diffraction, scatter or reflection
    • G01N2223/056Investigating materials by wave or particle radiation by diffraction, scatter or reflection diffraction
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N2223/00Investigating materials by wave or particle radiation
    • G01N2223/05Investigating materials by wave or particle radiation by diffraction, scatter or reflection
    • G01N2223/056Investigating materials by wave or particle radiation by diffraction, scatter or reflection diffraction
    • G01N2223/0566Investigating materials by wave or particle radiation by diffraction, scatter or reflection diffraction analysing diffraction pattern
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N2223/00Investigating materials by wave or particle radiation
    • G01N2223/30Accessories, mechanical or electrical features
    • G01N2223/302Accessories, mechanical or electrical features comparative arrangements
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N2223/00Investigating materials by wave or particle radiation
    • G01N2223/60Specific applications or type of materials
    • G01N2223/611Specific applications or type of materials patterned objects; electronic devices
    • G01N2223/6116Specific applications or type of materials patterned objects; electronic devices semiconductor wafer

Abstract

전체 빔 x-선 산란계측에 의해 반도체 디바이스들의 치수들 및 재료 성질들을 특성화하기 위한 방법들 및 시스템들이 본원에서 설명된다. 전체 빔 x-선 산란계측 측정은 x-선 빔으로 샘플을 조명하는 것, 및 샘플에 대한 하나 이상의 입사 각도들에 대하여 동시에 결과적인 제로 회절 차수 및 더 높은 회절 차수들의 세기들을 검출하는 것을 수반한다. 직접적 빔 및 산란된 차수들의 동시 측정은 개선된 정확도를 갖는 높은 스루풋 측정들을 가능하게 한다. 전체 빔 x-선 산란계측 시스템은 높은 동적 범위를 갖는 하나 이상의 광자 카운팅 검출기들, 및 최소의 기생적인 후방산란으로 직접적 빔을 흡수하는 두꺼운 고도로 흡수성인 결정 기판들을 포함한다. 다른 양태들에서, 모델 기반 측정들은 제로 회절 차수 빔에 기초하여 수행되고, 전체 빔 x-선 산란계측 시스템의 측정 성능은 측정된 제로 차수 빔의 성질들에 기초하여 추정되고 제어된다.

Description

X-선 산란계측 시스템들을 위한 전체 빔 계측{FULL BEAM METROLOGY FOR X-RAY SCATTEROMETRY SYSTEMS}
관련 출원에 대한 상호 참조
본 특허 출원은 그 발명 요지가 그 전체적으로 참조로 본원에 편입되는, 2016년 10월 18일자로 출원된 미국 특허 가출원 제62/409,758호로부터 35 U.S.C. §119 하에서 우선권을 주장한다.
설명된 실시형태들은 계측(metrology) 시스템들 및 방법들에 관한 것으로, 더 상세하게는, 개선된 측정 정확도를 위한 방법들 및 시스템들에 관한 것이다.
로직 및 메모리 디바이스들과 같은 반도체 디바이스들은 전형적으로 표본(specimen)에 적용된 일련의 프로세싱 단계들에 의해 제조된다. 반도체 디바이스들의 다양한 특징부(feature)들 및 다수의 구조적 레벨들은 이 프로세싱 단계들에 의해 형성된다. 예를 들어, 그 중에서도 리소그래피(lithography)는 반도체 웨이퍼 상에서 패턴을 생성하는 것을 수반하는 하나의 반도체 제조 프로세스이다. 반도체 제조 프로세스들의 추가적인 예들은 화학적-기계적 연마(chemical-mechanical polishing), 에치(etch), 증착(deposition), 및 이온 주입을 포함하지만, 이것으로 제한되지는 않는다. 다수의 반도체 디바이스들은 단일의 반도체 웨이퍼 상에서 제조될 수도 있고, 그 다음으로, 개별적인 반도체 디바이스들로 분리될 수도 있다.
계측 프로세스들은 웨이퍼들 상에서 결함(defect)들을 검출하여 더 높은 수율을 촉진시키기 위하여, 반도체 제조 프로세스 동안에 다양한 단계들에서 이용된다. 산란계측(scatterometry) 및 반사계측(reflectometry) 구현예들 및 연관된 분석 알고리즘들을 포함하는 다수의 계측 기반 기법들은 나노스케일 구조물(nanoscale structure)들의 임계 치수(critical dimension)들, 막 두께들, 조성, 및 다른 파라미터들을 특성화(characterize)하기 위하여 보편적으로 이용된다.
전통적으로, 산란계측 임계 치수(scatterometry critical dimension; SCR) 측정들은 박막들 및/또는 반복된 주기적 구조물들로 구성되는 타겟(target)들 상에서 수행된다. 디바이스 제조 동안에, 이 막들 및 주기적 구조물들은 전형적으로, 실제적인 디바이스 기하구조 및 재료 구조물 또는 중간 설계를 표현한다. 디바이스들(예컨대, 로직 및 메모리 디바이스들)이 더 작은 나노미터-스케일(nanometer-scale) 치수들로 이동함에 따라, 특성화(characterization)는 더 어려워진다. 복잡한 3 차원 기하구조 및 다양한 물리적 성질들을 갖는 재료들을 편입시키는 디바이스들은 특성화의 어려움에 기여한다. 예를 들어, 최신의 메모리 구조물들은 광학적 방사(optical radiation)가 하단 층들로 침투하는 것을 어렵게 하는, 종종 고-종횡비(high-aspect ratio)의 3 차원 구조물들이다. 적외선 내지 가시광을 사용하는 광학적 계측 도구들은 반투명한 재료들의 많은 층들을 침투할 수 있지만, 양호한 침투의 깊이를 제공하는 더 긴 파장들은 작은 이형(anomaly)들에 대한 충분한 감도(sensitivity)를 제공하지 않는다. 추가적으로, 복잡한 구조물들(예컨대, FinFET들)을 특성화하기 위하여 요구된 증가하는 수의 파라미터들은 증가하는 파라미터 상관(parameter correlation)으로 이어진다. 그 결과, 타겟을 특성화하는 파라미터들은 종종 이용가능한 측정들과 신뢰성 있게 결합해제될 수 없다.
하나의 예에서, 더 긴 파장들(예컨대, 근적외선(near infrared))은 폴리실리콘(polysilicon)을 적층체(stack)에서의 교대하는 재료(alternating material)들 중의 하나로서 사용하는 3D 플래시(FLASH) 디바이스들에 대한 침투 쟁점들을 극복하기 위한 시도로 채용되었다. 그러나, 3D 플래시의 미러와 유사한 구조물은 본질적으로, 조명이 막 적층체 내로 더 깊게 전파함에 따라 감소하는 광 세기(light intensity)를 야기시킨다. 이것은 깊이에서의 감도 손실 및 상관 쟁점들을 야기시킨다. 이 시나리오에서, SCD는 높은 감도 및 낮은 상관을 갖는 계측 치수들의 감소된 세트를 성공적으로 오직 추출할 수 있다.
또 다른 예에서, 불투명한 높은-k(high-k) 재료들은 최신의 반도체 구조물들에서 점점 더 채용된다. 광학적 방사는 이 재료들로 구성된 층들을 종종 침투할 수 없다. 그 결과, 타원계측기(ellipsometer)들 또는 반사계측기(reflectometer)들과 같은 박막 산란계측 도구들에 의한 측정들은 점점 더 도전적으로 되고 있다.
이 도전들에 응답하여, 더 복잡한 광학적 계측 도구들이 개발되었다. 예를 들어, 다수의 조명의 각도들, 더 짧은 조명 파장들, 조명 파장들의 더 넓은 범위들, 및 반사된 신호들로부터의 더 완전한 정보 취득(예컨대, 더 통상적인 반사율 또는 타원계측 신호들에 추가하여, 다수의 뮐러 행렬 엘리먼트(Mueller matrix element)들을 측정하는 것)을 갖는 도구들이 개발되었다. 그러나, 이 접근법들은 많은 진보된 타겟들(예컨대, 복잡한 3D 구조물들, 10 nm보다 더 작은 구조물들, 불투명한 재료들을 채용하는 구조물들)의 측정 및 측정 응용들(예컨대, 라인 에지 거칠기(line edge roughness) 및 라인 폭 거칠기(line width roughness) 측정들)과 연관된 기본적인 도전들을 신뢰성 있게 극복하지 않았다.
원자힘 현미경(atomic force microscope; AFM)들 및 주사-터널링 현미경(scanning-tunneling microscope; STM)들은 원자 분해능(atomic resolution)을 달성할 수 있지만, 그것들은 표본의 표면을 오직 탐침(probe)할 수 있다. 추가적으로, AFM 및 STM 현미경들은 긴 주사 시간들을 요구한다. 주사 전자 현미경(scanning electron microscope; SEM)들은 중간 분해능 레벨들을 달성하지만, 구조물들을 충분한 깊이까지 침투할 수 없다. 이에 따라, 고-종횡비 구멍들은 양호하게 특성화되지 않는다. 추가적으로, 표본의 요구된 대전(charging)은 이미징 성능에 대한 역효과를 가진다. x-선 반사계측기들은 또한, 고 종횡비 구조물들을 측정할 때에 그 유효성을 제한하는 침투 쟁점들을 겪는다.
침투 깊이 쟁점들을 극복하기 위하여, TEM, SEM 등과 같은 전통적인 이미징 기법들은 포커싱된 이온 빔(focused ion beam; FIB) 가공, 이온 밀링(ion milling), 블랭킷 또는 선택적 에칭 등과 같은 파괴적 샘플 침투 기법들과 함께 채용된다. 예를 들어, 투과 전자 현미경(transmission electron microscope; TEM)들은 높은 분해능 레벨들을 달성하고 임의적인 깊이들을 탐침할 수 있지만, TEM은 표본의 파괴적인 구획(destructive sectioning)을 요구한다. 재료 제거 및 측정의 몇몇 반복들은 일반적으로, 3 차원 구조물의 전반에 걸쳐 임계적 계측 파라미터(critical metrology parameter)들을 측정하도록 요구된 정보를 제공한다. 그러나, 이 기법들은 샘플 파괴 및 너무 긴 프로세스 시간들을 요구한다. 이 타입들의 측정들을 완료하기 위한 복잡도 및 시간은 에칭 및 계측 단계들의 드리프트(drift)로 인한 큰 부정확성들을 도입한다. 추가적으로, 이 기법들은 레지스트레이션 오차(registration error)들을 도입하는 수 많은 반복들을 요구한다.
x-선 산란계측 시스템들은 도전적인 측정 응용들을 해결하기 위한 가능성을 보여주었다. 그러나, 현재의 구현예들은 제로 차수 광(zero order light)을 차단하고, 오직 산란된 차수들을 수집한다. 이 접근법은 다수의 단점들을 도입한다. 첫째, 직접적 빔 및 산란된 차수들은 동시에 수집되지 않는다. 둘째, 직접적 빔은 수집된 산란된 차수들보다 많은 차수만큼 더 밝으므로, 높은 신호 대 잡음 비율(signal to noise ratio; SNR)의 빔 및 시스템 정보가 손실된다. 최종적으로, 산란 각도들의 함수로서 총 산란된 광 내에 내장된 신호 정보가 손실된다.
이 결점들에도 불구하고, 오직 산란된 차수들에 의존하는 측정들은 입사 플럭스(incident flux)가 매우 안정적이거나 양호하게 특성화되는 한, 관심 있는 일부 표본 성질들을 결정하기 위한 충분한 신호 정보를 제공한다. 일부 예들에서, 입사 플럭스는 주기적으로 측정되므로, 플럭스 편차들은 측정에서 정정될 수 있다. 그러나, 일부 측정 응용들에서, 이 접근법은 너무 느리거나, 불충분하게 정확하거나, 또는 양자 모두이다.
현재의 x-선 산란계측 시스템들에서는, 직접적 빔이 몇몇 이유들로 차단된다. 하나의 이유로는, 이용가능한 검출기들이 큰 동적 범위를 갖는 신호들을 분해할 수 없다. 전형적인 반도체 측정 응용들에서, 산란된 신호들은 전형적으로, 직접적 빔보다 5 내지 7 자릿수(order of magnitude) 더 작다. 추가적으로, 상대적으로 높은 플럭스의 직접적 빔은 검출기에서 전하(charge)를 포획(trap)할 수 있거나, 손상 임계치를 초월하여 센서를 포화시킬 수 있다.
디바이스 성능을 추가로 개선시키기 위하여, 반도체 산업은 측방향 스케일링(lateral scaling)보다는 수직 집적(vertical integration)에 포커싱하는 것을 계속한다. 이에 따라, 복잡한 완전히 3 차원의 구조물들의 정확한 측정은 실행가능성(viability) 및 계속된 스케일링 개선들을 보장하기 위하여 결정적이다. 추가의 계측 응용들은 점점 더 작은 분해능 요건들, 멀티-파라미터 상관, 고 종횡비 구조물들을 포함하는 점점 더 복잡한 기하학적 구조물들, 및 불투명한 재료들의 증가하는 이용으로 인한 계측을 위한 도전들을 제시한다. 이에 따라, 개선된 x-선 산란계측 측정들을 위한 방법들 및 시스템들이 희망된다.
전체 빔 x-선 산란계측에 의해 반도체 디바이스들의 치수들 및 재료 성질들을 특성화하기 위한 방법들 및 시스템들이 본원에서 설명된다.
하나의 양태에서, 전체 빔 x-선 산란계측 측정은 x-선 빔으로 샘플을 조명하는 것, 및 샘플에 대한 하나 이상의 입사 각도들에 대하여 동시에 결과적인 제로 회절 차수(diffraction order) 및 더 높은 회절 차수들의 세기들을 검출하는 것을 수반한다. 직접적 빔 및 산란된 차수들의 동시 측정은 개선된 정확도를 갖는 높은 스루풋 측정들을 가능하게 한다.
또 다른 양태에서, 전체 빔 x-선 산란계측 시스템은 (예컨대, 105보다 더 큰) 높은 동적 범위를 갖는 하나 이상의 광자 카운팅 검출기(photon counting detector)들, 및 손상 없이 그리고 최소의 기생적인 후방산란(parasitic backscattering)으로 직접적 빔을 흡수하는 두꺼운 고도로 흡수성인 결정 기판들을 포함한다. 일부 실시형태들에서, 단일의 광자 카운팅 검출기는 검출된 광자들의 포지션(position) 및 수를 검출한다. 일부 실시형태들에서, x-선 검출기는 하나 이상의 x-선 광자 에너지들을 분해한다.
추가의 양태에서, 검출기는 입사 제로 차수 빔으로부터의 손상 또는 과도한 대전을 완화시키기 위하여 인입 x-선들에 대해 주사된다.
또 다른 추가의 양태에서, 검출기 상에서의 중첩하는 회절 차수들은 측정된 제로 차수 빔 형상에 기초하여 디컨볼루션(deconvolve)된다.
또 다른 추가의 양태에서, 제로 차수 빔 프로파일은 측정 동안의 드리프트를 완화시키기 위하여 측정들 동안에 추출된다.
또 다른 추가의 양태에서, 더 높은 회절 차수들의 세기는 측정된 제로 차수 빔에 대하여 추정된다. 일부 실시형태들에서, 각각의 더 높은 회절 차수의 세기는 세기의 간단한 분할, 또는 그 외의 것에 의해 측정된 제로 차수 빔에 대하여 추정된다. 이러한 방식으로, 상대적으로 약한 더 높은 차수의 신호들과 연관된 측정 불확실성은 상당히 감소된다.
또 다른 양태에서, 전체 빔 x-선 산란계측 시스템의 측정 품질 및 성능은 측정된 제로 차수 빔의 성질들에 기초하여 추정된다. 제로 차수 빔의 측정된 성질들은 빔 형상, 세기, 위치, 프로파일, 틸트(tilt), 회전(rotation), 비대칭성, 또는 그 임의의 조합을 포함하지만, 이것으로 제한되지는 않는다.
추가의 양태에서, 계측 시스템의 측정 품질 및 성능은 측정된 제로 차수 빔에 기초하여 제어된다. 일부 예들에서, 이전에 설명된 측정 품질 및 성능의 추정치들은 피드백 제어기로의 입력으로서 제공된다. 피드백 제어기는 측정 시스템 품질 및 성능을 개선시키는 계측 시스템의 하나 이상의 엘리먼트들의 상태에서의 변경들로 귀착되는 제어 커맨드(control command)들을 통신한다.
일부 예들에서, 전체 빔 x-선 산란계측에 기초한 계측은 측정된 데이터를 갖는 미리 결정된 측정 모델의 역해(inverse solution)에 의해 샘플의 치수들을 결정하는 것을 수반한다. 측정 모델은 약간의(대략 10 개의) 조절가능한 파라미터들을 포함하고, 표본의 기하구조 및 광학적 성질들 및 측정 시스템의 광학적 성질들을 나타낸다. 역해의 방법은 모델 기반 회귀(model based regression), 단층촬영법(tomography), 머신 러닝(machine learning), 또는 그 임의의 조합을 포함하지만, 이것으로 제한되지는 않는다. 이러한 방식으로, 타겟 프로파일 파라미터들은 측정된 산란된 x-선 세기들과 모델링된 결과들 사이의 오차들을 최소화하는 파라미터화된 측정 모델의 값들에 대하여 구함으로써 추정된다.
또 다른 추가의 양태에서, 측정된 제로 차수 세기는 회귀 동안에 측정 모델로의 입력으로서 제공된다.
또 다른 추가의 양태에서, 측정된 차수들의 발산(divergence)은 계측 타겟의 모델 기반 측정과 연관된 측정 모델에서 고려된다.
또 다른 추가의 양태에서, 계측 시스템은 표본의 측정된 구조물의 구조적 모델(예컨대, 기하학적 모델, 재료 모델, 또는 조합된 기하학적 및 재료 모델)을 생성하고, 구조적 모델로부터의 적어도 하나의 기하학적 파라미터를 포함하는 전체 빔 x-선 산란계측 응답 모델을 생성하고, 응답 모델과의 측정 데이터의 피팅 분석(fitting analysis)을 수행함으로써 적어도 하나의 표본 파라미터 값을 분해하도록 구성된다. 이러한 방식으로, 측정된 데이터와의 시뮬레이팅된 전체 빔 x-선 산란계측 신호들의 비교는 기하학 뿐만 아니라 샘플의 전자 밀도 및 원소 식별(elemental identification) 및 조성(composition)과 같은 재료 성질들의 결정을 가능하게 한다.
추가의 양태에서, 관심 있는 하나 이상의 파라미터들의 값들의 초기 추정치는 측정 타겟에 대한 입사 x-선 빔의 단일 배향에서 수행된 전체 빔 x-선 산란계측 측정들에 기초하여 결정된다. 초기의 추정된 값들은 다수의 배향들에서의 측정들로부터 수집된 측정 데이터에 의한 측정 모델의 회귀에 대한 관심 있는 파라미터들의 시작 값들로서 구현된다. 이러한 방식으로, 관심 있는 파라미터의 근접한 추정치는 상대적으로 작은 양의 연산 노력으로 결정되고, 이 근접한 추정치를 훨씬 더 큰 데이터 세트 상에서의 회귀에 대한 시작 포인트로서 구현함으로써, 관심 있는 파라미터의 세분화된 추정치가 더 적은 전체적인 연산 노력으로 획득된다.
추가의 양태에서, 전체 빔 x-선 산란계측 측정 데이터는 검출된 회절 차수들의 측정된 세기들에 기초하여 측정된 구조물의 이미지를 생성하기 위하여 이용된다. 일부 실시형태들에서, 응답 함수 모델은 포괄적 전자 밀도 메쉬(generic electron density mesh)로부터의 산란을 설명하기 위하여 일반화된다. 계속성(continuity) 및 희박한 에지(sparse edge)들을 실시하기 위하여 이 메시지에서의 모델링된 전자 밀도들을 제약하면서, 이 모델을 측정된 신호들로 정합하는 것은 샘플의 3 차원 이미지를 제공한다.
상기한 것은 요약이고, 이에 따라, 필요성에 의해, 세부사항의 단순화들, 일반화들, 및 생략들을 포함하고; 결과적으로, 당해 분야의 당업자들은 요약이 오직 예시적이고 여하튼 제한하는 것이 아니라는 것을 인식할 것이다. 본원에서 설명된 디바이스들 및/또는 프로세스들의 다른 양태들, 발명 특징들, 및 장점들은 본원에서 기재된 비-제한적인 상세한 설명에서 분명해질 것이다.
도 1은 본원에서 설명된 방법들에 따라 전체 빔 x-선 산란계측 측정들을 수행하도록 구성된 계측 시스템(100)을 예시하는 도면이다.
도 2는 본원에서 설명된 방법들에 따라 전체 빔 x-선 산란계측 측정들을 수행하도록 구성된 또 다른 실시형태에서의 계측 시스템(200)을 예시하는 도면이다.
도 3은 계측 시스템(100)과 같은 전체 빔 계측 시스템에 의해 측정된 산란된 차수들의 이미지(171)를 도시한다.
도 4는 계측 시스템(100)과 같은 전체 빔 계측 시스템에 의해 측정된 산란된 차수들의 이미지(172)를 도시한다.
도 5는 도 4에서 도시된 이미지(172)의 단면, C와 연관된 세기 프로파일의 도표(173)를 도시한다.
도 6은 입사 각도의 함수로서의 제로 차수 빔의 산란 효율을 도시한다.
도 7은 입사 각도의 함수로서의 몇몇 더 높은 차수들의 산란 효율을 도시한다.
도 8은 표본(101)으로부터 분리된 진공 환경들에서 포함된 계측 시스템들(100 및 200)의 엘리먼트들을 예시하는 도면이다.
도 9는 본원에서 설명된 방법들에 따라, 전체 빔 x-선 산란계측 데이터에 기초하여 표본 파라미터 값들을 분해하도록 구성된 모델 구축 및 분석 엔진(150)을 예시하는 도면이다.
도 10a 내지 도 10c는 본원에서 설명된 방식으로 측정을 받는 전형적인 3D 플래시 메모리 디바이스(190)의 등각투영도(isometric view), 평면도, 및 단면도를 각각 도시한다.
도 11은 각도들 에 의해 설명된 특정한 배향에서 웨이퍼(101) 상에 입사하는 x-선 조명 빔(117)을 도시한다.
도 12는 고 종횡비 홀 구조물(hole structure)들(310)의 어레이의 평면도를 도시한다.
도 13a는 이상적인 고 종횡비 홀 구조물(320)의 측면도를 도시한다.
도 13b는 틸팅된 홀 구조물(321)의 측면도를 도시한다.
도 13c는 틸트의 정도가 깊이와 함께 점진적으로 증가하는 점진적으로 틸팅된 홀 구조물(322)의 측면도를 도시한다.
도 14는 본원에서 설명된 바와 같은 전체 빔 x-선 산란계측 측정들에 기초하여 구조물들을 측정하는 예시적인 방법(300)을 예시하는 플로우차트를 도시한다.
그 예들이 첨부 도면들에서 예시되는 발명의 배경 예들 및 일부 실시형태들에 대해 지금부터 상세하게 참조가 행해질 것이다.
전체 빔 x-선 산란계측에 의해 반도체 디바이스들의 치수들 및 재료 성질들을 특성화하기 위한 방법들 및 시스템들이 본원에서 설명된다. 이러한 시스템들 및 기법들은 상이한 반도체 제조 프로세스들과 연관된 구조적 및 재료 특성들을 측정하기 위하여 채용된다. 일부 예들에서, 전체 빔 x-선 산란계측은 스핀 전달 토크 랜덤 액세스 메모리(spin transfer torque random access memory; STT-RAM), 3 차원 NAND 메모리(3D-NAND) 또는 수직 NAND 메모리(V-NAND), 동적 랜덤 액세스 메모리(dynamic random access memory; DRAM), 3 차원 플래시 메모리(three dimensional FLASH memory; 3D-FLASH), 저항성 랜덤 액세스 메모리(resistive random access memory; Re-RAM), 및 상변화 랜덤 액세스 메모리(phase change random access memory; PC-RAM)를 포함하지만 이것으로 제한되지는 않는 고 종횡비 반도체 구조물들의 임계 치수들, 두께들, 오버레이(overlay), 및 재료 성질들을 측정하기 위하여 채용된다.
하나의 양태에서, 전체 빔 x-선 산란계측 측정은 x-선 빔으로 샘플을 조명하는 것, 및 샘플에 대한 하나 이상의 입사 각도들에 대하여 동시에 결과적인 제로 차수 및 더 높은 회절 차수들의 세기들을 검출하는 것을 수반한다. 직접적 빔 및 산란된 차수들의 동시 측정은 개선된 정확도를 갖는 높은 스루풋 측정들을 가능하게 한다. 일부 실시형태들에서, 제로 번째 차수 빔(0th order beam)은 캐노니컬 빔 차단(canonical beam block) 없이 측정들을 수행함으로써 이용가능하게 된다.
높은 밝기의 전체 빔 x-선 산란계측의 이용은 타겟의 불투명한 에어리어들로의 높은 플럭스의 x-선 방사선 침투를 가능하게 한다. 전체 빔 x-선 산란계측을 이용하는 측정가능한 기하학적 파라미터들의 예들은 기공 크기(pore size), 기공 밀도, 라인 에지 거칠기(line edge roughness), 라인 폭 거칠기(line width roughness), 측벽 각도(side wall angle), 프로파일, 임계 치수, 오버레이, 에지 배치 오차, 및 피치(pitch)를 포함한다. 측정가능한 재료 파라미터의 예는 전자 밀도(electron density)를 포함한다. 일부 예들에서, 전체 빔 x-선 산란계측은 10 nm보다 더 작은 특징부들 뿐만 아니라 STT-RAM, V-NAND, DRAM, PC-RAM, 및 Re-RAM과 같은 진보된 반도체 구조물들의 측정을 가능하게 하고, 여기서, 기하학적 파라미터들 및 재료 파라미터들의 측정들이 필요하다.
전형적인 x-선 산란계측 시스템들은 더 높은 회절 차수들이 수집되는 동안에 제로 차수 빔을 차단하기 위하여 빔 블록(beam block)을 채용한다. 그러나, 많은 반도체 계측 응용들에서, 이것은 성공적인 측정을 방지한다. 프로세싱 흐름의 백-엔드-오브-라인(back-end-of-line; BEOL) 부분에서의 로직 디바이스들 뿐만 아니라 프로세싱 흐름의 프론트-엔드-오브-라인(front-end-of-line; FEOL) 부분에서의 메모리(예컨대, VNAND 및 DRAM)에 대하여, 패턴 피치 값들은 전형적인 CD-SAXS 시스템들로, 제 1 회절 차수 및 제로 차수가 검출기 상에서 상당한 양의 공간적 중첩을 경험하도록 되어 있다. 빔 블록이 제로 차수를 거부하기 위하여 이용될 경우, 제 1 차수 빔의 부분은 마찬가지로 거부된다. 이것은 제 1 차수 빔 세기의 측정에서의 오차, 및 제 1 차수 빔에 의존하는 임의의 x-선 산란계측 측정에서의 오차를 야기시킨다. 전형적으로, 제 1 차수 빔은 더 높은 차수 빔들보다 훨씬 더 큰 회절 효율을 가진다. 이에 따라, 제 1 차수 빔은 측정 성공에 중대하다. 제 1 차수 빔이 없다면, 측정은 더 높은 차수들에 오직 의존해야 한다. 이것은 1보다 더 높은 차수들의 회절 효율이 제 1 회절 차수보다 훨씬 더 약하기 때문에, 적당한 신호 대 잡음 비율(signal to noise ratio; SNR)을 달성하기 위한 측정 시간을 상당히 증가시킨다.
도 1은 본원에서 제시된 예시적인 방법들에 따라, 표본의 특성들을 측정하기 위한 계측 도구(100)의 실시형태를 예시한다. 도 1에서 도시된 바와 같이, 시스템(100)은 표본 위치결정 시스템(140) 상에서 배치된 표본(101)의 검사 에어리어(102) 상에서 전체 빔 x-선 산란계측 측정들을 수행하기 위하여 이용될 수도 있다. 일부 실시형태들에서, 검사 에어리어(102)는 80 마이크로미터 이하의 스폿 크기(spot size)를 가진다. 일부 실시형태들에서, 검사 에어리어(102)는 50 마이크로미터 이하의 스폿 크기를 가진다. 일부 실시형태들에서, 검사 에어리어(102)는 40 마이크로미터 이하의 스폿 크기를 가진다.
도시된 실시형태에서, 계측 도구(100)는 전체 빔 x-선 산란계측 측정들을 위하여 적당한 x-선 방사선을 생성하도록 구성된 x-선 조명 소스(x-ray illumination source)(110)를 포함한다. 일부 실시형태들에서, x-선 조명 시스템(110)은 0.01 나노미터 내지 1 나노미터 사이의 파장들을 생성하도록 구성된다. x-선 조명 소스(110)는 표본(101)의 검사 에어리어(102) 상에 입사하는 x-선 빔(117)을 생성한다.
일반적으로, 높은-스루풋의 인라인 계측(inline metrology)을 가능하게 하기 위하여 충분한 플럭스 레벨들에서 높은 밝기의 x-선들을 생성할 수 있는 임의의 적당한 높은-밝기의 x-선 조명 소스는 전체 빔 x-선 산란계측 측정들을 위한 x-선 조명을 공급하도록 고려될 수도 있다. 일부 실시형태들에서, x-선 소스는 x-선 소스가 상이한 선택가능한 파장들에서 x-선 방사선을 전달하는 것을 가능하게 하는 튜닝가능한 단색화기(monochromator)를 포함한다.
일부 실시형태들에서, 15 keV보다 더 큰 광자 에너지를 갖는 방사를 방출하는 하나 이상의 x-선 소스들은 x-선 소스가 전체적인 디바이스 뿐만 아니라 웨이퍼 기판을 통한 충분한 투과를 허용하는 파장들에서 광을 공급하는 것을 보장하기 위하여 채용된다. 비-제한적인 예로서, 입자 가속기 소스(particle accelerator source), 액체 애노드 소스(liquid anode source), 회전 애노드 소스(rotating anode source), 정지식 고체 애노드 소스, 마이크로포커스 소스(microfocus source), 마이크로포커스 회전 애노드 소스(microfocus rotating anode source), 및 역 컴프턴 소스(inverse Compton source) 중의 임의의 것은 x-선 소스(110)로서 채용될 수도 있다. 하나의 예에서는, Lyncean Technologies, Inc., Palo Alto, California (USA)로부터 입수가능한 역 컴프턴 소스가 고려될 수도 있다. 역 컴프턴 소스는 광자 에너지들의 범위 상에서 x-선들을 생성할 수 있는 추가적인 장점을 가짐으로써, x-선 소스가 상이한 선택가능한 파장들에서 x-선 방사선을 전달하는 것을 가능하게 한다.
예시적인 x-선 소스는 x-선 방사선을 자극하기 위하여 고체 또는 액체 타겟들을 충격시키도록 구성된 전자 빔 소스들을 포함한다. 도 2는 본원에서 제시된 예시적인 방법들에 따라, 표본의 특성들을 측정하기 위한 계측 도구(200)를 도시한다. 계측 도구(100 및 200)의 유사하게 번호부여된 엘리먼트들은 유사한다. 그러나, 도 2에서 도시된 실시형태에서, x-선 조명 소스(110)는 액체 금속 기반 x-선 조명 시스템이다. 액체 금속의 제트(jet)(119)는 액체 금속 용기(111)로부터 생성되고, 액체 금속 수집기(112)에서 수집된다. 액체 금속 순환 시스템(도시되지 않음)은 수집기(112)에 의해 수집된 액체 금속을 액체 금속 용기(111)로 반환한다. 액체 금속의 제트(119)는 하나 이상의 원소들을 포함한다. 비-제한적인 예로서, 액체 금속의 제트(119)는 알루미늄, 갈륨, 인듐, 주석, 탈륨, 및 비스무스 중의 임의의 것을 포함한다. 이러한 방식으로, 액체 금속의 제트(119)는 그 구성 원소들과 대응하는 x-선 라인들을 생성한다. 하나의 실시형태에서, 액체 금속의 제트는 갈륨 및 인듐 합금을 포함한다. 일부 실시형태들에서, x-선 조명 시스템(110)은 0.01 나노미터 내지 1 나노미터 사이의 파장들을 생성하도록 구성된다. 전자 빔 소스(113)(예컨대, 전자총(electron gun))는 전자 광학기기(electron optics)(114)에 의해 액체 금속의 제트(119)로 지향되는 전자들의 스트림(stream of electrons)(118)을 생성한다. 적당한 전자 광학기기(114)는 전자 빔을 포커싱하고 빔을 액체 금속 제트로 지향하기 위한 전자석들, 영구 자석들, 및 전자석들 및 영구 자석들의 조합을 포함한다. 액체 금속의 제트(119) 및 전자들의 스트림(118)의 일치는 표본(101)의 검사 에어리어(102) 상에 입사하는 x-선 빔(117)을 생성한다.
높은 밝기의 액체 금속 x-선 조명을 생성하기 위한 방법들 및 시스템들은 그 전체가 참조로 본원에 편입되는, KLA-Tencor Corp.에게 2011년 4월 19일자로 발행된 미국 특허 제7,929,667호에서 설명된다.
하나의 실시형태에서, 입사 x-선 빔(117)은 24.2 keV의 인듐 라인에 있다. x-선 빔은 전체 빔 x-선 산란계측 측정들을 위한 멀티-층 x-선 광학기기를 이용하여 1 밀리라디안(milliradian) 미만의 발산으로까지 콜리메이팅된다.
일부 실시형태들에서, 본원에서 설명된 x-선 산란 측정들은 x-선 소스와 측정 중인 표본 사이에 위치된 스크린(screen)을 이용하지 않고 달성된다. 이 실시형태들에서, 입사 각도들, 다수의 파장들, 또는 양자의 조합의 범위 상에서의 전체 빔의 측정된 세기들은 측정된 구조물의 희망된 재료 성질(예컨대, 복합 굴절률, 전자 밀도, 또는 흡수율(absorptivity))의 희망된 재료 성질의 분포 맵(즉, 이미지)을 분해하기 위한 충분한 정보를 제공한다. 그러나, 일부 다른 예들에서, 핀홀(pinhole) 또는 또 다른 애퍼처는 x-선 빔의 콜리메이션(collimation)을 개선시키기 위하여 x-선 소스와 측정 중인 표본 사이에 위치되는 다른 불투명한 스크린 상에서 위치된다. 회절 패턴의 세기는 애퍼처(aperture)의 몇몇 포지션들에 대하여 측정된다. 일부 다른 실시형태들에서, 의사-랜덤(pseudo-random) 애퍼처 패턴을 갖는 스크린이 이용되고, 회절 패턴은 다수의 스크린들에 대하여 측정된다. 이 접근법들은 또한, 측정된 구조물의 희망된 재료 성질의 3 차원 분포를 분해하기 위한 추가적인 정보를 제공하도록 고려될 수도 있다.
일부 실시형태들에서, 입사 x-선 빔의 프로파일은 제어된 2 개 이상의 애퍼처들, 슬릿(slit)들, 또는 그 조합이다. 추가의 실시형태에서, 애퍼처들, 슬릿들, 또는 양자는 각각의 입사 각도, 방위각 각도(azimuth angle), 또는 양자에 대한 입사 빔의 프로파일을 최적화하기 위하여 표본의 배향과 조정하여 회전하도록 구성된다.
도 1에서 도시된 바와 같이, x-선 광학기기(115)는 입사 x-선 빔(117)을 표본(101)으로 성형하고 지향한다. 일부 예들에서, x-선 광학기기(115)는 표본(101) 상으로 입사하는 x-선 빔을 단색화(monochromatize)하기 위한 x-선 단색화기를 포함한다. 하나의 예에서, 록슬리-태너-보웬(Loxley-Tanner-Bowen) 단색화기와 같은 결정 단색화기는 x-선 방사선의 빔을 단색화하기 위하여 채용된다. 일부 예들에서, x-선 광학기기(115)는 멀티층 x-선 광학기기를 이용하여 x-선 빔(117)을 표본(101)의 검사 에어리어(102) 상으로 1 밀리라디안 미만의 발산으로 콜리메이팅하거나 포커싱한다. 일부 실시형태들에서, x-선 광학기기(115)는 하나 이상의 x-선 콜리메이팅 미러(collimating mirror)들, x-선 애퍼처들, x-선 빔 정지부들, 굴절성 x-선 광학기기, 구역 판(zone plate)들과 같은 회절성 광학기기, 그레이징 입사 타원형 미러(grazing incidence ellipsoidal mirror)들과 같은 전반사성(specular) x-선 광학기기, 중공 모세관 x-선 도파관(hollow capillary x-ray waveguide)들과 같은 폴리모세관(polycapillary) 광학기기, 멀티층 광학기기, 또는 시스템들, 또는 그 임의의 조합을 포함한다. 추가의 세부사항들은 그 내용이 그 전체적으로 참조로 본원에 편입되는 미국 특허 공개 제2015/0110249호에서 설명된다.
일반적으로, 조명 광학 시스템의 초점 평면은 각각의 측정 응용에 대하여 최적화된다. 이러한 방식으로, 시스템(100)은 측정 응용에 따라 표본 내의 다양한 깊이들에서 초점 평면을 위치시키도록 구성된다.
x-선 검출기(116)는 표본(101)으로부터 산란된 x-선 방사선(125)을 수집하고, 전체 빔 x-선 산란계측 측정 양상에 따라 입사 x-선 방사선에 민감한 표본(101)의 성질들을 표시하는 출력 신호(126)를 생성한다. 일부 실시형태들에서, 산란된 x-선들(125)은 x-선 검출기(116)에 의해 수집되는 반면, 표본 위치결정 시스템(140)은 각도적으로 분해된 산란된 x-선들을 생성하기 위하여 표본(101)을 위치시키고 배향한다.
하나의 양태에서, 전체 빔 x-선 산란계측 시스템은 (예컨대, 105보다 더 큰) 높은 동적 범위를 갖는 하나 이상의 광자 카운팅 검출기들, 및 손상 없이 그리고 최소의 기생적인 후방산란(parasitic backscattering)으로 직접적 빔(즉, 제로 차수 빔)을 흡수하는 두꺼운 고도로 흡수성인 결정 기판들을 포함한다. 일부 실시형태들에서, 단일의 광자 카운팅 검출기는 검출된 광자들의 포지션 및 수를 검출한다.
전체 빔 x-선 산란계측은 더 높은 회절 차수들과 함께, 제로 차수의 수집을 요구한다. 제로 차수 빔은 다른 차수들보다 몇몇 자릿수 더 강렬하다. 제로 차수 빔이 검출기의 x-선 감지 섹션에서 완전히 흡수되지 않을 경우, 그것은 산란할 것이고 기생적인 신호들을 생성할 것이다. 이 기생적인 신호들의 강도(strength)는 측정의 동적 범위를 제한한다. 예를 들어, 기생적인 신호가 가장 큰 플럭스 신호(즉, 제로 차수 신호)의 10-4일 경우, 많은 더 높은 차수들과 연관된 신호들이 오염될 것이다. 이에 따라, 검출기(예컨대, 검출기(116)가 전체 빔 계측의 유효한 동적 범위를 증가시키기 위하여 전자 홀 쌍(electron hole pair)들로의 x-선들의 높은 변환 효율 및 높은 x-선 흡수를 나타내는 것이 중대하다.
전체 빔 x-선 산란계측을 위하여 적당한 예시적인 검출기 재료들은 카드뮴 텔루라이드(Cadmium Telluride; CdTe), 게르마늄(Germanium; Ge), 갈륨 비화물(Gallium Arsenide; GaAs) 결정들, 및 그 외의 것들을 포함한다. 일부 실시형태들에서, 검출기 재료는 소스 에너지에 대응하는 좁은 에너지 대역에서 높은 변환 효율을 제공하도록 선택된다.
일부 실시형태들에서, 검출기 재료의 두께는 인입 x-선들의 희망된 흡수를 달성하도록 선택된다. 일부 실시형태들에서, 검출기는 검출기 재료를 통해 x-선 빔들의 경로 길이를 증가시키고, 이에 따라, 총 흡수량을 증가시키기 위하여 인입 x-선 빔들(다양한 회절 차수들)에 대하여 틸팅된다.
일부 실시형태들에서는, 이중 임계치 검출기들이 SNR을 개선시키기 위하여 채용된다.
추가의 양태에서, x-선 검출기는 하나 이상의 x-선 광자 에너지들을 분해하고, 표본의 성질들을 표시하는 각각의 x-선 에너지 컴포넌트에 대한 신호들을 생성한다. 일부 실시형태들에서, x-선 검출기(116)는 CCD 어레이, 마이크로채널 판(microchannel plate), 광다이오드 어레이(photodiode array), 마이크로스트립 비례 카운터(microstrip proportional counter), 가스 충전된 비례 카운터(gas filled proportional counter), 신틸레이터(scintillator), 또는 형광성 재료(fluorescent material) 중의 임의의 것을 포함한다.
이러한 방식으로, 검출기 내에서의 x-선 광자 상호작용들은 픽셀 위치 및 카운트들의 수에 추가하여, 에너지에 의해 구별된다. 일부 실시형태들에서, x-선 광자 상호작용들은 x-선 광자 상호작용의 에너지를 미리 결정된 상부 임계치 값 및 미리 결정된 하부 임계치 값과 비교함으로써 구별된다. 하나의 실시형태에서, 이 정보는 추가의 프로세싱 및 저장을 위하여 출력 신호들(126)을 통해 컴퓨팅 시스템(130)으로 통신된다.
추가의 양태에서, 검출기는 입사 제로 차수 빔으로부터의 손상 또는 과도한 대전을 완화시키기 위하여 인입 x-선들에 대해 주사된다. 일부 실시형태들에서, 검출기는 확장된 시간의 주기에 대하여 검출기 표면 상의 특정한 위치 상에 제로 차수 빔이 정주(dwell)하게 하는 것을 회피하기 위하여 인입 x-선들에 대하여 계속적으로 주사된다. 일부 다른 실시형태들에서, 검출기는 확장된 시간의 주기에 대하여 검출기 표면 상의 특정한 위치 상에 제로 차수 빔이 정주(dwell)하게 하는 것을 회피하기 위하여 인입 x-선들에 대하여 주기적으로 이동된다. 일부 실시형태들에서, 주사 또는 주기적 이동들은 인입 x-선들에 대해 거의 수직이다. 일부 실시형태들에서, 이동들은 회전적이다(예컨대, 검출기는 검출기 표면 상의 특정한 위치가 공간에서 원을 그리도록 회전됨). 일부 실시형태들에서, 이동들은 제로 차수 빔의 입사의 포인트를 검출기 표면 상의 다양한 상이한 위치들로 이동시키는 병진 이동(translational movement)들의 조합이다.
추가의 양태에서, 전체 빔 x-선 산란계측 시스템은 제로 차수 산란된 광을 포함하는 다수의 측정된 회절 차수들에 기초하여 표본의 성질들(예컨대, 구조적 파라미터 값들)을 결정하기 위하여 채용된다. 도 1에서 도시된 바와 같이, 계측 도구(100)는 검출기(116)에 의해 생성된 신호들(126)을 취득하고 취득된 신호들에 적어도 부분적으로 기초하여 표본의 성질들을 결정하기 위하여 채용된 컴퓨팅 시스템(130)을 포함한다.
전체 빔 x-선 산란계측 측정에서, 고 종횡비의 수직으로 제조된 구조물은 콜리메이팅된 x-선 빔을 회전 차수들로 회절시킨다. 각각의 회절 차수는 특정한 예측가능한 방향으로 통행한다. 회절 차수들의 각도 이격은 파장에 의해 나누어진 표본의 격자 상수에 역비례한다. 회절 차수들은 웨이퍼로부터의 일부 거리에서 배치된 검출기 어레이에 의해 검출된다. 검출기의 각각의 픽셀은 픽셀을 타격하는 광자들의 수를 표시하는 신호를 출력한다.
회절 차수들의 세기들은 형태 I(m, n, )이고, 여기서, {m, n}은 회절 차수들의 정수 인덱스들이고, {}는 임사 빔의 앙각(elevation) 및 방위각(azimuth) 각도들(즉, 웨이퍼에 고정되는 좌표계에 대한 입사 주요 광선의 극 좌표들)이고, 은 입사 x-선의 파장이다.
몇몇 잡음 소스들은 조명 광이 조명을 진출하고 표본을 향해 전파할 때에 조명 광을 교란시킨다. 예시적인 외란(disturbance)들은 전자 빔 전류 섭동(electron beam current fluctuation), 온도 유도된 광학기기 드리프트 등을 포함한다. 교란된 입사 플럭스는 F0(1+n1)로서 나타내어진다.
타겟은 입사 빔의 방위각 및 앙각 각도들에 종속되는 방식으로 입사 방사를 산란시킨다. 차수들 (m, n)으로의 광 산란의 효율은 으로서 나타내어질 수 있다. 회절된 광이 표본으로부터 검출기로 전파할 때, 빔은 일부 변동 (1+n2) 및 기생적인 잡음 (n3)과 유사하게 모든 차수들에 영향을 주는 다른 산란 매질들을 통과한다. 이러한 방식으로, 시간 t에서 측정된 각각의 차수의 총 세기 Imn는 수학식 (1)에 의해 표현될 수 있다.
(1)
도 3은 계측 시스템(100)과 같은 전체 빔 계측 시스템에 의해 측정된 산란된 차수들의 이미지(171)를 도시한다. 도 3에서 예시된 바와 같이, 이미지의 중심에서의 밝은 스폿은 제로 차수 빔과 연관된다.
각각의 차수의 세기는 많은 방법들로 추출될 수 있다. 일부 실시형태들에서, 회절 차수들은 검출기에서 공간적으로 분리된다. 이 실시형태들에서, 회절 차수들은 검출기 어레이에 의해 개별적으로 검출되고, 동일한 회절 차수와 연관된 픽셀들의 출력들은 조합(즉, 가산)된다. 이러한 방식으로, 검출된 회절 차수들은 각각의 특정한 회절 차수와 연관된 픽셀들의 광자 카운트들을 누적시킴으로써 구별된다. 이 시나리오는 상대적으로 작은 피치 특징부들을 측정할 때, 또는 상대적으로 작은 발산을 가지는 빔으로 측정할 때에 발생할 가능성이 더 크다.
일부 다른 실시형태들에서, 회절 차수들은 검출기에서 공간적으로 중첩하고, 픽셀 출력들은 특정한 회절 차수와 연관된 세기를 결정하기 위하여 간단하게 조합될 수 없다. 이 실시형태들에서, 측정 모델은 각각의 검출된 회절 차수의 측정된 세기를 구별하기 위한 회절 차수들을 디컨볼루션하기 위하여 채용된다. 이 시나리오는 상대적으로 큰 피치 특징부들을 측정할 때, 또는 상대적으로 큰 발산을 가지는 빔으로 측정할 때에 발생할 가능성이 더 크다.
추가의 양태에서, 중첩된 차수들은 측정된 제로 차수 빔 형상에 기초하여 디컨볼루션된다. 일부 실시형태들에서, 이 디컨볼루션(deconvolution)은 실시간으로 수행된다. 더 높은 회절된 차수들(즉, 제로보다 더 큰 차수들)의 빔 프로파일은 제로 차수 빔의 프로파일에 기초하여 모델링된다. 도 4는 계측 시스템(100)과 같은 전체 빔 계측 시스템에 의해 측정된 산란된 차수들의 이미지(172)를 도시한다. 도 5는 도 4에서 도시된 이미지(172)의 단면, C와 연관된 세기 프로파일의 도표(173)를 도시한다. 상대적으로 높은 세기의 제로 차수 빔은 더 높은 회절 차수들을 모델링하기 위하여 이용되는 매우 정확한 빔 프로파일을 제공한다.
또 다른 추가의 양태에서, 제로 차수 빔 프로파일은 측정 동안의 드리프트를 완화시키기 위하여 측정들 동안에 추출된다.
일부 실시형태들에서, 제로 차수 빔 프로파일은 빔 타겟에서의 타겟 없이 측정된다. 일부 실시형태들에서, 제로 차수 빔 프로파일은 제로 회절 차수가 검출기 상에서 측정된 유일한 빔이 되도록, 빔 경로에서의 비-산란 타겟으로 측정된다. 일부 실시형태들에서, 제로 차수 빔 프로파일은 알려진 산란 성질들을 가지는 알려진 타겟으로 측정된다.
또 다른 추가의 양태에서, 더 높은 회절 차수들의 세기는 측정된 제로 차수 빔에 기초하여 추정된다. 일부 실시형태들에서, 각각의 더 높은 회절 차수의 세기는 세기의 간단한 분할, 또는 그 외의 것에 의해 측정된 제로 차수 빔에 대하여 추정된다. 이러한 방식으로, 상대적으로 약한 더 높은 차수의 신호들과 연관된 측정 불확실성은 상당히 감소된다.
동시에 측정된 제로 차수 빔에 기초하여 더 높은 회절 차수들의 세기를 추정함으로써, 산란 신호들은 데이터 수집 동안에 시스템 교란들로부터 분리된다. 광학적 컴포넌트들(예컨대, 슬릿들, 광학기기, 스폿 형상)의 오정렬로 인한 교란들 및 빔 경로를 따르는 교란들(예컨대, n1 및 n2)은 실시간으로 완화된다. 제로 차수를 포함하는 모든 산란된 세기들을 이용함으로써, 측정된 표본의 두께 또는 재료 밀도에 대한 산란된 세기들의 종속성은 웨이퍼 전 및 후의 플럭스 교란들로부터 격리된다.
측정된 표본의 산란 효율은 추출된 산란 세기들을 입사 각도들의 세트 {}에 대한 계측 타겟의 기하구조 및 재료들에 관련시킨다. 도 6은 입사 각도 의 함수로서, 제로 차수 빔의 산란 효율 S00을 도시한다. 타겟을 통한 투과는 증가된 경로 길이로 인해 더 높은 입사 각도들에서 감소하므로, S00은 입사 각도에 종속된다. 추가적으로, 에너지가 제로 차수를 떠나고, 입사 각도가 타겟의 산란과 정렬될 때(예컨대, 정상적인 입사)에 더 높은 회절 차수들에 진입하므로, S00은 입사 각도에 종속된다.
도 7은 입사 각도 의 함수로서의 몇몇 더 높은 차수들의 산란 효율을 도시한다. 도표라인(174)은 S11을 도시하고, 도표라인(175)은 S13을 도시한다. 도표라인(176)은 S20을 도시하고, 도표라인(177)은 S22를 도시한다. 모든 더 높은 차수들에 대한 산란 세기는 전형적으로, 산란 깊이 또는 밀도에 종속된다. 일반적으로, 제로 차수의 산란 효율은 산란 깊이가 증가함에 따라 감소하는 반면, 하나 걸러 산란된 차수의 산란 효율은 산란 깊이가 증가함에 따라 증가한다.
동시에 측정된 제로 차수 빔에 기초하여 더 높은 회절 차수들의 세기를 추정하는 것은 또한, 측정 신호 대 잡음 비율(SNR)을 증가시킨다. 이것은 산란 깊이 및 재료 밀도의 더 정밀한 측정들, 및 이에 따라, 타겟 프로파일의 더 정밀한 추정치를 가능하게 한다.
일부 예들에서, 전체 빔 x-선 산란계측에 기초한 계측은 측정된 데이터를 갖는 미리 결정된 측정 모델의 역해에 의해 샘플의 치수들을 결정하는 것을 수반한다. 측정 모델은 약간의(대략 10 개의) 조절가능한 파라미터들을 포함하고, 표본의 기하구조 및 광학적 성질들 및 측정 시스템의 광학적 성질들을 나타낸다. 역해의 방법은 모델 기반 회귀, 단층촬영법, 머신 러닝, 또는 그 임의의 조합을 포함하지만, 이것으로 제한되지는 않는다. 이러한 방식으로, 타겟 프로파일 파라미터들은 측정된 산란된 x-선 세기들과 모델링된 결과들 사이의 오차들을 최소화하는 파라미터화된 측정 모델의 값들에 대하여 구함으로써 추정된다.
또 다른 추가의 양태에서, 측정된 제로 차수 세기는 회귀 동안에 측정 모델로의 입력으로서 제공된다. 제로 차수가 측정되지 않을 때, 총 플럭스의 값은 다른 모델 파라미터들과 함께 부동(float)되어야 한다. 이것은 정밀도의 손실로 귀착된다. 또한, 제로 차수 이외의 모든 산란된 차수들에 대하여, 산란된 세기는 산란 콘트라스트(scattering contrast), 즉, 재료들의 굴절률들 사이의 차이의 함수이다. 그러나, 제로 차수에 대하여, 산란된 세기는 굴절률들의 절대 값들(즉, 무차별된 값들)의 함수이다. 이 추가적인 정보는 측정 정밀도를 개선시킨다.
또 다른 추가의 양태에서, 측정된 차수들의 발산은 계측 타겟의 모델 기반 측정과 연관된 측정 모델에서 고려된다. 일부 예들에서, 각각의 픽셀이 시스템 발산으로 인해 약간 상이한 입사 각도들 {}의 평균이므로, 분해된 빔은 픽셀-종속적 산란 효율을 가진다. 발명자들은 측정 시간이 전체 빔 x-선 산란계측에 기초하여 모델 기반 측정들에 대한 발산을 최적화함으로써 감소될 수 있다는 것을 발견하였다. 너무 적은 발산은 증가된 측정 시간으로 귀착되고, 너무 많은 발산은 과도한 상관 및 측정 정밀도의 손실로 이어진다.
또 다른 양태에서, 전체 빔 x-선 산란계측 시스템의 측정 품질 및 성능은 측정된 제로 차수 빔의 성질들에 기초하여 추정된다. 제로 차수 빔의 측정된 성질들은 빔 형상, 세기, 위치, 프로파일, 틸트, 회전, 비대칭성, 또는 그 임의의 조합을 포함하지만, 이것으로 제한되지는 않는다.
일부 예들에서, 조명 소스의 밝기는 검출기에 의해 검출된 모든 광의 합산(summation)에 기초하여 추정된다. 외부 교란들의 부재 시에, 총 측정된 플럭스는 타겟 흡수에 오직 종속된다. 일부 예들에서, 측정은 타겟 없이 수행된다. 이 예들에서, 총 측정된 플럭스는 소스 밝기의 직접적인 추정치를 제공한다. 일부 다른 예들에서, 알려진 흡수 특성들을 가지는 타겟이 채용된다. 이 예들에서, 소스 밝기는 알려진 타겟 흡수에 의해 정정된 측정된 플럭스에 기초하여 추정된다.
일부 예들에서, 시스템의 투과 효율은 검출기에 의해 검출된 모든 광의 합산에 기초하여 추정된다. 이 예들에서, 조명 소스에 의해 방출된 광은, 광이 조명 소스를 진출할 때, 그러나, 조명 광학기기와의 상호작용 전에 측정된다. 추가적으로, 검출기에 의해 검출된 광은 합산된다. 검출기에서 검출된 광과 조명 소스에 의해 방출된 광 사이의 플럭스의 비율은 광학 시스템의 투과 효율의 추정치를 제공한다. 일부 예들에서, 측정은 타겟 없이 수행된다. 일부 다른 예들에서, 알려진 흡수 특성들을 가지는 타겟이 채용된다.
일부 예들에서, 빔 축에 대한 검출기의 상대적인 정렬은 검출기 상에서의 제로 차수 빔의 입사의 위치에 기초하여 추정된다.
일부 예들에서, 광학 서브시스템(optical subsystem)에서의 결함들 또는 오정렬들은 검출기에서 측정된 제로 차수 빔의 형상(예컨대, 비대칭성들, 거칠기, 회전들)에 기초하여 추정된다. 빔 성형 광학기기, 슬릿들, 애퍼처들, 조명 소스 등의 결함들 또는 오정렬은 이러한 방식으로 특성화될 수도 있다. 많은 예들에서, 조명 광학기기의 기울기에서의 오차들은 검출기에서 검출된 빔 형상의 미세한 구조물들로서 자신들을 나타낸다. 검출된 빔 형상에서의 작은 변동들은 조명 광학기기 상의 빔의 포지션에 대응한다. 추가적으로, 슬릿들 상의 빔의 포지션은 슬릿들로 인한 예리한 에지들의 위치에 대한 광학기기 기울기 오차들로 인한 미세한 구조물들의 위치들을 모니터링함으로써 확인된다.
추가의 양태에서, 계측 시스템의 측정 품질 및 성능은 측정된 제로 차수 빔에 기초하여 제어된다. 일부 예들에서, 이전에 설명된 측정 품질 및 성능의 추정치들은 피드백 제어기(예컨대, 컴퓨팅 시스템(130))로의 입력으로서 제공된다. 피드백 제어기는 측정 시스템 품질 및 성능을 개선시키는 계측 시스템의 하나 이상의 엘리먼트들의 상태에서의 변경들로 귀착되는 제어 커맨드들을 통신한다.
일부 예들에서, 제어 커맨드들은 조명 소스에 제공된다. 이에 응답하여, 조명 소스의 전기적 상태는 주사된 스폿 크기 및 형상, 조명 전력, 스폿 오프셋들, 입사 각도들 등을 변경하도록 조절된다.
일부 예들에서, 제어 커맨드들은 계측 시스템의 하나 이상의 광학적 엘리먼트들의 위치를 제어하는 하나 이상의 위치결정 디바이스들에 제공된다. 이에 응답하여, 하나 이상의 위치결정 디바이스들은 입사 각도들, 조명 소스와 조명 광학기기 사이의 초점 거리, 빔 위치결정, 표면 거칠기의 효과들을 최소화하기 위한 광학기기 상의 빔 스폿의 위치 등을 조절하기 위하여 하나 이상의 광학적 엘리먼트들의 포지션/배향을 변경한다.
일반적으로, 본원에서 설명된 바와 같은 측정 품질 및 성능의 추정치들 및 제어는 빔 경로에서 존재하는 타겟으로, 또는 타겟 없이 수행될 수도 있다.
추가의 양태에서, 전체 빔 x-선 산란계측 측정들은 그 전체적인 깊이를 통해 고 종횡비 구조물들을 특성화하기 위하여 충분한 분해능 및 침투의 깊이를 제공하는 입사 각도들의 범위 상에서 수행된다.
웨이퍼 표면 법선(wafer surface normal)에 대한 x-선 입사 각도의 함수로서의 회절된 방사의 세기의 측정들이 수집된다. 다수의 회절 차수들에서 포함된 정보는 전형적으로, 고려 중인 각각의 모델 파라미터 사이에서 고유하다. 이에 따라, x-선 산란은 작은 오차들 및 감소된 파라미터 상관을 갖는 관심 있는 파라미터들의 값들에 대한 추정 결과들을 산출한다.
일부 실시형태들에서, x-선 검출기(116)는 표본(101)과 동일한 대기 환경(예컨대, 가스 퍼지 환경(gas purge environment))에서 유지된다. 그러나, 일부 실시형태들에서, 표본(101)과 x-선 검출기(116) 사이의 거리는 너무 길고, 환경적 외란들(예컨대, 난기류)은 잡음을 검출된 신호들에 제공한다. 이 때문에, 일부 실시형태들에서, x-선 검출기들 중의 하나 이상은 진공 윈도우(vacuum window)에 의해 표본(예컨대, 표본(101)으로부터 분리된 국소화된 진공 환경에서 유지된다.
유사하게, 일부 실시형태들에서, x-선 조명 소스(110), 조명 광학기기(115), 또는 양자는 표본(101)과 동일한 대기 환경(예컨대, 가스 퍼지 환경)에서 유지된다. 그러나, 일부 실시형태들에서, x-선 조명 소스(110)와 조명 광학기기(115) 사이의 광학적 경로 길이, 및 조명 광학기기(115)와 표본(101) 사이의 광학적 경로 길이는 길고, 환경적 외란들(예컨대, 난기류)은 잡음을 조명 빔에 제공한다. 이 때문에, 일부 실시형태들에서, x-선 조명 소스, 조명 광학기기(115), 또는 양자는 진공 윈도우에 의해 표본(예컨대, 표본(101))으로부터 분리된 국소화된 진공 환경에서 유지된다.
도 8은 하나의 실시형태에서, x-선 조명 소스(110) 및 조명 광학기기(115)를 포함하는 진공 챔버(160), 및 x-선 검출기(116)를 포함하는 진공 챔버(160)를 예시하는 도면이다. 선호된 실시형태에서, 진공 챔버(160)는 x-선 조명 소스(110)와 표본(101) 사이의 광학적 경로의 실질적인 부분을 포함하고, 진공 챔버(163)는 표본(101)과 x-선 검출기(116) 사이의 광학적 경로의 실질적인 부분을 포함한다. 진공 챔버(160) 및 진공 챔버(163)의 개구부(opening)들은 진공 윈도우들(161 및 164)에 의해 각각 커버(cover)된다. 진공 윈도우들(161 및 164)은 x-선 방사선에 대하여 실질적으로 투명한 임의의 적당한 재료(예컨대, 베릴륨(Beryllium))로 구성될 수도 있다. 조명 빔(117)은 그것이 표본(101)을 향해 전파할 때에 진공 윈도우(161)를 통과한다. 표본(101)과의 상호작용 후에, 산란된 x-선 방사선(125)은 진공 윈도우(164)를 통과하고, 진공 챔버(160)에 진입하고, x-선 검출기(116) 상에 입사한다. 적당한 진공 환경(162)은 조명 빔(117)에 대한 외란들을 최소화하기 위하여 진공 챔버(160) 내에서 유지되고, 적당한 진공 환경(165)은 산란된 x-선 방사선(125)에 대한 외란들을 최소화하기 위하여 진공 챔버(163) 내에서 유지된다. 적당한 진공 환경은 임의의 적당한 레벨의 진공, 비활성 가스(예컨대, 헬륨(helium))를 포함하는 임의의 적당한 퍼징된 환경(purged environment), 또는 그 임의의 조합을 포함할 수도 있다. 이러한 방식으로, 가능한 한 많은 빔 경로가 플럭스를 최대화하고 교란들을 최소화하기 위하여 진공에서 위치된다.
일부 실시형태들에서, 표본(101)을 포함하는 전체적인 광학 시스템이 진공에서 유지된다. 그러나, 일반적으로, 표본(101)을 진공에서 유지하는 것과 연관된 비용들은 표본 위치결정 시스템(140)의 구성과 연관된 복잡도들로 인해 높다.
또 다른 추가의 양태에서, 컴퓨팅 시스템(130)은 표본의 측정된 구조물의 구조적 모델(예컨대, 기하학적 모델, 재료 모델, 또는 조합된 기하학적 및 재료 모델)을 생성하고, 구조적 모델로부터의 적어도 하나의 기하학적 파라미터를 포함하는 전체 빔 x-선 산란계측 응답 모델을 생성하고, 전체 빔 x-선 산란계측 응답 모델과의 전체 빔 x-선 산란계측 측정 데이터의 피팅 분석을 수행함으로써 적어도 하나의 표본 파라미터 값을 분해하도록 구성된다. 분석 엔진은 시뮬레이팅된 전체 빔 x-선 산란계측 신호들을 측정된 데이터와 비교함으로써, 기하학 뿐만 아니라 샘플의 전자 밀도와 같은 재료 성질들의 결정을 허용하기 위하여 이용된다. 도 1에서 도시된 실시형태에서, 컴퓨팅 시스템(130)은 본원에서 설명된 바와 같은 모델 구축 및 분석 기능성을 구현하도록 구성된 모델 구축 및 분석 엔진으로서 구성된다.
도 9는 컴퓨팅 시스템(130)에 의해 구현된 예시적인 모델 구축 및 분석 엔진(150)을 예시하는 도면이다. 도 9에서 도시된 바와 같이, 모델 구축 및 분석 엔진(150)은 표본의 측정된 구조물의 구조적 모델(152)을 생성하는 구조적 모델 구축 모듈(151)을 포함한다. 일부 실시형태들에서, 구조적 모델(152)은 또한, 표본의 재료 성질들을 포함한다. 구조적 모델(152)은 전체 빔 x-선 산란계측 응답 함수 구축 모듈(153)로의 입력으로서 수신된다. 전체 빔 x-선 산란계측 응답 함수 구축 모듈(153)은 구조적 모델(152)에 적어도 부분적으로 기초하여 전체 빔 x-선 산란계측 응답 함수 모델(155)을 생성한다. 일부 예들에서, 전체 빔 x-선 산란계측 응답 함수 모델(155)은 x-선 폼 팩터(form factor)들에 기초하고,
(2)
여기서, F는 폼 팩터이고, q는 산란 벡터이고, 은 구형 좌표들에서의 표본의 전자 밀도이다. x-선 산란 세기는 그 다음으로, 이하에 의해 주어진다.
(3)
전체 빔 x-선 산란계측 응답 함수 모델(155)은 피팅 분석 모듈(157)로의 입력으로서 수신된다. 피팅 분석 모듈(157)은 기하학 뿐만 아니라 표본의 재료 성질들을 결정하기 위하여, 모델링된 전체 빔 x-선 산란계측 응답을 대응하는 측정된 데이터와 비교한다.
일부 예들에서, 실험 데이터에 대한 모델링된 데이터의 피팅은 카이-제곱된 값(chi-squared value)을 최소화함으로써 달성된다. 예를 들어, 전체 빔 x-선 산란계측 측정들에 대하여, 카이-제곱된 값은 이하로서 정의될 수 있고,
(4)
여기서, 은 "채널(channel)" j에서의 측정된 전체 빔 x-선 산란계측 신호들(126)이고, 여기서, 인덱스 j는 회절 차수, 에너지, 각도 좌표 등과 같은 시스템 파라미터들의 세트를 설명한다. 은 구조물(타겟) 파라미터들 v1, …, vL의 세트에 대하여 평가된, "채널" j에 대한 모델링된 전체 빔 x-선 산란계측 신호 Sj이고, 여기서, 이 파라미터들은 기하학(CD, 측벽 각도, 오버레이 등) 및 재료(전자 밀도 등)를 설명한다. 은 j 번째 채널과 연관된 불확실성이다. NSAXS는 x-선 계측에서의 채널들의 총 수이다. L은 계측 타겟을 특성화하는 파라미터들의 수이다.
수학식 (4)는 상이한 채널들과 연관된 불확실성들이 비상관된다는 것을 보장한다. 상이한 채널들과 연관된 불확실성들이 상관되는 예들에서, 불확실성들 사이의 공분산(covariance)이 계산될 수 있다. 이 예들에서, 전체 빔 x-선 산란계측 측정들을 위한 카이-제곱된 값은 이하로서 표현될 수 있고,
(5)
여기서, VSAXS는 SAXS 채널 불확실성들의 공분산 행렬이고, T는 전치(transpose)를 나타낸다.
일부 예들에서, 피팅 분석 모듈(157)은 전체 빔 x-선 산란계측 응답 모델(155)로 전체 빔 x-선 산란계측 측정 데이터(126)에 대한 피팅 분석을 수행함으로써 적어도 하나의 표본 파라미터 값을 분해한다. 일부 예들에서, 은 최적화된다.
이전에 설명된 바와 같이, 전체 빔 x-선 산란계측 데이터의 피팅은 카이-제곱된 값들의 최소화에 의해 달성된다. 그러나, 일반적으로, 전체 빔 x-선 산란계측 데이터의 피팅은 다른 함수들에 의해 달성될 수도 있다.
전체 빔 x-선 산란계측 계측 데이터의 피팅은 관심 있는 기하학적 및/또는 재료 파라미터들에 대한 감도를 제공하는 임의의 타입의 전체 빔 x-선 산란계측 기술에 대하여 유리하다. 표본 파라미터들은 표본과의 전체 빔 x-선 산란계측 빔 상호작용을 설명하는 적당한 모델들이 이용되는 한, 결정론적(예컨대, CD, SWA 등) 또는 통계적(예컨대, 측벽 거칠기의 rms 높이, 거칠기 상관 길이 등)일 수 있다.
일반적으로, 컴퓨팅 시스템(130)은 실시간 임계 치수화(Real Time Critical Dimensioning; RTCD)를 채용하여 모델 파라미터들을 실시간으로 액세스하도록 구성되거나, 그것은 표본(101)과 연관된 적어도 하나의 표본 파라미터 값의 값을 결정하기 위한 사전-컴퓨팅된(pre-computed) 모델들의 라이브러리(library)들을 액세스할 수도 있다. 일반적으로, CD-엔진의 일부 형태는 표본의 배정된 CD 파라미터들과 측정된 표본과 연관된 CD 파라미터들 사이의 차이를 평가하기 위하여 이용될 수도 있다. 표본 파라미터 값들을 컴퓨팅하기 위한 예시적인 방법들 및 시스템들은 그 전체가 참조로 본원에 편입되는, KLA-Tencor Corp.에게 2010년 11월 2일자로 발행된 미국 특허 제7,826,071호에서 설명된다.
일부 예들에서, 모델 구축 및 분석 엔진(150)은 피드 사이드웨이(feed sideways) 분석, 피드 포워드(feed forward) 분석, 및 병렬 분석의 임의의 조합에 의해 측정된 파라미터들의 정확도를 개선시킨다. 피드 사이드웨이 분석은 동일한 표본의 상이한 에어리어들 상에서 다수의 데이터 세트(data set)들을 취하고 제 1 데이터세트로부터 결정된 공통적인 파라미터들을 분석을 위하여 제 2 데이터세트로 전달하는 것을 지칭한다. 피드 포워드 분석은 상이한 표본들 상에서 데이터 세트들을 취하고 스텝별 복사 정확한 파라미터 피드 포워드 접근법을 이용하여 공통적인 파라미터들을 후속 분석들에 대한 순방향으로 전달하는 것을 지칭한다. 병렬 분석은 적어도 하나의 공통적인 파라미터가 피팅 동안에 결합되는 다수의 데이터세트들에 대한 비-선형 피팅 방법론의 병렬 또는 동시 적용을 지칭한다.
다수의 도구 및 구조물 분석은 피드 포워드, 피드 사이드웨이, 또는 회귀에 기초한 병렬 분석, 룩업 테이블(look-up table)(즉, "라이브러리" 정합), 또는 다수의 데이터세트들의 또 다른 피팅 절차를 지칭한다. 다수의 도구 및 구조물 분석을 위한 예시적인 방법들 및 시스템들은 그 전체가 참조로 본원에 편입되는, KLA-Tencor Corp.에게 2009년 1월 13일자로 발행된 미국 특허 제7,478,019호에서 설명된다.
하나의 추가의 양태에서, 계측 도구(100)는 본원에서 설명된 바와 같은 빔 제어 기능성을 구현하도록 구성된 컴퓨팅 시스템(예컨대, 컴퓨팅 시스템(130))을 포함한다. 도 1에서 도시된 실시형태에서, 컴퓨팅 시스템(130)은 입사 조명 빔(117)의 세기, 발산, 스폿 크기, 편광, 스펙트럼, 및 위치결정과 같은 조명 성질들 중의 임의의 것을 제어하도록 동작가능한 빔 제어기로서 구성된다.
도 1에서 예시된 바와 같이, 컴퓨팅 시스템(130)은 검출기(116)에 통신가능하게 결합된다. 컴퓨팅 시스템(130)은 검출기(116)로부터 측정 데이터(126)를 수신하도록 구성된다. 하나의 예에서, 측정 데이터(126)는 표본의 측정된 응답(즉, 회절 차수들의 세기들)의 표시를 포함한다. 검출기(116)의 표면 상의 측정된 응답의 분포에 기초하여, 표본(101) 상의 조명 빔(117)의 입사의 위치 및 에어리어는 컴퓨팅 시스템(130)에 의해 결정된다. 하나의 예에서, 패턴 인식 기법들은 측정 데이터(126)에 기초하여 표본(101) 상의 조명 빔(117)의 입사의 위치 및 에어리어를 결정하기 위하여 컴퓨팅 시스템(130)에 의해 적용된다. 일부 예들에서, 컴퓨팅 시스템(130)은 입사 조명 빔(117)이 표본(101)에 대한 희망된 위치 및 각도 배향에 도달하도록, 희망된 조명 파장을 선택하고 조명 빔(117)을 방향전환하고 재성형하기 위하여 커맨드 신호(137)를 조명 광학기기(115)로 통신한다. 일부 다른 예들에서, 컴퓨팅 시스템(130)은 입사 조명 빔(117)이 표본(101)에 대한 희망된 위치 및 각도 배향에 도달하도록, 표본(101)을 위치결정하고 배향하기 위하여 커맨드 신호를 웨이퍼 위치결정 시스템(140)으로 통신한다. 일부 다른 예들에서, 컴퓨팅 시스템(130)은 입사 조명 빔(117)이 표본(101)에 대한 희망된 위치 및 각도 배향에 도달하도록, 희망된 조명 파장을 선택하고 조명 빔(117)을 방향전환하고 재성형하기 위하여 커맨드 신호(137)를 x-선 소스(110)로 통신한다.
일부 실시형태들에서는, 도 1에서 도시된 좌표계(146)에 의해 표시된 x 및 y 축들 주위에서의 회전들에 의해 설명된 상이한 배향들에서 측정들을 수행하는 것이 바람직하다. 이것은 측정된 파라미터들의 정밀도 및 정확도를 증가시키고, 다양한 큰 각도의 평면외(out of plane) 배향들을 포함하기 위하여 분석을 위해 이용가능한 데이터 세트들의 수 및 다이버시티(diversity)를 확장함으로써 파라미터들 사이의 상관들을 감소시킨다. 더 깊고 더 다양한 데이터 세트를 갖는 표본 파라미터들을 측정하는 것은 또한, 파라미터들 사이의 상관들을 감소시키고 측정 정확도를 개선시킨다. 예를 들어, 정상적인 배향에서, 전체 빔 x-선 산란계측은 특징부의 임계 치수를 분해할 수 있지만, 특징부의 측벽 각도 및 높이에 주로 덜 민감하다. 그러나, 평면외 각도 포지션들의 넓은 범위 상에서 측정 데이터를 수집함으로써, 특징부의 측벽 각도 및 높이가 분해될 수 있다.
도 1에서 예시된 바와 같이, 계측 도구(100)는 산란계측기에 대한 평면외 각도 배향들의 큰 범위 상에서 표본(101)을 정렬하고 표본(101)을 배향하는 것의 양자를 행하도록 구성된 표본 위치결정 시스템(140)을 포함한다. 다시 말해서, 표본 위치결정 시스템(140)은 표본(101)의 표면과 평면내(in-plane)로 정렬된 하나 이상의 회전 축들 주위에서 큰 각도 범위 상에서 표본(101)을 회전하도록 구성된다. 일부 실시형태들에서, 표본 위치결정 시스템(140)은 표본(101)의 표면과 평면내로 정렬된 하나 이상의 회전 축들 주위에서 적어도 90 도의 범위 내에서 표본(101)을 회전하도록 구성된다. 일부 실시형태들에서, 표본 위치결정 시스템은 표본(101)의 표면과 평면내로 정렬된 하나 이상의 회전 축들 주위에서 적어도 60 도의 범위 내에서 표본(101)을 회전하도록 구성된다. 일부 다른 실시형태들에서, 표본 위치결정 시스템은 표본(101)의 표면과 평면내로 정렬된 하나 이상의 회전 축들 주위에서 적어도 1 도의 범위 내에서 표본(101)을 회전하도록 구성된다. 이러한 방식으로, 표본(101)의 각도 분해된 측정들은 표본(101)의 표면 상의 임의의 수의 위치들 상에서 계측 시스템(100)에 의해 수집된다. 하나의 예에서, 컴퓨팅 시스템(130)은 표본(101)의 희망된 포지션을 표시하는 커맨드 신호들을 표본 위치결정 시스템(140)의 모션 제어기(motion controller)(145)로 통신한다. 이에 응답하여, 모션 제어기(145)는 표본(101)의 희망된 위치결정을 달성하기 위하여, 표본 위치결정 시스템(140)의 다양한 액츄에이터(actuator)들에 대한 커맨드 신호들을 생성한다.
비-제한적인 예로서, 도 1에서 예시된 바와 같이, 표본 위치결정 시스템(140)은 표본(101)을 표본 위치결정 시스템(140)에 고정적으로 부착하기 위한 에지 그립 척(edge grip chuck)(141)을 포함한다. 회전 액츄에이터(142)는 주연부 프레임(perimeter frame)(143)에 대하여 에지 그립 척(141) 및 부착된 표본(101)을 회전하도록 구성된다. 도시된 실시형태에서, 회전 액츄에이터(142)는 도 1에서 예시된 좌표계(146)의 x-축 주위로 표보(101)을 회전하도록 구성된다. 도 1에서 도시된 바와 같이, z-축 주위에서의 표본(101)의 회전은 표본(101)의 평면내 회전이다. x-축 및 y-축(도시되지 않음) 주위의 회전들은 계측 시스템(100)의 계측 엘리먼트들에 대하여 표본의 표면을 효과적으로 틸팅하는 표본(101)의 평면외(out of plane) 회전들이다. 그것이 예시되지는 않지만, 제 2 회전 액츄에이터는 y-축 주위에서 표본(101)을 회전하도록 구성된다. 선형 액츄에이터(144)는 x-방향에서 외연부 프레임(143)을 병진(translate)하도록 구성된다. 또 다른 선형 액츄에이터(도시되지 않음)는 y-방향에서 주연부 프레임(1430을 병진하도록 구성된다. 이러한 방식으로, 표본(101)의 표면 상의 매 위치는 평면외 각도 포지션들의 범위 상에서의 측정을 위하여 이용가능하다. 예를 들어, 하나의 실시형태에서, 표본(101)의 위치는 표본(101)의 정상적인 배향에 대하여 -45 도 내지 +45 도의 범위 내에서의 몇몇 각도 증분들 상에서 측정된다.
일반적으로, 표본 위치결정 시스템(140)은 고니오미터 스테이지(goniometer stage)들, 헥사포드 스테이지(hexapod stage)들, 각도 스테이지들, 및 선형 스테이지들을 포함하지만 이것으로 제한되지는 않는, 희망된 선형 및 각도 위치결정 성능을 달성하기 위한 기계적 엘리먼트들의 임의의 적당한 조합을 포함할 수도 있다.
추가의 양태에서, 관심 있는 하나 이상의 파라미터들의 값들의 초기 추정치는 측정 타겟에 대한 입사 x-선 빔의 단일 배향에서 수행된 전체 빔 x-선 산란계측 측정들에 기초하여 결정된다. 초기의 추정된 값들은 다수의 배향들에서의 전체 빔 x-선 산란계측 측정들로부터 수집된 측정 데이터에 의한 측정 모델의 회귀에 대한 관심 있는 파라미터들의 시작 값들로서 구현된다. 이러한 방식으로, 관심 있는 파라미터의 근접한 추정치는 상대적으로 작은 양의 연산 노력으로 결정되고, 이 근접한 추정치를 훨씬 더 큰 데이터 세트 상에서의 회귀에 대한 시작 포인트로서 구현함으로써, 관심 있는 파라미터의 세분화된 추정치가 더 적은 전체적인 연산 노력으로 획득된다.
추가의 양태에서, 전체 빔 x-선 산란계측 측정 데이터는 검출된 회절 차수들의 측정된 세기들에 기초하여 측정된 구조물의 이미지를 생성하기 위하여 이용된다. 일부 실시형태들에서, 전체 빔 x-선 산란계측 응답 함수 모델은 포괄적 전자 밀도 메쉬로부터의 산란을 설명하기 위하여 일반화된다. 계속성 및 희박한 에지들을 실시하기 위하여 이 메시지에서의 모델링된 전자 밀도들을 제약하면서, 이 모델을 측정된 신호들로 정합하는 것은 샘플의 3 차원 이미지를 제공한다.
기하학적 모델-기반 파라메트릭 변환은 전체 빔 x-선 산란계측 측정들에 기초한 임계 치수(CD) 계측을 위하여 선호되지만, 동일한 전체 빔 x-선 산란계측 측정 데이터로부터 생성된 표본의 맵은 측정된 표본이 기하학적 모델의 가정들로부터 벗어날 때에 모델 오차들을 식별하고 정정하기 위하여 유용하다.
일부 예들에서, 이미지는 동일한 산란계측 측정 데이터의 기하학적 모델-기반 파라메트릭 변환에 의해 추정된 구조적 특성들과 비교된다. 불일치들은 측정된 구조물의 기하학적 모델을 업데이트하고 측정 성능을 개선시키기 위하여 이용된다. 정확한 파라메트릭 측정 모델 상에서 수렴하기 위한 능력은 그 제조 프로세스를 제어하고, 모니터링하고, 트러블-슈팅(trouble-shoot)하기 위한 집적 회로들을 측정할 때에 특히 중요하다.
일부 예들에서, 이미지는 전자 밀도, 흡수율, 복합 굴절률, 또는 이 재료 특성들의 조합의 2 차원(2-D) 맵이다. 일부 예들에서, 이미지는 전자 밀도, 흡수율, 복합 굴절률, 또는 이 재료 특성들의 조합의 3 차원(3-D) 맵이다. 맵은 상대적으로 약간의 물리적 제약들을 이용하여 생성된다. 일부 예들에서, 임계 치수(CD), 측벽 각도(sidewall angle; SWA), 오버레이, 에지 배치 오차, 피치 워크(pitch walk) 등과 같은 관심 있는 하나 이상의 파라미터들은 결과적인 맵으로부터 직접적으로 추정된다. 일부 다른 예들에서, 맵은 샘플 기하구조 또는 재료들이 모델-기반 CD 측정을 위하여 채용된 파라메트릭 구조적 모델에 의해 고려된 예상된 값들의 범위 외부에서 벗어날 때에 웨이퍼 프로세스를 디버깅(debugging)하기 위하여 유용하다. 하나의 예에서, 맵과, 그 측정된 파라미터들에 따라 파라메트릭 구조적 모델에 의해 예측된 구조물의 렌더링 사이의 차이들은 파라메트릭 구조적 모델을 업데이트하고 그 측정 성능을 개선시키기 위하여 이용된다. 추가의 세부사항들은 그 내용이 그 전체적으로 참조로 본원에 편입되는 미국 특허 공개 제2015/0300965호에서 설명된다. 추가의 세부사항들은 그 내용이 그 전체적으로 참조로 본원에 편입되는 미국 특허 공개 제2015/0117610호에서 설명된다.
추가의 양태에서, 모델 구축 및 분석 엔진(150)은 조합된 x-선 및 광학적 측정 분석을 위한 모델들을 생성하기 위하여 채용된다. 일부 예들에서, 광학적 자극들은 맥스웰 방정식들이 상이한 편광들에 대한 반사율들, 타원해석(ellipsometric) 파라미터들, 상 변화 등과 같은 광학적 신호들을 계산하기 위하여 구해지는 예컨대, 엄격한 결합-파 분석(rigorous coupled-wave analysis; RCWA)에 기초한다.
관심 있는 하나 이상의 파라미터들의 값들은 조합된 기하학적으로 파라미터화된 응답 모델과의 복수의 상이한 입사 각도들에서의 x-선 회절 차수들의 검출된 세기들 및 검출된 광학적 세기들의 조합된 피팅 분석에 기초하여 결정된다. 광학적 세기들은 각각 도 1 및 도 2에서 도시된 시스템들(100 및 200)과 같은 x-선 계측 시스템과 기계적으로 통합될 수도 있거나 통합되지 않을 수도 있는 광학적 계측 도구에 의해 측정된다. 추가의 세부사항들은 각각의 내용들이 그 전체적으로 참조로 본원에 편입되는, 미국 특허 공개 제2014/0019097호 및 미국 특허 공개 제2013/0304424호에서 설명된다.
본원에서 설명된 바와 같이, 전체 빔 x-선 산란계측 측정들은 반도체 웨이퍼의 표면 법선에 대한 조명 x-선 빔의 다수의 배향들에서 수행된다. 각각의 배향은 x-선 조명 빔에 대한 웨이퍼(101)의 임의의 2 개의 각도 회전들에 의해 설명되거나, 또는 그 반대도 마찬가지다. 하나의 예에서, 배향은 웨이퍼에 고정된 좌표계에 대하여 설명될 수 있다. 도 11은 각도들 에 의해 설명된 특정한 배향에서 웨이퍼(101) 상에 입사하는 x-선 조명 빔(117)을 도시한다. 좌표 프레임 XYZ는 계측 시스템에 고정되고, 좌표 프레임 X'Y'Z'은 웨이퍼(101)에 고정된다. Z은 웨이퍼(101)의 표면에 수직인 축과 정렬된다. X 및 Y는 웨이퍼(101)의 표면과 정렬된 평면 내에 있다. 유사하게, Z'은 웨이퍼(101)의 표면에 수직인 축과 정렬되고, X' 및 Y'은 웨이퍼(101)의 표면과 정렬된 평면 내에 있다. 도 11에서 도시된 바와 같이, x-선 조명 빔(117)은 X'Z' 평면 내에 놓여 있다. 각도 는 X'Z' 평면에서의 웨이퍼의 표면 법선에 대하여 x-선 조명 빔(117)의 배향을 설명한다. 또한, 각도 는 XZ 평면에 대한 X'Z' 평면의 배향을 설명한다. 은 함께, 웨이퍼(101)의 표면에 대한 x-선 조명 빔(117)의 배향을 고유하게 정의한다. 이 예에서, 웨이퍼(101)의 표면에 대한 x-선 조명 빔의 배향은 웨이퍼(101)의 표면에 수직인 축(즉, Z 축) 주위의 회전 및 웨이퍼(101)의 표면과 정렬된 축(즉, Y' 축) 주위의 회전에 의해 설명된다. 일부 다른 예들에서, 웨이퍼(101)의 표면에 대한 x-선 조명 빔의 배향은 웨이퍼(101)의 표면과 정렬된 제 1 축, 및 웨이퍼(101)의 표면과 정렬되고 도 1을 참조하여 설명된 바와 같은 제 1 축에 수직인 또 다른 축 주위의 회전에 의해 설명된다.
일부 실시형태들에서, 본원에서 설명된 바와 같은 전체 빔 x-선 산란계측 측정들에 의해 특성화된 계측 타겟은 측정 중인 웨이퍼의 스크라이브 라인(scribe line) 내에서 위치된다. 이 실시형태들에서, 계측 타겟은 스크라이브 라인의 폭 내에서 피팅하도록 크기결정된다. 일부 예들에서, 스크라이브 라인 폭은 80 마이크로미터 미만이다. 일부 예들에서, 스크라이브 라인은 50 마이크로미터 미만이다. 일반적으로, 반도체 제조에서 채용된 스크라이브 라인들의 폭은 더 작은 추세이다.
일부 실시형태들에서, 본원에서 설명된 바와 같은 전체 빔 x-선 산란계측 측정들에 의해 특성화된 계측 타겟은 측정 중인 웨이퍼의 활성 다이 에어리어(active die area) 내에서 위치되고, 기능적인 집적 회로(예컨대, 메모리, 이미지 센서, 로직 디바이스 등)의 일부이다.
일반적으로, 측정 중인 계측 타겟을 둘러싸는 구조물들로부터의 오염 신호들을 최소화하기 위하여, 조명 빔 스폿 크기가 측정 중인 계측 타겟의 횡방향 치수들과 근접하게 정합하는 것이 선호된다. 일부 실시형태들에서, 측정 중인 계측 타겟은 임의의 측방향 치수에서 70 마이크로미터 미만이다. 일부 실시형태들에서, 측정 중인 계측 타겟은 임의의 측방향 치수에서 50 마이크로미터 미만이다. 일부 실시형태들에서, 측정 중인 계측 타겟은 임의의 측방향 치수에서 40 마이크로미터 미만이다. 일부 실시형태들에서, 측정 중인 계측 타겟은 임의의 측방향 치수에서 10 마이크로미터 미만이다. 일부 실시형태들에서, 측정 중인 계측 타겟은 1 마이크로미터 초과의 전체적인 높이(또는 등가적으로 깊이)에 의해 특성화된다. 일부 실시형태들에서, 측정 중인 계측 타겟은 2 마이크로미터 초과의 전체적인 높이(또는 동등하게는 깊이)에 의해 특성화된다.
일반적으로, 계측 타겟은 계측 타겟의 최대 측방향 한도 치수(즉, 웨이퍼 표면과 정렬된 치수)에 의해 나누어진 최대 높이 치수(즉, 웨이퍼 표면에 수직인 치수)로서 정의된 종횡비에 의해 특성화된다. 일부 실시형태들에서, 측정 중인 계측 타겟은 적어도 20의 종횡비를 가진다. 일부 실시형태들에서, 계측 타겟은 적어도 40의 종횡비를 가진다.
도 10a 내지 도 10c는 본원에서 설명된 방식으로 측정을 받는 전형적인 3D 플래시 메모리 디바이스(190)의 등각투영도, 평면도, 및 단면도를 각각 도시한다. 메모리 디바이스(190)의 총 높이(또는 등가적으로 깊이)는 1 내지 수 마이크로미터의 범위이다. 메모리 디바이스(190)는 수직으로 제조된 디바이스이다. 메모리 디바이스(190)와 같은 수직으로 제조된 디바이스는 기존의 평면형 메모리 디바이스를 90도로 필수적으로 회전시켜서, (웨이퍼 표면에 수직인) 비트 라인 및 셀 스트링을 수직으로 배향시킨다. 충분한 메모리 용량을 제공하기 위하여, 상이한 재료들의 큰 수의 교대하는 층들이 웨이퍼 상에서 증착된다. 이것은 100 나노미터 이하의 최대 측방향 한도를 갖는 구조물들에 대하여 수 마이크론의 깊이들까지 양호하게 수행하기 위한 패터닝 프로세스(patterning process)들을 요구한다. 그 결과, 25 대 1 또는 50 대 1의 종횡비들은 드물지 않다.
도 12는 고 종횡비 홀 구조물들(310)의 어레이의 평면도를 도시한다. 도 7에서 도시된 바와 같이, 홀 구조물들의 어레이는 (도면으로부터 내향하여 그리고 외향하여 연장되는) 평면들(311, 312, 313, 및 314)을 따라 가장 근접하게 패터닝된다. 일부 실시형태들에서는, 고 종횡비 구조물들의 어레이가 가장 근접하게 패터닝되는 평면들 내에 놓여 있는 측정 중인 웨이퍼의 표면에 대한 입사 x-선 조명 빔의 배향들에서 본원에서 설명된 바와 같은 고 종횡비 구조물들의 측정들을 수행하는 것이 선호된다. 도 12에서 도시된 예에서는, x-선 조명을 평면들(311 및 312, 및 313 및 314) 내의 홀 구조물들(310)의 어레이에 제공하는 것이 선호되고, 여기서, 홀 구조물들의 어레이는 가장 근접하게 패터닝된다.
도 13a는 이상적인 고 종횡비 홀 구조물(320)의 측면도를 도시한다. 도 13b는 틸팅된 홀 구조물(321)의 측면도를 도시한다. 도 13c는 틸트의 정도가 깊이와 함께 점진적으로 증가하는 점진적으로 틸팅된 홀 구조물(322)의 측면도를 도시한다. 많은 예들에서, 홀 구조물들(321 및 322)은 바람직하지 않다. 일부 실시형태들에서, 홀 구조물들(321 및 322)과 유사한 홀 구조물들은 본원에서 설명된 바와 같은 전체 빔 x-선 산란계측 측정들에 의해 특성화된다. 하나의 예에서, 홀 구조물(321)는 틸트 각도 파라미터 에 의해 특성화된다. 또한, x-선 조명 빔(117)은 예를 들어, 도 11을 참조하여 설명된 바와 같이, 표면 법선에 대한 각도 에서, 그리고 반대 각도 에서 홀 구조물(321)에 제공된다. 일부 실시형태들에서, 이 2 개의 조명 시나리오들에서 발생하는 측정된 T-SAX 신호들에서의 차이들은 틸트 각도 를 정확하게 추정하기 위한 충분한 신호 정보를 제공한다.
또 다른 예에서, 홀 구조물(322)는 다수의 틸트 각도 파라미터 , , 및 에 의해 구간별로(piecewise) 특성화된다. 유사하게, x-선 조명 빔(117)은 예를 들어, 도 11을 참조하여 설명된 바와 같이, 표면 법선에 대한 각도 에서, 그리고 반대 각도 에서 홀 구조물(322)에 제공된다. 일부 실시형태들에서, 이 2 개의 조명 시나리오들에서 발생하는 측정된 T-SAX 신호들에서의 차이들은 틸트 각도들 , , 및 을 정확하게 추정하기 위한 충분한 신호 정보를 제공한다.
본 개시물의 전반에 걸쳐 설명된 다양한 단계들은 단일의 컴퓨터 시스템(130), 또는 대안적으로, 다수의 컴퓨터 시스템(130)에 의해 수행될 수도 있다는 것이 인식되어야 한다. 또한, 표본 위치결정 시스템(140)과 같은, 시스템(100)의 상이한 서브시스템들은 본원에서 설명된 단계들의 적어도 부분을 수행하기 위하여 적당한 컴퓨터 시스템을 포함할 수도 있다. 그러므로, 전술된 설명은 본 발명에 대한 제한으로서 해독되는 것이 아니라, 단지 예시로서 해독되어야 한다. 또한, 하나 이상의 컴퓨팅 시스템들(130)은 본원에서 설명된 방법 실시형태들 중의 임의의 것의 임의의 다른 단계(들)를 수행하도록 구성될 수도 있다.
추가적으로, 컴퓨터 시스템(130)은 당해 분야에서 알려진 임의의 방식으로 검출기(116) 및 조명 광학기기(115)에 통신가능하게 결합될 수도 있다. 예를 들어, 하나 이상의 컴퓨팅 시스템들(130)은 각각 검출기(116) 및 조명 광학기기(115)와 연관된 컴퓨팅 시스템들에 결합될 수도 있다. 또 다른 예에서, 검출기(116) 및 조명 광학기기(115) 중의 임의의 것은 컴퓨터 시스템(130)에 결합된 단일의 컴퓨터 시스템에 의해 직접적으로 제어될 수도 있다.
컴퓨터 시스템(130)은 유선 및/또는 무선 부분들을 포함할 수도 있는 송신 매체에 의해 시스템의 서브시스템들(예컨대, 검출기(116) 및 조명 광학기기(115) 등)로부터 데이터 또는 정보를 수신하고 및/또는 취득하도록 구성될 수도 있다. 이러한 방식으로, 송신 매체는 컴퓨터 시스템(130)과 시스템(100)의 다른 서브시스템들 사이의 데이터 링크로서 역할을 할 수도 있다.
계측 시스템(100)의 컴퓨터 시스템(130)은 유선 및/또는 무선 부분들을 포함할 수도 있는 송신 매체에 의해 다른 시스템들로부터 데이터 또는 정보(예컨대, 측정 결과들, 모델링 입력들, 모델링 결과들 등)를 수신하고 및/또는 취득하도록 구성될 수도 있다. 이러한 방식으로, 송신 매체는 컴퓨터 시스템(130)과 다른 시스템들(예컨대, 메모리 온-보드 계측 시스템(100), 외부 메모리, 또는 외부 시스템들) 사이의 데이터 링크로서 역할을 할 수도 있다. 예를 들어, 컴퓨팅 시스템(130)은 데이터 링크를 통해 저장 매체(즉, 메모리(132 또는 180))로부터 측정 데이터(예컨대, 신호들(126))를 수신하도록 구성될 수도 있다. 예를 들어, 검출기(116) 중의 임의의 것의 분광기(spectrometer)를 이용하여 획득된 스펙트럼 결과들은 영구적 또는 반-영구적 메모리 디바이스(예컨대, 메모리(132 또는 180))에서 저장될 수도 있다. 이와 관련하여, 측정 결과들은 온-보드(on-board) 메모리로부터, 또는 외부 메모리 시스템으로부터 임포트(import)될 수도 있다. 또한, 컴퓨터 시스템(130)은 데이터를 송신 매체를 통해 다른 시스템들로 전송할 수도 있다. 예를 들어, 컴퓨터 시스템(130)에 의해 결정된 표본 파라미터 값들(170)은 영구적 또는 반-영구적 메모리 디바이스(예컨대, 메모리(180))에서 저장될 수도 있다. 이와 관련하여, 측정 결과들은 또 다른 시스템으로 익스포트(export)될 수도 있다.
컴퓨팅 시스템(130)은 개인용 컴퓨터 시스템, 메인프레임(mainframe) 컴퓨터 시스템, 워크스테이션(workstation), 이미지 컴퓨터, 병렬 프로세서, 또는 당해 분야에서 알려진 임의의 다른 디바이스를 포함할 수도 있지만, 이것으로 제한되지는 않는다. 일반적으로, 용어 "컴퓨팅 시스템"은 메모리 매체로부터의 명령들을 실행하는 하나 이상의 프로세서들을 가지는 임의의 디바이스를 망라하도록 폭넓게 정의될 수도 있다.
본원에서 설명된 것들과 같은 방법들을 구현하는 프로그램 명령들(134)은 유선, 케이블, 또는 무선 송신 링크와 같은 송신 매체 상에서 송신될 수도 있다. 예를 들어, 도 1에서 예시된 바와 같이, 메모리(132)에서 저장된 프로그램 명령들은 버스(133) 상에서 프로세서(131)로 송신된다. 프로그램 명령들(134)은 컴퓨터 판독가능한 매체(예컨대, 메모리(132))에서 저장된다. 예시적인 컴퓨터-판독가능한 매체들은 판독-전용 메모리, 랜덤 액세스 메모리, 자기 또는 광학 디스크, 또는 자기 테이프를 포함한다.
일부 실시형태들에서, 본원에서 설명된 바와 같은 산란계측 분석은 제조 프로세스 도구의 일부로서 구현된다. 제조 프로세스 도구들의 예들은 리소그래픽 노출 도구들, 막 증착 도구들, 주입 도구들, 및 에치 도구들을 포함하지만, 이것으로 제한되지는 않는다. 이러한 방식으로, 전체 빔 x-선 산란계측 분석의 결과들은 제조 프로세스를 제어하기 위하여 이용된다. 하나의 예에서, 하나 이상의 타겟들로부터 수집된 전체 빔 x-선 산란계측 측정 데이터는 제조 프로세스 도구로 전송된다. 전체 빔 x-선 산란계측 측정 데이터는 본원에서 설명된 바와 같이 분석되고, 결과들은 제조 프로세스 도구의 동작을 조절하기 위하여 이용된다.
본원에서 설명된 바와 같은 산란계측 측정들은 다양한 반도체 구조물들의 특성들을 결정하기 위하여 이용될 수도 있다. 예시적인 구조물들은 FinFET들, 나노와이어(nanowire)들 또는 그래핀(graphene)과 같은 저-차원 구조물들, 10 nm 미만 구조물들, 리소그래픽 구조물들, 관통 기판 비아(through substrate via; TSV)들, DRAM, DRAM 4F2, FLASH, MRAM과 같은 메모리 구조물들, 및 고 종회비 메모리 구조물들을 포함하지만, 이것으로 제한되지는 않는다. 예시적인 구조적 특성들은 라인 에지 거칠기, 라인 폭 거칠기, 기공 크기, 기공 밀도, 측벽 각도, 프로파일, 임계 치수, 피치와 같은 기하학적 파라미터들, 및 전자 밀도, 조성, 그레인(grain) 구조물, 형태(morphology), 응력(stress), 변형(strain), 및 원소 식별과 같은 재료 파라미터들을 포함하지만, 이것으로 제한되지는 않는다.
도 14는 본 발명의 계측 시스템들(100 및 200)에 의한 구현을 위하여 적당한 방법(300)을 예시한다. 하나의 양태에서, 방법(300)의 데이터 프로세싱 블록들은 컴퓨팅 시스템(130)의 하나 이상의 프로세서들에 의해 실행된 사전-프로그래밍된 알고리즘을 통해 수행될 수도 있다는 것이 인식된다. 다음의 설명은 계측 시스템들(100 및 200)의 맥락에서 제시되지만, 계측 시스템들(100 및 200)의 특정한 구조적 양태들은 제한들을 표현하지는 않고 오직 예시적인 것으로서 해독되어야 한다는 것이 본원에서 인식된다.
블록(301)에서, 웨이퍼 표면 상에서 형성된 측정 타겟은 측정 타겟에 대한 복수의 상이한 배향들에서의 x-선 방사선의 포커싱된 빔으로 조명된다.
블록(302)에서, 제로 회절 차수와 연관된 세기 및 x-선 방사선의 입사 빔에 응답하여 측정 타겟으로부터 산란된 방사선의 양의 더 높은 회절 차수와 연관된 세기는 각각의 배향에서 동시에 검출된다.
블록(303)에서, 측정 타겟의 모델과 연관된 관심 있는 파라미터의 값은 복수의 배향들에서의 회절 차수들의 검출된 세기들에 기초하여 결정된다.
본원에서 설명된 바와 같이, 용어 "임계 치수"는 구조물의 임의의 임계 치수(예컨대, 하단 임계 치수, 중간 임계 치수, 상단 임계 치수, 측벽 각도, 격자 높이 등), 임의의 2 개 이상의 구조물들 사이의 임계 치수(예컨대, 2 개의 구조물들 사이의 거리), 및 2 개 이상의 구조물들 사이의 변위(예컨대, 중첩하는 격자 구조물들 사이의 오버레이 변위 등)를 포함한다. 구조물들은 3 차원 구조물들, 패턴화된 구조물들, 오버레이 구조물들 등을 포함할 수도 있다.
본원에서 설명된 바와 같이, 용어 "임계 치수 응용" 또는 "임계 치수 측정 응용"은 임의의 임계 치수 측정을 포함한다.
본원에서 설명된 바와 같이, 용어 "계측 시스템"은 임계 치수 응용들 및 오버레이 계측 응용들을 포함하는 임의의 양태에서 표본을 특성화하기 위하여 적어도 부분적으로 채용된 임의의 시스템을 포함한다. 그러나, 당해 분야의 이러한 용어들은 본원에서 설명된 바와 같은 용어 "계측 시스템"의 범위를 제한하지는 않는다. 추가적으로, 본원에서 설명된 계측 시스템들은 패턴화된 웨이퍼들 및/또는 비패턴화된 웨이퍼들의 측정을 위하여 구성될 수도 있다. 계측 시스템은 LED 검사 도구, 에지 검사 도구, 후면 검사 도구, 매크로-검사 도구, 또는 (하나 이상의 플랫폼들로부터의 데이터를 동시에 수반하는) 멀티-모드 검사 도구, 및 본원에서 설명된 측정 기법들로부터 이익을 얻는 임의의 다른 계측 또는 검사 도구로서 구성될 수도 있다.
다양한 실시형태들은 표본을 프로세싱하기 위하여 이용될 수도 있는 반도체 프로세싱 시스템(예컨대, 검사 시스템 또는 리소그래피 시스템)을 위하여 본원에서 설명된다. 용어 "표본"은 당해 분야에서 알려진 수단에 의해 프로세싱(예컨대, 인쇄되거나, 결함들에 대하여 검사됨)될 수도 있는 웨이퍼, 레티클(reticle), 또는 임의의 다른 샘플을 지칭하기 위하여 본원에서 이용된다.
본원에서 이용된 바와 같이, 용어 "웨이퍼"는 일반적으로, 반도체 또는 비-반도체 재료로 이루어진 기판들을 지칭한다. 예들은 단결정질 실리콘(monocrystalline silicon), 갈륨 비화물(gallium arsenide), 및 인듐 인화물(indium phosphide)을 포함하지만, 이것으로 제한되지는 않는다. 이러한 기판들은 반도체 제조 설비들에서 통상적으로 발견될 수도 있고 및/또는 프로세싱될 수도 있다. 일부 경우들에는, 웨이퍼는 오직 기판(즉, 베어 웨이퍼(bare wafer))을 포함할 수도 있다. 대안적으로, 웨이퍼는 기판 상에서 형성된 상이한 재료들의 하나 이상의 층들을 포함할 수도 있다. 웨이퍼 상에서 형성된 하나 이상의 층들은 "패턴화" 또는 "비패턴화"될 수도 있다. 예를 들어, 웨이퍼는 반복가능한 패턴 특징부들을 가지는 복수의 다이(die)들을 포함할 수도 있다.
"레티클"은 레티클 제조 프로세스의 임의의 스테이지에서의 레티클, 또는 반도체 제조 설비에서의 이용을 위하여 배출될 수도 있거나 배출되지 않을 수도 있는 완료된 레티클일 수도 있다. 레티클 또는 "마스크"는 일반적으로, 그 상에서 형성되고 패턴으로 구성된 실질적으로 불투명한 영역들을 가지는 실질적으로 투명한 기판으로서 정의된다. 기판은 예를 들어, 비정질 SiO2와 같은 유리 재료를 포함할 수도 있다. 레티클은 레티클 상의 패턴이 레지스트(resist)로 전사될 수도 있도록, 리소그래피 프로세스의 노출 단계 동안에 레지스트-커버된 웨이퍼 위에 배치될 수도 있다.
웨이퍼 상에서 형성된 하나 이상의 층들은 패턴화될 수도 있거나 비패턴화될 수도 있다. 예를 들어, 웨이퍼는 각각이 반복가능한 패턴 특징부들을 가지는 복수의 다이들을 포함할 수도 있다. 재료의 이러한 층들의 형성 및 프로세싱은 궁극적으로 완료된 디바이스들로 귀착될 수도 있다. 많은 상이한 타입들의 디바이스들은 웨이퍼 상에서 형성될 수도 있고, 본원에서 이용된 바와 같은 용어 웨이퍼는 당해 분야에서 알려진 임의의 타입의 디바이스가 제조되고 있는 웨이퍼를 망라하도록 의도된다.
하나 이상의 예시적인 실시형태들에서, 설명된 기능들은 하드웨어, 소프트웨어, 펌웨어, 또는 그 임의의 조합으로 구현될 수도 있다. 소프트웨어로 구현될 경우, 기능들은 하나 이상의 명령들 또는 코드로서, 컴퓨터-판독가능한 매체 상에 저장되거나, 컴퓨터-판독가능한 매체 상에서 송신될 수도 있다. 컴퓨터-판독가능한 매체들은, 하나의 장소로부터 또 다른 장소까지의 컴퓨터 프로그램의 전송을 가능하게 하는 임의의 매체를 포함하는 컴퓨터 저장 매체들 및 통신 매체들의 양자를 포함한다. 저장 매체들은 범용 또는 특수 목적의 컴퓨터에 의해 액세스될 수 있는 임의의 이용가능한 매체들일 수도 있다. 제한이 아닌 예로서, 이러한 컴퓨터-판독가능한 저장 매체들은 RAM, ROM, EEPROM, CD-ROM 또는 다른 광학 디스크 저장, 자기 디스크 저장, 또는 다른 자기 저장 디바이스들, 또는 명령들 또는 데이터 구조들의 형태로 희망하는 프로그램 코드 수단을 운반하거나 저장하기 위해 이용될 수 있으며, 범용 또는 특수-목적 컴퓨터, 또는 범용 또는 특수-목적 프로세서에 의해 액세스될 수 있는 임의의 다른 매체를 포함할 수 있다. 또한, 임의의 접속은 컴퓨터-판독가능한 매체로 적절하게 칭해진다. 예를 들어, 동축 케이블, 광섬유 케이블, 트위스트 페어(twisted pair), 디지털 가입자 회선(digital subscriber line; DSL), 또는 적외선, 라디오(radio), 및 마이크로파(microwave) 와 같은 무선 기술들을 이용하여, 소프트웨어가 웹사이트, 서버, 또는 다른 원격 소스로부터 송신될 경우, 동축 케이블, 광섬유 케이블, 트위스트 페어, DSL, 또는 적외선, 라디오, 및 마이크로파와 같은 무선 기술들은 매체의 정의 내에 포함된다. 본원에서 이용된 바와 같은 디스크(disk) 및 디스크(disc)는 컴팩트 디스크(compact disc; CD), 레이저 디스크(laser disc), XRF 디스크(XRF disc), 디지털 다기능 디스크(digital versatile disc)(DVD), 플로피 디스크(floppy disk) 및 블루-레이 디스크(blu-ray disc)를 포함하고, 여기서, 디스크(disk)들은 통상 데이터를 자기적으로 재생하는 반면, 디스크(disc)들은 데이터를 레이저들로 광학적으로 재생한다. 상기의 조합들은 컴퓨터-판독가능한 매체들의 범위 내에 또한 포함되어야 한다.
어떤 특정 실시형태들은 명령의 목적들을 위하여 위에서 설명되지만, 이 특허 문서의 교시사항들은 일반적인 응용가능성을 가지고, 위에서 설명된 특정 실시형태들로 제한되지는 않는다. 따라서, 설명된 실시형태들의 다양한 특징들의 다양한 수정들, 개조들, 및 조합들은 청구항들에서 기재된 바와 같은 발명의 범위로부터 이탈하지 않으면서 실시될 수 있다.

Claims (21)

  1. 시스템에 있어서,
    x-선 조명 빔(x-ray illumination beam)을 생성하도록 구성되는 x-선 조명 소스(x-ray illumination source);
    상기 x-선 조명 빔이 복수의 입사 각도들 각각에서 주기적 구조물 상에 입사하도록, 상기 x-선 조명 빔에 대해 반도체 웨이퍼 상에 배치된 상기 주기적 구조물을 위치결정하도록 구성되는 표본 위치결정 시스템(specimen positioning system);
    상기 복수의 입사 각도들 각각에서의 상기 주기적 구조물의 산란계측(scatterometry) 측정과 연관된 적어도 하나의 차수의 회절 차수 응답 신호를 검출하도록 구성되는 x-선 검출기; 및
    상기 회절 차수 응답 신호의 산란 효율에 기초하여, 상기 반도체 웨이퍼 상에 입사되는 상기 x-선 조명 빔과 상기 주기적 구조물 사이의 틸트(tilt) 각도를 결정하도록 구성되는 컴퓨팅 시스템
    을 포함하는, 시스템
  2. 제1항에 있어서,
    상기 적어도 하나의 차수의 회절 차수 응답 신호는 제로 회절 차수, 더 높은 회절 차수, 또는 둘 다를 포함하는 것인, 시스템.
  3. 제1항에 있어서,
    상기 주기적 구조물을 상기 x-선 조명 빔의 경로 내에 위치결정하는 상기 표본 위치결정 시스템에 의해 측정된 산란 효율의 측정치의 최소값 또는 최대값과 연관된 입사 각도는, 상기 x-선 조명 빔이 상기 반도체 웨이퍼 상에 배치된 상기 주기적 구조물에 법선 방향인 입사 각도인 것인, 시스템.
  4. 제1항에 있어서,
    상기 회절 차수 응답 신호는 복수의 회절 차수들과 연관된 신호 정보를 포함하는 것인, 시스템.
  5. 제1항에 있어서,
    상기 주기적 구조물은 고 종횡비 구조물인 것인, 시스템.
  6. 방법에 있어서,
    반도체 웨이퍼 상에 배치된 주기적 구조물을 복수의 입사 각도들에서 x-선 조명 빔으로 조명하는 단계;
    상기 복수의 입사 각도들 각각에서의 상기 주기적 구조물의 산란계측 측정과 연관된 적어도 하나의 차수의 회절 차수 응답 신호를 검출하는 단계; 및
    상기 회절 차수 응답 신호의 산란 효율에 기초하여, 상기 반도체 웨이퍼 상에 입사되는 상기 x-선 조명 빔과 상기 주기적 구조물 사이의 틸트 각도를 결정하는 단계
    를 포함하는 방법.
  7. 제6항에 있어서,
    상기 적어도 하나의 차수의 회절 차수 응답 신호는 제로 회절 차수, 더 높은 회절 차수, 또는 둘 다를 포함하는 것인, 방법.
  8. 제6항에 있어서,
    상기 주기적 구조물을 상기 x-선 조명 빔의 경로 내에 위치결정하는 웨이퍼 위치결정 시스템에 의해 측정된 산란 효율의 측정치의 최소값 또는 최대값과 연관된 입사 각도는, 상기 x-선 조명 빔이 상기 반도체 웨이퍼 상에 배치된 상기 주기적 구조물에 법선 방향인 입사 각도인 것인, 방법.
  9. 제6항에 있어서,
    상기 회절 차수 응답 신호는 복수의 회절 차수들과 연관된 신호 정보를 포함하는 것인, 방법.
  10. 제6항에 있어서,
    상기 주기적 구조물은 고 종횡비 구조물인 것인, 방법.
  11. 시스템에 있어서,
    x-선 조명 빔을 생성하도록 구성되는 x-선 조명 소스;
    상기 x-선 조명 빔이 복수의 입사 각도들 각각에서 주기적 구조물 상에 입사하도록 상기 x-선 조명 빔에 대해 반도체 웨이퍼 상에 배치된 상기 주기적 구조물을 위치결정하도록 구성되는 표본 위치결정 시스템;
    상기 복수의 입사 각도들 각각에서의 상기 주기적 구조물의 산란계측 측정과 연관된 적어도 하나의 차수의 회절 차수 응답 신호를 검출하도록 구성되는 x-선 검출기; 및
    상기 검출된 회절 차수 응답 신호의 모델 없이 상기 반도체 웨이퍼 상에 입사되는 상기 x-선 조명 빔과 상기 주기적 구조물 사이의 틸트 각도를 결정하도록 구성되는 컴퓨팅 시스템 - 상기 틸트 각도의 결정은 상기 회절 차수 응답 신호와 연관된 산란 효율에 기초함 -
    을 포함하는, 시스템.
  12. 제11항에 있어서,
    상기 적어도 하나의 차수의 회절 차수 응답 신호는 제로 회절 차수, 더 높은 회절 차수, 또는 둘 다를 포함하는 것인, 시스템.
  13. 제11항에 있어서,
    상기 주기적 구조물을 상기 x-선 조명 빔의 경로 내에 위치결정하는 상기 표본 위치결정 시스템에 의해 측정된 산란 효율의 측정치의 최소값 또는 최대값과 연관된 입사 각도는, 상기 x-선 조명 빔이 상기 반도체 웨이퍼 상에 배치된 상기 주기적 구조물에 법선 방향인 입사 각도인 것인, 시스템.
  14. 제11항에 있어서,
    상기 회절 차수 응답 신호는 복수의 회절 차수들과 연관된 신호 정보를 포함하는 것인, 시스템.
  15. 제11항에 있어서,
    상기 주기적 구조물은 고 종횡비 구조물인 것인, 시스템.
  16. 방법에 있어서,
    반도체 웨이퍼 상에 배치된 주기적 구조물을 복수의 입사 각도들에서 x-선 조명 빔으로 조명하는 단계;
    상기 복수의 입사 각도들 각각에서의 상기 주기적 구조물의 산란계측 측정과 연관된 적어도 하나의 차수의 회절 차수 응답 신호를 검출하는 단계; 및
    상기 검출된 회절 차수 응답 신호의 모델 없이 상기 반도체 웨이퍼 상에 입사되는 상기 x-선 조명 빔과 상기 주기적 구조물 사이의 틸트 각도를 결정하는 단계 - 상기 틸트 각도의 결정은 상기 회절 차수 응답 신호와 연관된 산란 효율에 기초함 -
    를 포함하는, 방법.
  17. 제16항에 있어서,
    상기 적어도 하나의 차수의 회절 차수 응답 신호는 제로 회절 차수, 더 높은 회절 차수, 또는 둘 다를 포함하는 것인, 방법.
  18. 제16항에 있어서,
    상기 주기적 구조물을 상기 x-선 조명 빔의 경로 내에 위치결정하는 웨이퍼 위치결정 시스템에 의해 측정된 산란 효율의 측정치의 최소값 또는 최대값과 연관된 입사 각도는, 상기 x-선 조명 빔이 상기 반도체 웨이퍼 상에 배치된 상기 주기적 구조물에 법선 방향인 입사 각도인 것인, 방법.
  19. 제16항에 있어서,
    상기 회절 차수 응답 신호는 복수의 회절 차수들과 연관된 신호 정보를 포함하는 것인, 방법.
  20. 제16항에 있어서,
    상기 주기적 구조물은 고 종횡비 구조물인 것인, 방법.
  21. 계측 시스템에 있어서,
    일정 량의 x-선 방사선(x-ray radiation)을 생성하도록 구성되는 x-선 조명 소스(x-ray illumination source);
    웨이퍼 표면 상에 형성된 측정 타겟을 상기 측정 타겟에 대한 복수의 배향(orientation)들에서 일정 량의 x-선 방사선으로 조명하도록 구성되는 x-선 조명 광학기기 서브시스템;
    각각의 배향의 입사 x-선 방사선에 응답하여, 상기 측정 타겟으로부터 산란된 방사선의 양의 제로 회절 차수(zero diffraction order)와 연관된 세기 및 더 높은 회절 차수와 연관된 세기를 동시에 검출하도록 구성되는 x-선 검출기 - 상기 제로 회절 차수 및 상기 더 높은 회절 차수는 상기 복수의 배향들 중 적어도 하나의 배향에 대해 상기 x-선 검출기에서 중첩함 - ; 및
    상기 복수의 배향들에서의 상기 회절 차수들의 상기 검출된 세기들에 기초하여, 상기 측정 타겟의 모델과 연관된 관심 파라미터의 값을 결정하도록 구성되는 컴퓨팅 시스템
    을 포함하는, 계측 시스템.
KR1020237003604A 2016-10-18 2017-10-17 X-선 산란계측 시스템들을 위한 전체 빔 계측 KR102625635B1 (ko)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US201662409758P 2016-10-18 2016-10-18
US62/409,758 2016-10-18
US15/419,130 US10775323B2 (en) 2016-10-18 2017-01-30 Full beam metrology for X-ray scatterometry systems
US15/419,130 2017-01-30
KR1020197014129A KR102495770B1 (ko) 2016-10-18 2017-10-17 X-선 산란계측 시스템들을 위한 전체 빔 계측
PCT/US2017/057034 WO2018075551A1 (en) 2016-10-18 2017-10-17 Full beam metrology for x-ray scatterometry systems

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020197014129A Division KR102495770B1 (ko) 2016-10-18 2017-10-17 X-선 산란계측 시스템들을 위한 전체 빔 계측

Publications (2)

Publication Number Publication Date
KR20230021767A KR20230021767A (ko) 2023-02-14
KR102625635B1 true KR102625635B1 (ko) 2024-01-16

Family

ID=61903805

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020237003604A KR102625635B1 (ko) 2016-10-18 2017-10-17 X-선 산란계측 시스템들을 위한 전체 빔 계측
KR1020197014129A KR102495770B1 (ko) 2016-10-18 2017-10-17 X-선 산란계측 시스템들을 위한 전체 빔 계측

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020197014129A KR102495770B1 (ko) 2016-10-18 2017-10-17 X-선 산란계측 시스템들을 위한 전체 빔 계측

Country Status (8)

Country Link
US (3) US10775323B2 (ko)
JP (2) JP7210460B2 (ko)
KR (2) KR102625635B1 (ko)
CN (2) CN109844898B (ko)
DE (1) DE112017005271T5 (ko)
IL (2) IL303263B1 (ko)
TW (1) TWI753030B (ko)
WO (1) WO2018075551A1 (ko)

Families Citing this family (54)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10295485B2 (en) 2013-12-05 2019-05-21 Sigray, Inc. X-ray transmission spectrometer system
JP6999268B2 (ja) 2016-01-11 2022-01-18 ブルカー テクノロジーズ リミテッド X線スキャタロメトリーのための方法および装置
US10775323B2 (en) * 2016-10-18 2020-09-15 Kla-Tencor Corporation Full beam metrology for X-ray scatterometry systems
US10481111B2 (en) * 2016-10-21 2019-11-19 Kla-Tencor Corporation Calibration of a small angle X-ray scatterometry based metrology system
US11073487B2 (en) 2017-05-11 2021-07-27 Kla-Tencor Corporation Methods and systems for characterization of an x-ray beam with high spatial resolution
US10727142B2 (en) 2017-05-30 2020-07-28 Kla-Tencor Corporation Process monitoring of deep structures with X-ray scatterometry
US10983227B2 (en) 2017-08-14 2021-04-20 Kla-Tencor Corporation On-device metrology using target decomposition
US11317500B2 (en) 2017-08-30 2022-04-26 Kla-Tencor Corporation Bright and clean x-ray source for x-ray based metrology
US10748736B2 (en) 2017-10-18 2020-08-18 Kla-Tencor Corporation Liquid metal rotating anode X-ray source for semiconductor metrology
US11156548B2 (en) 2017-12-08 2021-10-26 Kla-Tencor Corporation Measurement methodology of advanced nanostructures
US10895541B2 (en) 2018-01-06 2021-01-19 Kla-Tencor Corporation Systems and methods for combined x-ray reflectometry and photoelectron spectroscopy
US10794839B2 (en) 2019-02-22 2020-10-06 Kla Corporation Visualization of three-dimensional semiconductor structures
US11519869B2 (en) 2018-03-20 2022-12-06 Kla Tencor Corporation Methods and systems for real time measurement control
US10816486B2 (en) 2018-03-28 2020-10-27 Kla-Tencor Corporation Multilayer targets for calibration and alignment of X-ray based measurement systems
US10816487B2 (en) 2018-04-12 2020-10-27 Bruker Technologies Ltd. Image contrast in X-ray topography imaging for defect inspection
JP2019191168A (ja) 2018-04-23 2019-10-31 ブルカー ジェイヴィ イスラエル リミテッドBruker Jv Israel Ltd. 小角x線散乱測定用のx線源光学系
WO2019236384A1 (en) 2018-06-04 2019-12-12 Sigray, Inc. Wavelength dispersive x-ray spectrometer
WO2020008420A2 (en) 2018-07-05 2020-01-09 Bruker Jv Israel Ltd. Small-angle x-ray scatterometry
US10658145B2 (en) 2018-07-26 2020-05-19 Sigray, Inc. High brightness x-ray reflection source
US10677586B2 (en) * 2018-07-27 2020-06-09 Kla-Tencor Corporation Phase revealing optical and X-ray semiconductor metrology
US11181489B2 (en) * 2018-07-31 2021-11-23 Lam Research Corporation Determining tilt angle in patterned arrays of high aspect-ratio structures by small-angle x-ray scattering
DE112019004478T5 (de) 2018-09-07 2021-07-08 Sigray, Inc. System und verfahren zur röntgenanalyse mit wählbarer tiefe
US11476144B2 (en) * 2018-12-03 2022-10-18 Kla Corporation Single cell in-die metrology targets and measurement methods
US11018064B2 (en) * 2018-12-12 2021-05-25 Kla Corporation Multiple-tool parameter set configuration and misregistration measurement system and method
JP7168985B2 (ja) * 2019-04-22 2022-11-10 株式会社リガク 微細構造の解析方法、装置およびプログラム
US11460418B2 (en) 2019-08-26 2022-10-04 Kla Corporation Methods and systems for semiconductor metrology based on wavelength resolved soft X-ray reflectometry
DE112020004169T5 (de) 2019-09-03 2022-05-25 Sigray, Inc. System und verfahren zur computergestützten laminografieröntgenfluoreszenz-bildgebung
US11867595B2 (en) * 2019-10-14 2024-01-09 Industrial Technology Research Institute X-ray reflectometry apparatus and method thereof for measuring three dimensional nanostructures on flat substrate
US11259394B2 (en) 2019-11-01 2022-02-22 Kla Corporation Laser produced plasma illuminator with liquid sheet jet target
US11272607B2 (en) 2019-11-01 2022-03-08 Kla Corporation Laser produced plasma illuminator with low atomic number cryogenic target
US11610297B2 (en) 2019-12-02 2023-03-21 Kla Corporation Tomography based semiconductor measurements using simplified models
US11520321B2 (en) 2019-12-02 2022-12-06 Kla Corporation Measurement recipe optimization based on probabilistic domain knowledge and physical realization
US11698251B2 (en) 2020-01-07 2023-07-11 Kla Corporation Methods and systems for overlay measurement based on soft X-ray Scatterometry
US11719652B2 (en) 2020-02-04 2023-08-08 Kla Corporation Semiconductor metrology and inspection based on an x-ray source with an electron emitter array
US11175243B1 (en) 2020-02-06 2021-11-16 Sigray, Inc. X-ray dark-field in-line inspection for semiconductor samples
US11513085B2 (en) 2020-02-20 2022-11-29 Kla Corporation Measurement and control of wafer tilt for x-ray based metrology
US11143604B1 (en) 2020-04-06 2021-10-12 Kla Corporation Soft x-ray optics with improved filtering
US11761913B2 (en) * 2020-05-04 2023-09-19 Bruker Technologies Ltd. Transmission X-ray critical dimension (T-XCD) characterization of shift and tilt of stacks of high-aspect-ratio (HAR) structures
US11215572B2 (en) 2020-05-18 2022-01-04 Sigray, Inc. System and method for x-ray absorption spectroscopy using a crystal analyzer and a plurality of detector elements
CN111678932B (zh) * 2020-06-09 2023-09-15 首钢集团有限公司 一种电子背散射衍射的分析方法
WO2021257049A1 (en) * 2020-06-15 2021-12-23 American Science And Engineering, Inc. Scatter x-ray imaging with adaptive scanning beam intensity
US11175245B1 (en) 2020-06-15 2021-11-16 American Science And Engineering, Inc. Scatter X-ray imaging with adaptive scanning beam intensity
WO2022055658A1 (en) * 2020-09-08 2022-03-17 Massachusetts Institute Of Technology Prediction of semiconductor device performance
WO2022061347A1 (en) 2020-09-17 2022-03-24 Sigray, Inc. System and method using x-rays for depth-resolving metrology and analysis
US11530913B2 (en) 2020-09-24 2022-12-20 Kla Corporation Methods and systems for determining quality of semiconductor measurements
US11899375B2 (en) 2020-11-20 2024-02-13 Kla Corporation Massive overlay metrology sampling with multiple measurement columns
US11686692B2 (en) 2020-12-07 2023-06-27 Sigray, Inc. High throughput 3D x-ray imaging system using a transmission x-ray source
US20220196576A1 (en) * 2020-12-17 2022-06-23 Kla Corporation Methods And Systems For Compact, Small Spot Size Soft X-Ray Scatterometry
US11604420B2 (en) 2021-05-03 2023-03-14 Kla Corporation Self-calibrating overlay metrology
US11604063B2 (en) 2021-06-24 2023-03-14 Kla Corporation Self-calibrated overlay metrology using a skew training sample
US11781999B2 (en) 2021-09-05 2023-10-10 Bruker Technologies Ltd. Spot-size control in reflection-based and scatterometry-based X-ray metrology systems
CN113848219A (zh) * 2021-09-09 2021-12-28 齐鲁中科电工先进电磁驱动技术研究院 一种基于造布生产的调控方法、装置及造布调控系统
WO2023215204A1 (en) 2022-05-02 2023-11-09 Sigray, Inc. X-ray sequential array wavelength dispersive spectrometer
US11955308B1 (en) 2022-09-22 2024-04-09 Kla Corporation Water cooled, air bearing based rotating anode x-ray illumination source

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2016161544A1 (en) 2015-04-07 2016-10-13 Shenzhen Xpectvision Technology Co.,Ltd. Semiconductor x-ray detector

Family Cites Families (63)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH01102325A (ja) * 1987-10-16 1989-04-20 Nippon Telegr & Teleph Corp <Ntt> 凹面回折格子と円筒面ミラーを用いる分光器
US5608526A (en) 1995-01-19 1997-03-04 Tencor Instruments Focused beam spectroscopic ellipsometry method and system
US6023338A (en) 1996-07-12 2000-02-08 Bareket; Noah Overlay alignment measurement of wafers
US5859424A (en) 1997-04-08 1999-01-12 Kla-Tencor Corporation Apodizing filter system useful for reducing spot size in optical measurements and other applications
US6429943B1 (en) 2000-03-29 2002-08-06 Therma-Wave, Inc. Critical dimension analysis with simultaneous multiple angle of incidence measurements
US6787773B1 (en) 2000-06-07 2004-09-07 Kla-Tencor Corporation Film thickness measurement using electron-beam induced x-ray microanalysis
US7317531B2 (en) 2002-12-05 2008-01-08 Kla-Tencor Technologies Corporation Apparatus and methods for detecting overlay errors using scatterometry
US7068833B1 (en) 2000-08-30 2006-06-27 Kla-Tencor Corporation Overlay marks, methods of overlay mark design and methods of overlay measurements
US7541201B2 (en) 2000-08-30 2009-06-02 Kla-Tencor Technologies Corporation Apparatus and methods for determining overlay of structures having rotational or mirror symmetry
US20030002043A1 (en) 2001-04-10 2003-01-02 Kla-Tencor Corporation Periodic patterns and technique to control misalignment
US6716646B1 (en) 2001-07-16 2004-04-06 Advanced Micro Devices, Inc. Method and apparatus for performing overlay measurements using scatterometry
US7280230B2 (en) 2001-12-19 2007-10-09 Kla-Tencor Technologies Corporation Parametric profiling using optical spectroscopic systems
GB0201773D0 (en) * 2002-01-25 2002-03-13 Isis Innovation X-ray diffraction method
US6778275B2 (en) 2002-02-20 2004-08-17 Micron Technology, Inc. Aberration mark and method for estimating overlay error and optical aberrations
US6992764B1 (en) 2002-09-30 2006-01-31 Nanometrics Incorporated Measuring an alignment target with a single polarization state
US7092485B2 (en) * 2003-05-27 2006-08-15 Control Screening, Llc X-ray inspection system for detecting explosives and other contraband
US7842933B2 (en) 2003-10-22 2010-11-30 Applied Materials Israel, Ltd. System and method for measuring overlay errors
US6937337B2 (en) 2003-11-19 2005-08-30 International Business Machines Corporation Overlay target and measurement method using reference and sub-grids
CN1929786A (zh) 2004-03-10 2007-03-14 皇家飞利浦电子股份有限公司 聚焦的相干-散射计算机层析成像
US7321426B1 (en) 2004-06-02 2008-01-22 Kla-Tencor Technologies Corporation Optical metrology on patterned samples
JP3983762B2 (ja) 2004-12-15 2007-09-26 アンリツ株式会社 X線回折測定解析方法及びプログラム
US7478019B2 (en) 2005-01-26 2009-01-13 Kla-Tencor Corporation Multiple tool and structure analysis
JP2006339359A (ja) 2005-06-01 2006-12-14 Seiko Epson Corp 微細構造体の製造方法、電子機器
JP4585926B2 (ja) 2005-06-17 2010-11-24 株式会社日立ハイテクノロジーズ パターンレイヤーデータ生成装置、それを用いたパターンレイヤーデータ生成システム、半導体パターン表示装置、パターンレイヤーデータ生成方法、及びコンピュータプログラム
US7567351B2 (en) 2006-02-02 2009-07-28 Kla-Tencor Corporation High resolution monitoring of CD variations
JP4887062B2 (ja) 2006-03-14 2012-02-29 株式会社日立ハイテクノロジーズ 試料寸法測定方法、及び試料寸法測定装置
US7481579B2 (en) 2006-03-27 2009-01-27 Jordan Valley Applied Radiation Ltd. Overlay metrology using X-rays
US7573584B2 (en) 2006-09-25 2009-08-11 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US7873585B2 (en) 2007-08-31 2011-01-18 Kla-Tencor Technologies Corporation Apparatus and methods for predicting a semiconductor parameter across an area of a wafer
AU2008307135B2 (en) * 2007-10-03 2014-02-20 Commonwealth Scientific And Industrial Research Organisation An online energy dispersive x-ray diffraction analyser
US7742563B2 (en) * 2008-09-10 2010-06-22 Morpho Detection, Inc. X-ray source and detector configuration for a non-translational x-ray diffraction system
US7929667B1 (en) 2008-10-02 2011-04-19 Kla-Tencor Corporation High brightness X-ray metrology
US8068662B2 (en) 2009-03-30 2011-11-29 Hermes Microvision, Inc. Method and system for determining a defect during charged particle beam inspection of a sample
GB2481950B (en) 2009-04-14 2017-08-23 Rigaku Denki Co Ltd Surface microstructure measurement method, surface microstructure measurement data analysis method and surface microstructure measurement system.
JP2010272758A (ja) * 2009-05-22 2010-12-02 Hitachi High-Technologies Corp 被エッチング材のプラズマエッチング方法
WO2011012624A1 (en) * 2009-07-31 2011-02-03 Asml Netherlands B.V. Metrology method and apparatus, lithographic system, and lithographic processing cell
JP5764380B2 (ja) 2010-04-29 2015-08-19 エフ イー アイ カンパニFei Company Sem画像化法
IL217843A (en) * 2011-02-11 2016-11-30 Asml Netherlands Bv A system and method for testing, a lithographic system, a cell for lithographic processing, and a method for producing a device
US9046475B2 (en) 2011-05-19 2015-06-02 Applied Materials Israel, Ltd. High electron energy based overlay error measurement methods and systems
US8873596B2 (en) 2011-07-22 2014-10-28 Kla-Tencor Corporation Laser with high quality, stable output beam, and long life high conversion efficiency non-linear crystal
US10107621B2 (en) 2012-02-15 2018-10-23 Nanometrics Incorporated Image based overlay measurement with finite gratings
US8879073B2 (en) * 2012-02-24 2014-11-04 Kla-Tencor Corporation Optical metrology using targets with field enhancement elements
US10801975B2 (en) 2012-05-08 2020-10-13 Kla-Tencor Corporation Metrology tool with combined X-ray and optical scatterometers
TW201350839A (zh) * 2012-05-08 2013-12-16 Kla Tencor Corp 具有組合x光及光學散射計之計量工具
US9243886B1 (en) 2012-06-26 2016-01-26 Kla-Tencor Corporation Optical metrology of periodic targets in presence of multiple diffraction orders
US10013518B2 (en) 2012-07-10 2018-07-03 Kla-Tencor Corporation Model building and analysis engine for combined X-ray and optical metrology
US9581430B2 (en) 2012-10-19 2017-02-28 Kla-Tencor Corporation Phase characterization of targets
US10769320B2 (en) 2012-12-18 2020-09-08 Kla-Tencor Corporation Integrated use of model-based metrology and a process model
US9291554B2 (en) 2013-02-05 2016-03-22 Kla-Tencor Corporation Method of electromagnetic modeling of finite structures and finite illumination for metrology and inspection
US10101670B2 (en) 2013-03-27 2018-10-16 Kla-Tencor Corporation Statistical model-based metrology
JP6275834B2 (ja) * 2013-07-03 2018-02-07 エーエスエムエル ネザーランズ ビー.ブイ. 検査装置及び方法、リソグラフィ装置、リソグラフィ処理セル並びにデバイス製造方法
US9535018B2 (en) * 2013-07-08 2017-01-03 Kla-Tencor Corporation Combined x-ray and optical metrology
US9846132B2 (en) 2013-10-21 2017-12-19 Kla-Tencor Corporation Small-angle scattering X-ray metrology systems and methods
US9885962B2 (en) 2013-10-28 2018-02-06 Kla-Tencor Corporation Methods and apparatus for measuring semiconductor device overlay using X-ray metrology
TWI631636B (zh) * 2013-12-16 2018-08-01 克萊譚克公司 以模型爲基礎之量測及一製程模型的整合使用
US9490182B2 (en) * 2013-12-23 2016-11-08 Kla-Tencor Corporation Measurement of multiple patterning parameters
US9553033B2 (en) * 2014-01-15 2017-01-24 Kla-Tencor Corporation Semiconductor device models including re-usable sub-structures
US9494535B2 (en) 2014-04-21 2016-11-15 Kla-Tencor Corporation Scatterometry-based imaging and critical dimension metrology
CN204220865U (zh) 2014-10-20 2015-03-25 河南宝润机械有限公司 一种镦粗压柄和钢筋镦粗机
US10072921B2 (en) 2014-12-05 2018-09-11 Kla-Tencor Corporation Methods and systems for spectroscopic beam profile metrology having a first two dimensional detector to detect collected light transmitted by a first wavelength dispersive element
US10324050B2 (en) 2015-01-14 2019-06-18 Kla-Tencor Corporation Measurement system optimization for X-ray based metrology
JP6602388B6 (ja) 2015-03-25 2020-01-15 エーエスエムエル ネザーランズ ビー.ブイ. メトロロジ方法、メトロロジ装置、及びデバイス製造装置
US10775323B2 (en) * 2016-10-18 2020-09-15 Kla-Tencor Corporation Full beam metrology for X-ray scatterometry systems

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2016161544A1 (en) 2015-04-07 2016-10-13 Shenzhen Xpectvision Technology Co.,Ltd. Semiconductor x-ray detector

Also Published As

Publication number Publication date
TW201825867A (zh) 2018-07-16
TWI753030B (zh) 2022-01-21
US10775323B2 (en) 2020-09-15
IL265745B2 (en) 2023-11-01
CN113838729B (zh) 2023-01-17
KR102495770B1 (ko) 2023-02-02
US20180106735A1 (en) 2018-04-19
CN113838729A (zh) 2021-12-24
DE112017005271T5 (de) 2019-08-14
IL303263B1 (en) 2024-04-01
JP2023033401A (ja) 2023-03-10
JP2019536057A (ja) 2019-12-12
JP7210460B2 (ja) 2023-01-23
CN109844898A (zh) 2019-06-04
IL265745A (en) 2019-06-30
JP2024019566A (ja) 2024-02-09
US11313816B2 (en) 2022-04-26
WO2018075551A1 (en) 2018-04-26
KR20190058672A (ko) 2019-05-29
CN109844898B (zh) 2021-10-26
IL265745B1 (en) 2023-07-01
KR20230021767A (ko) 2023-02-14
US20220268714A1 (en) 2022-08-25
US20200300790A1 (en) 2020-09-24
IL303263A (en) 2023-07-01

Similar Documents

Publication Publication Date Title
KR102625635B1 (ko) X-선 산란계측 시스템들을 위한 전체 빔 계측
KR102363266B1 (ko) 고 애스펙트비 구조체에 대한 x 선 산란측정법 계측
US20200303265A1 (en) Process Monitoring Of Deep Structures With X-Ray Scatterometry
KR102512180B1 (ko) 계산 효율적인 x 선 기반의 오버레이 측정
KR102580560B1 (ko) 투과 소각 x 선 산란 계측 시스템
US20170307548A1 (en) Beam Shaping Slit For Small Spot Size Transmission Small Angle X-Ray Scatterometry
CN111819675B (zh) 用于实时测量控制的方法及系统
US20180188192A1 (en) X-Ray Zoom Lens For Small Angle X-Ray Scatterometry
CN113677983A (zh) 结合x射线计量数据集合以改进参数评估的方法及系统
JP7486621B2 (ja) X線スキャトロメトリシステムのフルビーム計測
US20240060914A1 (en) Methods And Systems For X-Ray Scatterometry Measurements Employing A Machine Learning Based Electromagnetic Response Model
WO2023129279A1 (en) Methods and systems for regularizing the optimization of application specific semiconductor measurement system parameter settings

Legal Events

Date Code Title Description
A107 Divisional application of patent
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant