KR102580560B1 - 투과 소각 x 선 산란 계측 시스템 - Google Patents

투과 소각 x 선 산란 계측 시스템 Download PDF

Info

Publication number
KR102580560B1
KR102580560B1 KR1020197033522A KR20197033522A KR102580560B1 KR 102580560 B1 KR102580560 B1 KR 102580560B1 KR 1020197033522 A KR1020197033522 A KR 1020197033522A KR 20197033522 A KR20197033522 A KR 20197033522A KR 102580560 B1 KR102580560 B1 KR 102580560B1
Authority
KR
South Korea
Prior art keywords
detector
ray
delete delete
measurement target
pixel
Prior art date
Application number
KR1020197033522A
Other languages
English (en)
Other versions
KR20190131129A (ko
Inventor
안드레이 쉬체그로브
안토니오 겔리노
세르게이 잘루보프스키
Original Assignee
케이엘에이 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 케이엘에이 코포레이션 filed Critical 케이엘에이 코포레이션
Priority to KR1020227033438A priority Critical patent/KR102515242B1/ko
Publication of KR20190131129A publication Critical patent/KR20190131129A/ko
Application granted granted Critical
Publication of KR102580560B1 publication Critical patent/KR102580560B1/ko

Links

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N23/00Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00
    • G01N23/02Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by transmitting the radiation through the material
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/14Measuring arrangements characterised by the use of optical techniques for measuring distance or clearance between spaced objects or spaced apertures
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N23/00Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00
    • G01N23/20Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by using diffraction of the radiation by the materials, e.g. for investigating crystal structure; by using scattering of the radiation by the materials, e.g. for investigating non-crystalline materials; by using reflection of the radiation by the materials
    • G01N23/201Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by using diffraction of the radiation by the materials, e.g. for investigating crystal structure; by using scattering of the radiation by the materials, e.g. for investigating non-crystalline materials; by using reflection of the radiation by the materials by measuring small-angle scattering
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/02Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness
    • G01B11/06Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material
    • G01B11/0616Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material of coating
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/26Measuring arrangements characterised by the use of optical techniques for measuring angles or tapers; for testing the alignment of axes
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B15/00Measuring arrangements characterised by the use of electromagnetic waves or particle radiation, e.g. by the use of microwaves, X-rays, gamma rays or electrons
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/17Systems in which incident light is modified in accordance with the properties of the material investigated
    • G01N21/21Polarisation-affecting properties
    • G01N21/211Ellipsometry
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/17Systems in which incident light is modified in accordance with the properties of the material investigated
    • G01N21/47Scattering, i.e. diffuse reflection
    • G01N21/4785Standardising light scatter apparatus; Standards therefor
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/9501Semiconductor wafers
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N23/00Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00
    • G01N23/20Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by using diffraction of the radiation by the materials, e.g. for investigating crystal structure; by using scattering of the radiation by the materials, e.g. for investigating non-crystalline materials; by using reflection of the radiation by the materials
    • G01N23/207Diffractometry using detectors, e.g. using a probe in a central position and one or more displaceable detectors in circumferential positions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/26Electron or ion microscopes; Electron or ion diffraction tubes
    • H01J37/28Electron or ion microscopes; Electron or ion diffraction tubes with scanning beams
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05GX-RAY TECHNIQUE
    • H05G2/00Apparatus or processes specially adapted for producing X-rays, not involving X-ray tubes, e.g. involving generation of a plasma
    • H05G2/001X-ray radiation generated from plasma
    • H05G2/003X-ray radiation generated from plasma being produced from a liquid or gas
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N2223/00Investigating materials by wave or particle radiation
    • G01N2223/03Investigating materials by wave or particle radiation by transmission
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N2223/00Investigating materials by wave or particle radiation
    • G01N2223/05Investigating materials by wave or particle radiation by diffraction, scatter or reflection
    • G01N2223/054Investigating materials by wave or particle radiation by diffraction, scatter or reflection small angle scatter
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N2223/00Investigating materials by wave or particle radiation
    • G01N2223/10Different kinds of radiation or particles
    • G01N2223/101Different kinds of radiation or particles electromagnetic radiation
    • G01N2223/1016X-ray
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N2223/00Investigating materials by wave or particle radiation
    • G01N2223/60Specific applications or type of materials
    • G01N2223/611Specific applications or type of materials patterned objects; electronic devices
    • G01N2223/6116Specific applications or type of materials patterned objects; electronic devices semiconductor wafer
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N2223/00Investigating materials by wave or particle radiation
    • G01N2223/60Specific applications or type of materials
    • G01N2223/645Specific applications or type of materials quality control
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N2223/00Investigating materials by wave or particle radiation
    • G01N2223/60Specific applications or type of materials
    • G01N2223/646Specific applications or type of materials flaws, defects
    • G01N2223/6462Specific applications or type of materials flaws, defects microdefects
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N23/00Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00
    • G01N23/20Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by using diffraction of the radiation by the materials, e.g. for investigating crystal structure; by using scattering of the radiation by the materials, e.g. for investigating non-crystalline materials; by using reflection of the radiation by the materials
    • GPHYSICS
    • G21NUCLEAR PHYSICS; NUCLEAR ENGINEERING
    • G21KTECHNIQUES FOR HANDLING PARTICLES OR IONISING RADIATION NOT OTHERWISE PROVIDED FOR; IRRADIATION DEVICES; GAMMA RAY OR X-RAY MICROSCOPES
    • G21K2201/00Arrangements for handling radiation or particles
    • G21K2201/06Arrangements for handling radiation or particles using diffractive, refractive or reflecting elements

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Biochemistry (AREA)
  • General Health & Medical Sciences (AREA)
  • Immunology (AREA)
  • Pathology (AREA)
  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Electromagnetism (AREA)
  • Optics & Photonics (AREA)
  • Plasma & Fusion (AREA)
  • Analysing Materials By The Use Of Radiation (AREA)

Abstract

상대적으로 작은 툴 풋프린트를 갖는 투과 소각 x 선 산란측정법(TSAXS) 시스템에 의해 반도체 디바이스의 치수 및 재료 속성을 특성 묘사하기 위한 방법 및 시스템이 본원에서 설명된다. 본원에서 설명되는 방법 및 시스템은, 감소된 광학 경로 길이를 갖는 반도체 구조체의 계측에 적절한 Q 공간 분해능을 가능하게 한다. 일반적으로, x 선 빔은 상대적으로 작은 타겟의 경우 웨이퍼 표면에 더 가깝게 그리고 상대적으로 큰 타겟의 경우 검출기에 더 가깝게 집속된다. 몇몇 실시형태에서, 달성 가능한 Q 분해능에 대한 검출기 PSF 한계를 완화하기 위해 작은 점 확산 함수(PSF)를 갖는 고분해능 검출기가 활용된다. 몇몇 실시형태에서, 검출기는 광자 변환 이벤트에 의해 자극되는 전자 구름의 질량 중심을 결정하는 것에 의해 서브픽셀 정확도로 입사 광자의 위치를 찾아낸다. 몇몇 실시형태에서, 검출기는 입사 위치 외에 하나 이상의 x 선 광자 에너지를 분해한다.

Description

투과 소각 X 선 산란 계측 시스템
[관련 출원에 대한 교차 참조]
본 특허 출원은 2017년 4월 14일자로 출원된 미국 특허 가출원 일련 번호 제62/485,497호로부터의 35 U.S.C. §119하에서의 우선권을 주장하는데, 상기 가출원의 주제는 참조에 의해 그 전체가 본원에 통합된다.
[기술 분야]
설명되는 실시형태는 계측(metrology) 시스템 및 방법에 관한 것으로, 특히, 향상된 측정 정확도를 위한 방법 및 시스템에 관한 것이다.
로직 및 메모리 디바이스와 같은 반도체 디바이스는 시료(specimen)에 대해 적용되는 일련의 프로세싱 단계에 의해 통상적으로 제조된다. 반도체 디바이스의 다양한 피쳐(feature) 및 다수의 구조적 레벨(structural level)은 이들 프로세싱 단계에 의해 형성된다. 예를 들면, 다른 것들 중에서도 리소그래피는 반도체 웨이퍼 상에 패턴을 생성하는 것을 수반하는 하나의 반도체 제조 프로세스이다. 반도체 제조 프로세스의 추가적인 예는, 화학적 기계적 연마, 에칭, 퇴적(deposition), 및 이온 주입을 포함하지만, 그러나 이들로 제한되는 것은 아니다. 다수의 반도체 디바이스가 단일의 반도체 웨이퍼 상에서 제조될 수도 있고, 그 다음, 개개의 반도체 디바이스로 분리될 수도 있다.
계측 프로세스는, 웨이퍼 상에서 결함을 검출하여 더 높은 수율을 촉진시키기 위해, 반도체 제조 프로세스 동안 다양한 단계에서 사용된다. 나노 스케일 구조체의 임계 치수, 막 두께, 조성 및 다른 파라미터의 특성을 묘사하기 위해, 산란측정법 구현(scatterometry implementation)과 반사측정법 구현(reflectometry implementation) 및 관련 분석 알고리즘을 포함하는 다수의 계측 기반 기술이 일반적으로 사용된다.
전통적으로, 박막 및/또는 반복된 주기적 구조체로 이루어지는 타겟에 대해, 산란측정법 임계 치수(scatterometry critical dimension; SCR) 측정이 수행된다. 디바이스 제조 동안, 이들 막과 주기적인 구조체는, 통상적으로, 실제 디바이스 기하학적 형상(geometry)과 재료 구조체 또는 중간 설계를 나타낸다. 디바이스(예를 들면, 로직 및 메모리 디바이스)가 더 작은 나노미터 스케일의 치수를 향해 이동함에 따라, 특성 묘사가 더욱 어려워지게 된다. 복잡한 삼차원의 기하학적 형상 및 다양한 물리적 속성(property)을 갖는 재료를 통합하는 디바이스는 특성 묘사의 어려움에 기여한다. 예를 들면, 현대의 메모리 구조체는, 종종, 광학적 방사선(optical radiation)이 하부 층(bottom layer)까지 침투하는 것을 어렵게 만드는 고 애스펙트비의 삼차원 구조체이다. 적외선 내지 가시 광선을 활용하는 광학적 계측 툴은 반투명 재료의 많은 층을 통과할 수 있지만, 그러나 양호한 침투 깊이를 제공하는 더 긴 파장은 작은 변형에 대해 충분한 감도를 제공하지 못한다. 또한, 복잡한 구조체(예를 들면, FinFET)를 특성 묘사하는 데 필요로 되는 파라미터의 증가하는 수는, 증가하는 파라미터 상관 관계로 이어진다. 결과적으로, 타겟을 특성 묘사하는 파라미터는, 종종, 이용 가능한 측정을 통해 신뢰성 있게 분리될 수 없다.
하나의 예에서, 스택의 교대하는 재료 중 하나로서 폴리실리콘을 활용하는 3D FLASH 디바이스에 대한 침투 이슈를 극복하기 위한 시도에서, 더 긴 파장(예를 들면, 근적외선)이 활용되었다. 그러나, 3D FLASH의 미러형 구조체(mirror like structure)는 본질적으로, 조명이 필름 스택 안으로 더 깊숙이 전파함에 따라 광 강도 감소를 야기한다. 이것은 깊이에서의 감도 손실 및 상관 관계 이슈를 야기한다. 이 시나리오에서, SCD는 높은 민감도 및 낮은 상관 관계를 갖는 계측 치수의 감소된 세트만을 성공적으로 추출할 수 있다.
다른 예에서, 불투명한 고 유전율 재료가 현대의 반도체 구조체에 점점 더 많이 활용되고 있다. 광학적 방사선은 종종 이들 재료로 구성되는 층을 투과할 수 없다. 결과적으로, 타원편광 해석기(ellipsometer) 또는 반사계(reflectometer)와 같은 박막 산란측정법 툴을 사용한 측정은 점점 더 어려워지고 있다.
이들 도전 과제(challenge)에 응답하여, 보다 복잡한 광학 계측 툴이 개발되었다. 예를 들면, 다수의 조명 각도, 더 짧은 조명 파장, 더 큰 범위의 조명 파장, 및 반사된 신호로부터의 더 많은 완전한 정보 획득을 갖춘(예를 들면, 보다 전통적인 반사율 또는 타원편광 해석 신호(ellipsometric signal) 외에 다수의 뮬러(Mueller) 매트릭스 요소를 측정하는) 툴이 개발되었다. 그러나, 이들 접근법(approach)은, 많은 고급 타겟(예를 들면, 복잡한 3D 구조체, 10 nm보다 작은 구조체, 불투명한 재료를 활용하는 구조체)의 측정 및 측정 애플리케이션(예를 들면, 라인 에지 조도(line edge roughness) 및 라인 폭 조도(line width roughness) 측정)과 관련되는 근본적인 도전 과제를 신뢰성 있게 극복하지 못했다.
원자력 현미경(Atomic force microscopes; AFM) 및 주사 터널 현미경(scanning-tunneling microscopes; STM)은, 원자 분해능(atomic resolution)을 달성할 수 있지만, 그러나 그들은 시료의 표면만을 조사할 수 있다. 또한, AFM 및 STM 현미경은 긴 주사 시간을 필요로 한다. 주사 전자 현미경(scanning electron microscopes; SEM)은 중간 분해능 레벨을 달성하지만, 그러나 충분한 깊이까지 구조체를 침투할 수 없다. 따라서, 고 애스펙트비의 홀은 특성이 잘 묘사되지 않는다. 또한, 시료의 필수적 대전(charging)은 이미징 성능에 악영향을 미친다. X 선 반사계는 또한 고 애스펙트비 구조체를 측정할 때 그들의 유효성을 제한하는 침투 이슈로 인해 어려움을 겪는다.
침투 깊이 이슈를 극복하기 위해, TEM, SEM 등과 같은 전통적인 이미징 기술은, 집속 이온 빔(focused ion beam; FIB) 기계가공, 이온 밀링, 블랭킷 또는 선택적 에칭, 등등과 같은 파괴적인 샘플 준비 기술과 함께 활용된다. 예를 들면, 투과 전자 현미경(transmission electron microscopes; TEM)은 높은 분해능 레벨을 달성하고 임의의 깊이를 조사할 수 있지만, 그러나 TEM은 시료의 파괴적인 절단을 필요로 한다. 재료 제거 및 측정의 여러 번의 반복은 일반적으로 삼차원 구조체 전반에 걸친 임계 계측 파라미터를 측정하는 데 필요로 되는 정보를 제공한다. 그러나, 이들 기술은 샘플 파괴 및 긴 프로세스 시간을 필요로 한다. 이들 타입의 측정을 완료하기 위한 복잡성 및 시간은, 에칭 및 계측 단계의 드리프트로 인해 큰 부정확성을 도입한다. 게다가, 이들 기술은 레지스트레이션 에러(registration error)를 도입하는 수많은 반복을 필요로 한다.
경질 X 선(hard X-ray) 에너지 레벨(15keV 초과)에서 광자를 활용하는 투과 소각 X 선 산란측정법(Transmission, Small-Angle X-Ray Scatterometry; T-SAXS) 시스템은 까다로운 측정 애플리케이션을 다룰 가망을 보여주었다. 임계 치수의 측정에 대한 SAXS 기술의 적용(CD-SAXS) 및 오버레이의 측정에 대한 SAXS 기술의 적용(OVL-SAXS)의 다양한 양태는, 1) 발명의 명칭이 "High-brightness X-ray metrology"인 Zhuang 및 Fielden에 대한 미국 특허 제7,929,667호, 2) 발명의 명칭이 "Model Building And Analysis Engine For Combined X-Ray And Optical Metrology"인 Bakeman, Shchegrov, Zhao, 및 Tan에 의한 미국 특허 공개 공보 제2014/0019097호, 3) 발명의 명칭이 "Methods and Apparatus For Measuring Semiconductor Device Overlay Using X-Ray Metrology"인 Veldman, Bakeman, Shchegrov, 및 Mieher에 의한 미국 특허 공개 공보 제2015/0117610호, 4) 발명의 명칭이 "Measurement System Optimization For X-Ray Based Metrology"인 Hench, Shchegrov, 및 Bakeman에 의한 미국 특허 공개 공보 제2016/0202193호, 5) 발명의 명칭이 "X-ray Metrology For High Aspect Ratio Structures"인 Dziura, Gellineau, 및 Shchegrov에 의한 미국 특허 공개 공보 제2017/0167862호, 및 6) 발명의 명칭이 "Full Beam Metrology for X-Ray Scatterometry Systems"인 Gellineau, Dziura, Hench, Veldman, 및 Zalubovsky에 의한 미국 특허 출원 제15/419,130호에서 설명되는데, 이들 문헌의 각각의 내용은 참조에 의해 그 전체가 본원에 통합된다. 상기 언급된 특허 문헌은 미국 캘리포니아 밀피타스(Milpitas) 소재의 KLA-Tencor Corporation에 양도되어 있다. 또한, 발명의 명칭이 "X-ray scatterometry apparatus"인 Mazor 등등에 대한 미국 특허 제9,606,073호는, 반도체 구조체에 대한 SAXS 기술의 적용의 다양한 양태를 설명하는데, 그 내용은 참조에 의해 그 전체가 본원에 통합된다.
SAXS는 또한 재료 및 다른 비 반도체 관련 애플리케이션의 특성 묘사에 적용되어 왔다. Xenocs SAS(www.xenocs.com), Bruker Corporation(www.bruker.com), 및 Rigaku Corporation(www.rigaku.com/en)을 비롯한, 여러 회사에 의해 예시적인 시스템이 상용화되었다. Bruker 및 Rigaku 둘 모두는, 각각, "Nanostar" 및 "Nanopix"로 명명되는 소각 x 선 산란측정법 시스템 및 광각 x 선 산란측정법 시스템을 제공한다. 이들 시스템은 조정 가능한 샘플 대 검출기 거리를 포함한다.
반도체 구조체의 CD-SAXS 계측에 대한 연구는 과학 문헌에서도 또한 설명되어 있다. 대부분의 연구 그룹은, 막대한 사이즈, 비용, 등등으로 인해 반도체 제조 설비에서 사용하기에 적합하지 않은 고휘도 X 선 싱크로트론 소스를 활용하였다. 그러한 시스템의 하나의 예는, Proc. SPIE, v. 8681, p. 86810Q (2013)의 Lemaillet, Germer, Kline 등등에 의한 명칭이 "Intercomparison between optical and x-ray scatterometry measurements of FinFET structures"인 논문에서 설명되는데, 이들 문헌의 각각의 내용은 참조에 의해 그 전체가 본원에 통합된다. 더욱 최근에, 국립 표준 기술원(National Institute of Standards and Technology; NIST)에서의 한 그룹은 미국 특허 제7,929,667호에서 설명되는 것들과 유사한 소형이며 밝은 X 선 소스를 활용하는 연구를 개시하였다. 이 연구는 J. Micro/Nanolith. MEMS MOEMS 16(1), 014001 (Jan-Mar 2017)의 명칭 "X-ray scattering critical dimensional metrology using a compact x-ray source for next generation semiconductor devices"인 눈문에서 설명되는데, 이들 문헌의 각각의 내용은 참조에 의해 그 전체가 본원에 통합된다.
SAXS 시스템은, 하이브리드 픽셀 광자 카운팅 검출기(hybrid pixel photon counting detector), 전하 통합 픽셀 어레이 검출기(charge integrating pixel array detector), 가스상 애벌란시 검출기(gaseous avalanche detector), 등등을 포함하는 몇몇 상이한 타입의 검출기를 활용한다. 이용 가능한 검출기의 픽셀 사이즈는 약 50 마이크로미터에서부터 대략 200 마이크로미터까지의 범위에 이른다. 25 마이크로미터 픽셀을 갖는 프로토타입이 현재 개발 중에 있다.
모든 종래의 SAXS 아키텍쳐의 실질적인 단점은, 통상적인 반도체 구조체를 측정하는 데 필요한 기구의 실질적인 사이즈이다. 검출기에서 회절 이미지를 분해하기 위해서는 미세 각도 분해능(fine angular resolution)이 필요하다. 현재, 이 분해능은 기기의 길이를 증가시키는 것에 의해 달성된다.
하나의 예에서, Bruker에 의해 제조되는 "Nanostar" 시스템은, 1070 mm의 샘플 대 검출기 거리를 가지고 구성될 수도 있는데, 검출기는 68 마이크로미터 픽셀 사이즈, 및 5e-3 옹스트롬-1의 q 공간 분해능(q-space resolution)을 갖는다.
반도체 제조 설비에서, 고가의 클린룸 공간의 사용을 최대화하고 더 높은 스루풋을 위한 더 많은 툴을 수용하기 위해서는, 계측 및 검사 툴은 상대적으로 작은 풋프린트 사이즈를 따라야만 한다. 그러므로, 현재의 SAXS 시스템의 툴 길이는, 실제 반도체 제조 설정에서 유용하도록 현재의 레벨로부터 감소되어야만 한다.
또한, 경질 X 선 검출기의 분해능은 또한, 반도체 디바이스의 상세한 프로파일을 재구성하기 위한 현재의 시스템의 능력을 제한한다. 예를 들면, 현재의 수직 NAND(vertical-NAND; VNAND) 구조체는 대략 마이크로미터의 기본 피치 길이를 가지고 제조된다. 경질 X 선을 사용한 이들 구조체의 측정은, 비실용적인 툴 길이(예를 들면, 40 m 이하 툴 길이)에 의존하지 않으면서 각도 분해능에만 기초하여 분해될 수 없는 매우 미세한 회절 패턴을 생성한다. 그러한 만큼, 이 측정 애플리케이션은 현재 툴을 통해서는 불가능하다.
요약하면, 현재의 CD/OVL SAXS 시스템은, 과도하게 큰 풋프린트, 제한된 분해능, 및 각도 차수 분리(angular order separation)에 기인하여 생산 반도체 제조 설비에서의 구현에 적합하지 않다. 디바이스 성능을 더욱 향상시키기 위해, 반도체 산업계는, 측면 스케일링보다는, 수직 집적(vertical integration)에 계속 초점을 맞추고 있다. 따라서, 실행 가능성 및 지속적인 스케일링 향상을 보장하기 위해서는, 복잡하고, 전체적으로 삼차원인 구조체의 정확한 측정이 중요하다. 미래의 계측 애플리케이션은, 점점 더 작아지는 분해능 요건, 다중 파라미터 상관 관계, 고 애스펙트비 구조체를 포함하는 점점 더 복잡해지는 기하학적 형상의 구조체, 및 불투명 재료의 증가하는 사용으로 인해, 계측에 대한 도전 과제를 제시한다. 따라서, 향상된 분해능 및 더 작은 풋프린트를 비롯한, 향상된 x 선 산란측정법 측정을 위한 방법 및 시스템이 소망된다.
상대적으로 작은 툴 풋프린트를 갖는 투과 소각 x 선 산란측정법(TSAXS) 시스템에 의해 반도체 디바이스의 치수 및 재료 속성을 특성 묘사하기 위한 방법 및 시스템이 본원에서 설명된다. 본원에서 설명되는 방법 및 시스템은, 감소된 광학 경로 길이를 갖는 반도체 구조체의 계측에 적절한 Q 공간 분해능을 가능하게 한다.
하나의 양태에서, TSAXS 측정 시스템은 상대적으로 짧은 광학 경로 길이(예를 들면, 조명 소스로부터 검출기까지 3 미터 미만)에 걸쳐 경질 x 선 조명을 활용하여, 상대적으로 작은 치수(예를 들면, 대략 50 나노미터)로부터 상대적으로 큰 치수(예를 들면, 최대 10 마이크로미터)까지의 범위에 이르는 타겟을 측정한다.
추가 양태에서, TSAXS 측정 시스템은, 웨이퍼 표면 200 밀리미터 미만 이전에, 웨이퍼 표면에서, 검출기 표면에서, 또는 웨이퍼 표면과 검출기 표면 사이의 임의의 위치에서 집속되는 X 선 빔을 사용하여 샘플을 조명하여, 달성 가능한 Q 분해능에 대한 광학 초점 한계를 완화한다. 일반적으로, x 선 빔은, 가장 작은 가능한 조명 스팟 사이즈를 가지고 조명되어야만 하는 상대적으로 작은 타겟을 수반하는 계측 애플리케이션의 경우 웨이퍼 표면에 더 가깝게 집속된다. x 선 빔은, 높은 이미지 분해능과 광자 플럭스가 소망되는 상대적으로 큰 타겟을 수반하는 계측 애플리케이션의 경우 검출기에 더 가깝게 집속된다.
다른 추가 양태에서, TSAXS 측정 시스템은, 달성 가능한 Q 분해능에 대한 검출기 PSF 한계를 완화하기 위해 작은 PSF를 갖는 고분해능 검출기를 포함한다. 광학 초점이 웨이퍼 및 검출기에 대해 위치되는 곳에 관계 없이, 웨이퍼 검출기 거리 D가 상대적으로 작은 값(예를 들면, 1 미터 미만)으로 감소되는 경우, 고분해능 검출기가 유리하다.
다른 양태에서, 광자 변환 이벤트에 의해 자극되는 전자의 구름의 질량 중심(centroid)의 위치는 검출기에 의해 계산된다. 질량 중심의 위치는 서브픽셀 정확도를 가지고 입사 광자의 위치를 제공한다. 이것은 픽셀 사이즈를 효과적으로 감소시키고 기하학적 한계를 넘는 Q 분해능을 가능하게 한다. 본원에서 설명되는 바와 같은 서브픽셀 공간 보간(interpolation)은 1 미터 미만의 웨이퍼 대 검출기 거리에서의 반도체 구조체의 TSAXS 측정의 경우에 바람직하다.
몇몇 실시형태에서, 상대적으로 짧은 광학 경로 길이를 갖는 TSAXS 시스템은 수직으로 배치되는 웨이퍼 상에 입사하는 수평 광학 경로를 가지고 구성된다(즉, 웨이퍼 표면에 대한 법선은 중력 벡터에 대략 수직이다). 그러나, 몇몇 다른 실시형태에서, 상대적으로 짧은 광학 경로 길이를 갖는 TSAXS 시스템은 수평으로 배치되는 웨이퍼 상에 입사하는 수직 광학 경로를 가지고 구성된다(즉, 웨이퍼 표면에 대한 법선은 중력 벡터와 대략 평행하다). 빔 라인을 수직으로 배향시키는 것에 의해, 더 작은 툴 풋프린트가 달성될 수도 있고 웨이퍼 핸들링이 단순화된다.
다른 추가 양태에서, x 선 검출기는 하나 이상의 x 선 광자 에너지를 분해하고, 시료의 속성을 나타내는 각각의 x 선 에너지 성분에 대한 신호를 생성한다. 이러한 방식으로, 검출기 내의 X 선 광자 상호 작용은, 픽셀 위치 및 카운트의 수 외에 에너지에 의해 구별된다. 몇몇 실시형태에서, X 선 광자 상호 작용은, X 선 광자 상호 작용의 에너지를, 미리 결정된 상한 임계 값 및 미리 결정된 더 낮은 임계 값과 비교하는 것에 의해 구별된다.
다른 양태에서, TSAXS 시스템은, 하나의 방향에서 공간적으로 분리된 차수, 그러나 직교 방향에서 중첩하는 차수에 기초하여 타겟을 측정하도록 구성된다. 이들 실시형태 중 일부에서, 주목하는 하나 이상의 파라미터의 값(들)은, 회절 차수가 공간적으로 분리되는 방향에서 결정된다. 이들 파라미터 값은, 후속하여, 중첩하는 차수에 기초하여 주목하는 하나 이상의 파라미터의 값(들)을 결정하기 위해 활용된다.
다른 양태에서, 빔 성형 슬릿 메커니즘(beam shaping slit mechanism)의 슬릿은, 유한한 소스 사이즈에 의해 정의되는 빔 발산에 기인하는 입사 빔 스팟 사이즈의 확대를 최소화하기 위해, 피측정 시료(specimen under measurement)에 근접하여 위치된다. 하나의 예에서, 유한한 소스 사이즈에 의해 생성되는 그림자에 기인하는 빔 스팟 사이즈의 확장은, 10 마이크로미터 x 선 소스 사이즈 및 빔 성형 슬릿과 시료(101) 사이의 25 밀리미터의 거리에 대해 대략 1 마이크로미터이다. 다른 예에서, 빔 발산은 시료로부터 100 밀리미터 미만에 위치되는 빔 성형 슬릿에 의해 제어된다.
다른 추가 양태에서, T-SAXS 시스템은 산란된 광의 하나 이상의 회절 차수에 기초하여 시료의 속성(예를 들면, 구조적 파라미터 값)을 결정하기 위해 활용된다.
상기의 내용은 개요이며, 따라서, 필요에 의해, 세부 사항의 단순화, 일반화, 및 생략을 포함하며; 결과적으로, 개요는 단지 예시적인 것이며 어떠한 방식으로든 제한하는 것은 아니다는 것을 기술 분야의 숙련된 자는 인식할 것이다. 본원에 설명되는 디바이스 및/또는 프로세스의 다른 양태, 독창적인 피쳐, 및 이점은, 본원에서 기술되는 비제한적인 상세한 설명에서 명확해질 것이다.
도 1은 본원에서 설명되는 바와 같이 x 선 산란측정법 측정을 수행하도록 구성되는 계측 시스템(100)을 예시하는 도면이다.
도 2는 유입하는 빔(incoming beam)을 차단하지 않는 위치에서의 빔 성형 슬릿 메커니즘의 슬릿을 묘사한다.
도 3은 유입하는 빔의 일부를 차단하는 위치에서의 빔 성형 슬릿 메커니즘의 슬릿을 묘사한다.
도 4는 각도 φ 및 θ에 의해 설명되는 특정한 방위에서 웨이퍼 상에 입사하는 x 선 조명 빔을 묘사한다.
도 5는, x 선 광학 초점이 검출기 표면에 또는 검출기 표면 근처에 위치되도록 구성되는 계측 시스템(100)을 예시하는 도면이다.
도 6은 계측 시스템(100)과 같은 계측 시스템에 의해 측정되는 산란된 차수의 이미지(171)를 묘사한다.
도 7은 계측 시스템(100)과 같은 계측 시스템에 의해 측정되는 산란된 차수의 이미지(172)를 묘사한다.
도 8은 도 4에서 묘사되는 이미지(172)의 단면 C와 관련되는 강도 프로파일(intensity profile)의 플롯(173)을 묘사한다.
도 9는 피측정 시료와는 분리된 진공 환경에 포함되는 계측 시스템(100)의 엘리먼트를 예시하는 도면이다.
도 10은 본원에서 설명되는 방법에 따라 x 선 산란측정법 데이터에 기초하여 시료 파라미터 값을 분해하도록(resolve) 구성되는 모델 구축 및 분석 엔진(model building and analysis engine)(150)을 예시하는 도면이다.
도 11a 내지 도 11c는 본원에서 설명되는 방식으로 측정을 받게 되는 통상적인 3D FLASH 메모리 디바이스(190)의 등각 투영도(isometric view), 상면도, 및 단면도를 각각 묘사한다.
도 12는, 본원에서 설명되는 바와 같은 작은 풋프린트 x 선 산란측정법 측정에 기초하여 구조체를 측정하는 예시적인 방법(300)을 예시하는 플로우차트를 묘사한다.
이제, 배경 기술의 예 및 본 발명의 몇몇 실시형태에 대한 상세한 참조가 이루어질 것인데, 본 발명의 실시형태의 예는 첨부의 도면에서 예시된다.
상대적으로 작은 툴 풋프린트를 갖는 투과 소각 x 선 산란측정법(TSAXS) 시스템에 의해 반도체 디바이스의 치수 및 재료 속성을 특성 묘사하기 위한 방법 및 시스템이 본원에서 설명된다. 그러한 시스템 및 기술은 상이한 반도체 제조 프로세스와 관련되는 구조적 그리고 재료적 특성(characteristic)을 측정하기 위해 활용된다. 몇몇 예에서, TSAXS는, 스핀 전달 토크 랜덤 액세스 메모리(spin transfer torque random access memory; STT-RAM), 삼차원 NAND 메모리(three dimensional NAND memory; 3D-NAND) 또는 수직 NAND 메모리(vertical NAND memory)(V-NAND), 동적 랜덤 액세스 메모리(dynamic random access memory; DRAM), 삼차원 FLASH 메모리(three dimensional FLASH memory; 3D-FLASH), 저항성 랜덤 액세스 메모리(resistive random access memory; Re-RAM), 및 상변화 랜덤 액세스 메모리(phase change random access memory; PC-RAM)를 포함하는 그러나 이들로 제한되지는 않는 고 애스펙트비 반도체 구조체의 임계 치수, 두께, 오버레이, 및 재료 속성을 측정하기 위해 활용된다.
고휘도 TSAXS의 사용은, 타겟의 불투명 영역 안으로의 고 플럭스 x 선 방사선 침투를 가능하게 한다. x 선 산란측정법을 사용하여 측정 가능한 기하학적 형상 파라미터의 예는, 기공(pore) 사이즈, 기공 밀도, 라인 에지 조도, 라인 폭 조도, 측벽 각도, 프로파일, 임계 치수, 오버레이, 에지 배치 에러, 및 피치를 포함한다. 측정 가능한 재료 파라미터의 예는 전자 밀도를 포함한다. 몇몇 예에서 x 선 산란측정법은, 50 nm보다 더 작은 피쳐뿐만 아니라, 기하학적 형상 파라미터 및 재료 파라미터의 측정이 필요한, STT-RAM, V-NAND, DRAM, PC-RAM 및 Re-RAM과 같은 첨단 반도체 구조체의 측정을 가능하게 한다.
도 1은 적어도 하나의 신규의 양태에서 시료의 특성을 측정하기 위한 T-SAXS 계측 툴(100)의 실시형태를 예시한다. 도 1에서 도시되는 바와 같이, 시스템(100)은 조명 빔 스팟에 의해 조명되는 시료(101)의 검사 영역(102)에 걸쳐 T-SAXS 측정을 수행하기 위해 사용될 수도 있다.
묘사된 실시형태에서, 계측 툴(100)은 T-SAXS 측정에 적합한 x 선 방사선을 생성하도록 구성되는 x 선 조명 소스(110)를 포함한다. 몇몇 실시형태에서, x 선 조명 소스(110)는 0.01 나노미터와 1 나노미터 사이의 파장을 생성하도록 구성된다. 일반적으로, T-SAXS 측정을 위한 x 선 조명을 제공하기 위해, 높은 스루풋의 인라인 계측을 가능하게 하기에 충분한 플럭스 레벨에서 고휘도 x 선을 생성할 수 있는 임의의 적합한 고휘도 x 선 조명 소스가 고려될 수도 있다. 몇몇 실시형태에서, x 선 소스는, x 선 소스가 상이한 선택 가능한 파장에서 x 선 방사선을 전달하는 것을 가능하게 하는 튜닝 가능한(tunable) 모노크로메이터(tunable monochromator)를 포함한다.
몇몇 실시형태에서, 전체 디바이스뿐만 아니라 웨이퍼 기판을 통한 충분한 투과를 허용하는 파장에서 x 선 소스가 광을 공급하는 것을 보장하기 위해, 15 keV보다 더 큰 광자 에너지를 갖는 방사선을 방출하는 하나 이상의 x 선 소스가 활용된다. 비제한적인 예로서, 입자 가속기 소스, 액체 애노드 소스(liquid anode source), 회전 애노드 소스(rotating anode source), 고정식 고체 애노드 소스(stationary, solid anode source), 마이크로포커스 소스(microfocus source), 마이크로포커스 회전 애노드 소스(microfocus rotating anode source), 플라즈마 기반의 소스, 및 역 콤프턴(Compton) 소스 중 임의의 것이 x 선 조명 소스(110)로서 활용될 수도 있다. 하나의 예에서, 미국 캘리포니아 팔로 알토(Palo Alto)의 Lyncean Technologies, Inc.에서 입수 가능한 역 콤프턴 소스가 고려될 수도 있다. 역 콤프턴 소스는, 일정 범위의 광자 에너지에 걸쳐 x 선을 생성할 수 있고, 그에 의해 x 선 소스가, 상이한 선택 가능한 파장에서 x 선 방사선을 전달하는 것을 가능하게 할 수 있다는 추가적인 이점을 갖는다.
예시적인 x 선 소스는, 고체 또는 액체 타겟에 충격을 주어 x 선 방사선을 자극하도록 구성되는 전자 빔 소스를 포함한다. 고휘도, 액체 금속 x 선 조명을 생성하기 위한 방법 및 시스템은, KLA-Tencor Corp.에게 2011년 4월 19일자로 발행된 미국 특허 제7,929,667호에서 설명되는데, 이 특허의 전체 내용은 참조에 의해 본원에 통합된다.
X 선 조명 소스(110)는 유한한 측면 치수(즉, 빔 축에 직교하는 넌제로 치수)를 갖는 소스 영역에 걸쳐 X 선 방출을 생성한다. 집속 광학기기(focusing optic)(111)는 소스 방사선을 시료(101) 상에 위치되는 계측 타겟 상으로 집속한다. 유한한 측면 소스 치수는, 소스의 에지로부터 유래하는 광선(117)에 의해 정의되는 타겟 상에서 유한한 스팟 사이즈(102)로 나타난다. 몇몇 실시형태에서, 집속 광학기기(111)는 타원 형상의 집속 광학 엘리먼트를 포함한다.
집속 광학기기(111)와 빔 성형 슬릿 메커니즘(120) 사이의 빔 경로에 빔 발산 제어 슬릿(112)이 위치된다. 빔 발산 제어 슬릿(112)은 피측정 시료에 제공되는 조명의 발산을 제한한다. 추가적인 중간 슬릿(113)은, 빔 발산 제어 슬릿(112)과 빔 성형 슬릿 메커니즘(120) 사이의 빔 경로에 위치된다. 중간 슬릿(113)은 추가적인 빔 성형을 제공한다. 그러나, 일반적으로, 중간 슬릿(113)은 옵션 사항이다(optional).
빔 성형 슬릿 메커니즘(120)는, 빔 경로에서 시료(101) 직전에 위치된다. 하나의 양태에서, 빔 성형 슬릿 메커니즘(120)의 슬릿은, 유한한 소스 사이즈에 의해 정의되는 빔 발산에 기인하는 입사 빔 스팟 사이즈의 확대를 최소화하기 위해, 시료(101)에 근접하여 위치된다. 하나의 예에서, 유한한 소스 사이즈에 의해 생성되는 그림자에 기인하는 빔 스팟 사이즈의 확장은, 10 마이크로미터 x 선 소스 사이즈 및 빔 성형 슬릿과 시료(101) 사이의 25 밀리미터의 거리에 대해 대략 1 마이크로미터이다. 다른 예에서, 빔 발산은 시료(101)로부터 100 밀리미터 미만에 위치되는 빔 성형 슬릿에 의해 제어된다.
몇몇 실시형태에서, 빔 성형 슬릿 메커니즘(120)는 다수의 독립적으로 작동되는 빔 성형 슬릿을 포함한다. 하나의 실시형태에서, 빔 성형 슬릿 메커니즘(120)은 네 개의 독립적으로 작동되는 빔 성형 슬릿을 포함한다. 이들 네 개의 빔 성형 슬릿은, 유입하는 빔(115)의 일부를 효과적으로 차단하고 박스 형상의 조명 단면을 갖는 조명 빔(116)을 생성한다.
도 2 및 3은, 두 개의 상이한 구성으로 도 1에서 묘사되는 빔 성형 슬릿 메커니즘(120)의 단부 뷰(end view)를 묘사한다. 도 2 및 3에서 예시되는 바와 같이, 빔 축은 도면 페이지에 수직이다. 도 2에서 묘사되는 바와 같이, 유입하는 빔(115)은 큰 단면을 갖는다. 몇몇 실시형태에서, 유입하는 빔(115)은 대략 1 밀리미터의 직경을 갖는다. 더구나, 빔 성형 슬릿(126-129) 내에서 유입하는 빔(115)의 위치는, 빔 포인팅 에러에 기인하여 대략 3 밀리미터의 불확실성을 가질 수도 있다. 유입하는 빔의 사이즈와 빔 위치의 불확실성을 수용하기 위해, 각각의 슬릿은 대략 6 밀리미터의 길이 L을 갖는다. 도 2에서 묘사되는 바와 같이, 각각의 슬릿은 빔 축에 수직인 방향으로 이동 가능하다. 도 2의 예시에서, 슬릿(126-129)은 빔 축으로부터 최대 거리에 위치된다(즉, 슬릿은 완전히 개방되고 그들은 빔 성형 슬릿 메커니즘(120)을 통과하는 광을 제한하지 않는다).
도 3은, 피측정 시료로 전달되는 유출하는 빔(outgoing beam)(116)이 감소된 사이즈 및 잘 정의된 형상을 가지도록, 유입하는 빔(115)의 일부를 차단하는 위치에서의 빔 성형 슬릿 메커니즘(120)의 슬릿(126-129)을 묘사한다. 도 3에서 묘사되는 바와 같이, 슬릿(126-129)의 각각은 소망되는 출력 빔 형상을 달성하기 위해 빔 축을 향해 안쪽으로 이동하였다.
슬릿(126-129)은, 산란을 최소화하고 입사 방사선을 효과적으로 차단하는 재료로 구성된다. 예시적인 재료는, 게르마늄, 갈륨 비화물, 인듐 인화물, 등등과 같은 단결정 재료를 포함한다. 통상적으로, 슬릿 재료는, 구조적 경계를 가로지르는 산란을 최소화하기 위해, 톱질되기 보다는, 결정학적 방향(crystallographic direction)을 따라 쪼개진다. 또한, 슬릿은, 유입하는 방사선과 슬릿 재료의 내부 구조체 사이의 상호 작용이 최소량의 산란을 생성하도록, 유입하는 빔과 관련하여 배향된다. 결정은, 슬릿의 한쪽 면 상에서의 x 선 빔의 완전한 차단을 위해, 고밀도 재료(예를 들면, 텅스텐)로 만들어진 각각의 슬릿 홀더에 부착된다. 몇몇 실시형태에서, 각각의 슬릿은, 대략 0.5 밀리미터의 폭 및 대략 1-2 밀리미터의 높이를 갖는 직사각형 단면을 갖는다. 도 2에서 묘사되는 바와 같이, 슬릿의 길이 L은 대략 6 밀리미터이다.
일반적으로, x 선 광학기기는 x 선 방사선을 성형하여 시료(101)로 지향시킨다. 몇몇 예에서, x 선 광학기기는, 시료(101) 상에 입사하는 x 선 빔을 단색화하는(monochromatize) x 선 모노크로메이터를 포함한다. 몇몇 예에서, x 선 광학기기는 다층 x 선 광학기기를 사용하여 시료(101)의 측정 영역(102) 상으로의 x 선 빔을 1 밀리라디안 미만의 발산으로 시준 또는 집속한다. 이들 예에서, 다층 x 선 광학기기도 빔 모노크로메이터로서 또한 기능한다. 몇몇 실시형태에서, x 선 광학기기는, 하나 이상의 x 선 시준용 미러(x-ray collimating mirror), x 선 어퍼쳐(aperture), x 선 빔 정지부(x-ray beam stop), 굴절 x 선 광학기기, 구역 플레이트와 같은 회절 광학기기, 몬텔(Montel) 광학기기, 스침 입사 타원체 미러(grazing incidence ellipsoidal mirror)와 같은 거울반사 x 선 광학기기(specular x-ray optic), 중공 모세관 x 선 도파관(hollow capillary x-ray waveguide)과 같은 다중모세관 광학기기(polycapillary optic), 다층 광학기기 또는 시스템, 또는 이들의 임의의 조합을 포함한다. 추가적인 세부 사항은 미국 특허 공개 공보 제2015/0110249호에서 설명되는데, 그 특허 공개 공보의 내용은 참조에 의해 그 전체가 본원에 통합된다.
x 선 검출기(119)는 시료(101)로부터 산란되는 x 선 방사선(114)을 수집하고, T-SAXS 측정 양상(T-SAXS measurement modality)에 따라 입사 x 선 방사선에 민감한 시료(101)의 속성을 나타내는 출력 신호(135)를 생성한다. 몇몇 실시형태에서, 산란된 x 선(114)은 x 선 검출기(119)에 의해 수집되고, 한편 시료 위치 결정 시스템(140)은 각도 분해된 산란된 x 선을 생성하도록 시료(101)를 위치시키고 배향시킨다.
몇몇 실시형태에서, T-SAXS 시스템은 높은 다이나믹 레인지(예를 들면, 105 초과)를 갖는 하나 이상의 광자 카운팅 검출기(photon counting detector)를 포함한다. 몇몇 실시형태에서, 단일의 광자 카운팅 검출기는 검출된 광자의 위치 및 수를 검출한다.
추가 양태에서, T-SAXS 시스템은 산란된 광의 하나 이상의 회절 차수에 기초하여 시료의 속성(예를 들면, 구조적 파라미터 값)을 결정하기 위해 활용된다. 도 1에서 묘사되는 바와 같이, 계측 툴(100)은, 검출기(119)에 의해 생성되는 신호(135)를 획득하도록 그리고 획득된 신호에 적어도 부분적으로 기초하여 시료의 속성을 결정하도록 활용되는 컴퓨팅 시스템(130)을 포함한다.
몇몇 예에서, T-SAXS에 기초한 계측은, 측정된 데이터를 갖는 미리 결정된 측정 모델의 역 솔루션(inverse solution)에 의해 샘플의 치수를 결정하는 것을 수반한다. 측정 모델은 몇몇(대략 열 개) 조정 가능한 파라미터를 포함하며 시료의 기하학적 형상과 광학적 속성 및 측정 시스템의 광학적 속성을 나타낸다. 역 솔루션의 방법은, 모델 기반의 회귀, 단층 촬영, 머신 러닝, 또는 이들의 임의의 조합을 포함하지만, 그러나 이들로 제한되는 것은 아니다. 이러한 방식에서, 타겟 프로파일 파라미터는, 측정된 산란된 x 선 강도와 모델링된 결과 사이의 에러를 최소화하는 파라미터로 표현된 측정 모델(parameterized measurement model)의 값을 분해하는 것에 의해 추정된다.
측정된 파라미터 값의 정밀도 및 정확도를 증가시키기 위해, 큰 범위의 입사각 및 방위각에서 측정을 수행하는 것이 바람직하다. 이 접근법은, 평면 방위를 벗어나는 다양한 큰 각도를 포함하도록 분석에 이용 가능한 데이터 세트의 수와 다양성을 확장시키는 것에 의해, 파라미터 사이의 상관 관계를 감소시킨다. 예를 들면, 법선 방위에서, T-SAXS는 피쳐의 임계 치수를 분해할 수 있지만, 그러나 피쳐의 측벽 각도 및 높이에 대해서는 크게 민감하지 않다. 그러나, 광범위한 평면 외 각도 방위에 걸쳐 측정 데이터를 수집하는 것에 의해, 피쳐의 측벽 각도 및 높이가 분해될 수 있다. 다른 예에서, 큰 범위의 입사각 및 방위각에서 수행되는 측정은, 전체 깊이를 통해 고 애스펙트비 구조체를 특성 묘사하기에 충분한 분해능 및 침투 깊이를 제공한다.
회절된 방사선의 강도의 측정치가, 웨이퍼 표면 법선에 대한 x 선 입사 각도의 함수로서 수집된다. 다수의 회절 차수에 포함되는 정보는, 고려 하에 있는 각각의 모델 파라미터 사이에서 통상적으로 고유하다. 따라서, x 선 산란은 작은 에러 및 감소된 파라미터 상관 관계를 갖는 주목하는 파라미터의 값에 대한 추정 결과를 산출한다.
반도체 웨이퍼(101)의 표면 법선에 대한 조명 x 선 빔(116)의 각각의 방위는, x 선 조명 빔(115)에 대한 웨이퍼(101)의 임의의 두 개의 각도 회전에 의해 설명되거나, 또는 그 반대도 가능하다. 하나의 예에서, 방위는 웨이퍼에 고정되는 좌표 시스템과 관련하여 설명될 수 있다. 도 4는 입사각 θ, 및 방위각 φ에 의해 설명되는 특정한 방위에서 웨이퍼(101) 상에 입사하는 x 선 조명 빔(116)을 묘사한다. 좌표 프레임 XYZ는, 계측 시스템(예를 들면, 조명 빔(116))에 고정되고 좌표 프레임 X'Y'Z'는 웨이퍼(101)에 고정된다. Y 축은 웨이퍼(101)의 표면과 평면에서 정렬된다. X 및 Z는 웨이퍼(101)의 표면과 정렬되지 않는다. Z'는 웨이퍼(101)의 표면에 수직인 축과 정렬되고, X' 및 Y'는 웨이퍼(101)의 표면과 정렬되는 평면 내에 있다. 도 4에서 묘사되는 바와 같이, x 선 조명 빔(116)은 Z 축과 정렬되고 따라서 XZ 평면 내에 놓인다. 입사각 θ는, XZ 평면에서 웨이퍼의 표면 법선에 대한 x 선 조명 빔(116)의 방위를 설명한다. 더구나, 방위각 φ는, X'Z' 평면에 대한 XZ 평면의 방위를 설명한다. 정리하면, θ 및 φ는, 웨이퍼(101)의 표면에 대한 x 선 조명 빔(116)의 방위를 고유하게 정의한다. 이 예에서, 웨이퍼(101)의 표면에 대한 x 선 조명 빔의 방위는, 웨이퍼(101)의 표면에 수직인 축(즉, Z' 축)을 중심으로 하는 회전 및 웨이퍼(101)의 표면과 정렬되는 축(즉, Y 축)을 중심으로 하는 회전에 의해 설명된다. 몇몇 다른 예에서, 웨이퍼(101)의 표면에 대한 x 선 조명 빔의 방위는, 웨이퍼(101)의 표면과 정렬되는 제1 축 및 웨이퍼(101)의 표면과 정렬되며 제1 축에 수직인 다른 축을 중심으로 하는 회전에 의해 설명된다.
하나의 양태에서, TSAXS 측정 시스템은 상대적으로 짧은 광학 경로 길이(예를 들면, 조명 소스로부터 검출기까지 3 미터 미만)에 걸쳐 경질 x 선 조명(예를 들면, 15 keV 이상)을 활용하여, 상대적으로 작은 치수(예를 들면, 대략 50 나노미터)로부터 상대적으로 큰 치수(예를 들면, 최대 10 마이크로미터)까지의 범위에 이르는 타겟을 측정한다. 일반적으로, 본원에서 설명되는 방법 및 시스템은, 감소된 광학 경로 길이를 갖는 반도체 구조체의 계측에 적절한 Q 공간 분해능을 가능하게 한다.
TSAXS 시스템의 최소 달성 가능한 분해능에 대한 기하학적 한계는 종종 최소 Q 값인 Qmin에 의해 특성 묘사된다. Qmin은 식 (1)로 표현되는데, 여기서 p는 검출기에서의 픽셀 사이즈이고, D는 피측정 샘플과 검출기 사이의 거리이며, λ는 x 선 방사선의 파장이다.
Figure 112019116378291-pct00001
식 (1)에 의해 예시되는 바와 같이, 피측정 샘플과 검출기 사이의 거리인 D가 감소함에 따라, 주어진 픽셀 사이즈에 대한 최소 달성 가능한 Q 값은 증가한다. D의 감소된 값에 대해 Q 분해능(즉, Qmin의 작은 값)을 유지하는 것은, 픽셀 사이즈에서의 비례적인 감소를 필요로 한다. 최첨단 반도체 계측 타겟의 계측은, 0.01 nm-1 미만의 Q 값을 갖는 TSAXS 시스템을 필요로 하는데, 이것은 픽셀 사이즈에 의해 제한되는 상업적으로 실행 가능한 TSAXS 시스템에 의해서는 현재로서는 달성되지 않는다.
비록 식 (1)이 Q 분해능에 대한 기하학적 한계를 설명하지만, 기하학적 한계에 도달하도록 억제되어야 하는 Q 한계에 대한 다른 한계가 존재한다. 하나의 예에서, 검출기에서의 광학 초점의 공간 범위는 달성 가능한 Q 분해능을 제한한다. 다른 예에서, 검출기에서의 광학 시스템의 점 확산 함수(point spread function; PSF)는 달성 가능한 Q 분해능을 제한한다.
추가 양태에서, 본원에 설명되는 TSAXS 측정은, 웨이퍼 표면 200 밀리미터 미만 이전에, 웨이퍼 표면에서, 검출기 표면에서, 또는 웨이퍼 표면과 검출기 표면 사이의 임의의 위치에서 집속되는 X 선 빔을 사용하여 샘플을 조명하여, 달성 가능한 Q 분해능에 대한 광학 초점 한계를 완화하는 것을 수반한다. 일반적으로, x 선 빔은, 가장 작은 가능한 조명 스팟 사이즈를 가지고 조명되어야만 하는 상대적으로 작은 타겟을 수반하는 계측 애플리케이션의 경우 웨이퍼 표면에 더 가깝게 집속된다. 또한, x 선 빔은, 높은 이미지 분해능 및 광자 플럭스가 소망되는 상대적으로 큰 타겟을 수반하는 계측 애플리케이션의 경우 검출기에 더 가깝게 집속된다.
몇몇 실시형태에서, TSAXS 측정 시스템의 초점은 도 1에서 예시되는 바와 같이 상대적으로 작은 타겟(예를 들면, 대략 50 내지 100 나노미터)의 측정을 위해 웨이퍼 표면에 또는 웨이퍼 표면 근처에 위치된다. 웨이퍼에 조명 초점을 위치시키는 것에 의해, 측정 스팟 사이즈는 피측정 구조체에서 가장 작다. 이것은 주목하는 타겟을 둘러싸는 구조체 상으로의 조명 광의 과잉에 기인하는 신호 오염을 최소화한다. 이 구성은, 유한한 측정 스팟 사이즈에 기인하는 신호 오염이 제한되는 작은 사이즈의 타겟에 대해 바람직하다. 그러나, 검출기보다는 웨이퍼에서 조명 초점을 위치시키는 것은, 검출기에 입사하는 빔 사이즈에서 증가를 초래한다. 이것은, 빔 발산에 기인하는 입사 빔의 회절된 부분의 중첩의 가능성을 증가시킨다. 이것은, 웨이퍼와 검출기 사이의 거리가 감소됨에 따라 각도 차수의 공간적 분리가 감소되기 때문에, 웨이퍼와 검출기 사이의 거리가 상대적으로 작은 치수(예를 들면, 1 미터 미만)로 감소됨에 따라 악화된다. 그러나, 작은 사이즈의 타겟(예를 들면, 100 나노미터 미만)의 경우, 회절된 차수 사이의 각도 분리는 상대적으로 크고 본원에서 설명되는 검출기 분해능 향상 기술은 검출기 초점 한계에 기인하는 한계를 극복한다.
다른 실시형태에서, TSAXS 측정 시스템의 초점은, 도 5에서 예시되는 바와 같이, 상대적으로 큰 타겟(예를 들면, 대략 1 내지 10 마이크로미터)의 측정을 위해 검출기 표면에 또는 검출기 표면 근처에 위치된다. 검출기에 조명 초점을 위치시키는 것에 의해, 측정 스팟 사이즈는, 피측정 타겟이 아니라, 검출기에서 가장 작다. 이 구성은, 주목하는 타겟을 둘러싸는 구조체 상으로의 조명 광의 과잉에 기인하는 신호 오염의 위험이 감소되기 때문에 웨이퍼에서의 유한한 측정 스팟 사이즈에 기인하는 신호 오염이 제한되지 않는 상대적으로 큰 사이즈 타겟에 대해 바람직하다. 그러나, 회절된 차수 사이의 각도 분리는 큰 사이즈 타겟에 대해 상대적으로 작다. 따라서, 검출기에서 각도 차수의 공간적 분리는 상대적으로 작다. 이것은, 웨이퍼와 검출기 사이의 거리가 상대적으로 작은 치수(예를 들면, 1 미터 미만)로 감소됨에 따라 악화된다. 검출기에서 조명 초점을 위치시키는 것에 의해, 초점 한계에 기인하는 입사 빔의 회절된 부분의 중첩의 가능성이 최소화된다. 더구나, 본원에서 설명되는 검출기 분해능 향상 기술은, 상대적으로 큰 타겟 사이즈의 경우 검출기에서의 차수의 상대적으로 작은 공간적 분리에 기인하는 한계를 극복한다.
일반적으로, 광학 초점의 위치는, 본원의 이전에서 설명되는 장점/단점의 동반하는 절충을 가지면서 피측정 샘플과 검출기 사이의 임의의 위치로 조정될 수도 있다. 일반적으로, 타겟 사이즈가 감소함에 따라, 광학 초점을 웨이퍼에 더 가깝게, 또는 웨이퍼 앞으로 이동시키는 것이 바람직하고, 타겟 사이즈가 증가됨에 따라, 광학 초점을 검출기에 더 가깝게 이동시키는 것이 바람직하다.
추가 양태에서, 본원에서 설명되는 TSAXS 측정은 달성 가능한 Q 분해능에 대한 검출기 PSF 한계를 완화하기 위해 작은 PSF를 갖는 고분해능 검출기를 수반한다. 광학 초점이 웨이퍼 및 검출기에 대해 위치되는 곳에 관계 없이, 웨이퍼 검출기 거리 D가 상대적으로 작은 값(예를 들면, 1 미터 미만)으로 감소되는 경우, 고분해능 검출기가 유리하다.
시스템의 PSF에 의해 설정되는 Q 분해능 한계는 계측의 요건 및 PSF의 소스에 의존한다. 예를 들면, 약한 산란에서, PSF는 주어진 Q에서 그 Q가 분해되기 위해서는 10 %로 떨어질 필요가 있을 수도 있다. 다른 예에서, PSF는 주어진 Q에서 그 Q가 분해되기 위해서는 1 %로 떨어질 필요가 있을 수도 있다. 높은 Q 분해능 및 가장 짧은 가능한 웨이퍼 대 검출기 거리 D를 가능하게 하기 위해, TSAXS 시스템은 Q 독립 PSF를 최소화하도록 설계된다. 몇몇 예에서, 검출기의 픽셀 사이즈는 100 마이크로미터 미만이고, 검출기 PSF는, 특정한 픽셀에 입사하는 회절된 광으로부터의 인접한 픽셀의 오염이 0.1 % 미만이도록 픽셀 사이즈보다 더 작다.
검출기 재료는 투과를 최소화하도록 선택된다. 또한, 검출기의 구성은 검출기 내에서 PSF 확대를 최소화한다. 결과적으로, 시스템 PSF는 검출기 위치에 독립적이다. 예를 들면, 전통적인 실리콘 기반의 검출기를 사용한 경질 x 선(예를 들면, 15keV 이상)의 검출은 상당한 Q 독립적인 PSF의 문제를 겪는다. 결과적으로, 반도체 구조체의 계측을 수행하기 위해서는, 큰 웨이퍼 대 검출기 거리 D(예를 들면, 2 미터 이상)가 요구된다. 감소된 투과 및 후방 산란을 통해, PSF 한계는 식 (1)에 의해 설명되는 기하학적 한계 아래로 억제되고, 1 미터 미만의 웨이퍼 대 검출기 거리 D(예를 들면, 600 밀리미터만큼 작은 D)에서 반도체 구조체의 계측이 달성된다.
몇몇 실시형태에서, TSAXS 시스템은, 높은 양자 효율성 및 다이나믹 레인지(예를 들면, 105 초과)를 갖는 하나 이상의 광자 카운팅 검출기 및 손상 없이 그리고 최소의 기생 후방 산란을 가지면서 입사 방사선을 흡수하는 두껍고 고도로 흡수성인 결정 기판(예를 들면, 500 마이크로미터보다 더 큰 두께)을 포함한다. 몇몇 실시형태에서, 단일의 광자 카운팅 검출기는 검출된 광자의 위치 및 수를 검출한다.
몇몇 실시형태에서, 제로 차수 빔(zero order beam)은 더 높은 회절 차수와 함께 수집된다. 제로 차수 빔은 다른 차수보다 10의 몇 승배 더 강하다. 검출기의 X 선 감지 섹션에서 제로 차수 빔이 완전히 흡수되지 않으면, 그것은 산란하여 기생 신호를 생성할 것이다. 이들 기생 신호의 강도는 측정의 다이나믹 레인지를 제한한다. 예를 들면, 기생 신호가 가장 큰 플럭스 신호(즉, 제로 차수 신호)의 10-4이면, 많은 더 높은 차수와 관련되는 신호는 오염될 것이다. 따라서, 검출기(예를 들면, 검출기(119))는 전자 정공 쌍에 대한 X 선의 높은 변환 효율성 및 높은 X 선 흡수를 나타내어 X 선 계측의 유효 다이나믹 레인지를 증가시키는 것이 중요하다.
작은 풋프린트 엑스레이 산란측정법에 적절한 예시적인 검출기 재료는, 카드뮴 텔루르화물(CdTe), 게르마늄(Ge) 및 갈륨 비화물(GaAs) 결정, 및 기타를 포함한다. 몇몇 실시형태에서, 검출기 재료는 소스 에너지에 대응하는 좁은 에너지 대역에서 높은 변환 효율성을 제공하도록 선택된다.
몇몇 실시형태에서, 검출기 재료의 두께는 유입하는 X 선의 소망되는 흡수를 달성하도록 선택된다. 몇몇 실시형태에서, 검출기 재료를 통한 X 선 빔의 경로 길이를 증가시키고, 따라서 총 흡수량을 증가시키기 위해, 검출기는 유입하는 X 선 빔(다양한 회절 차수)과 관련하여 기울어진다.
몇몇 실시형태에서, SNR을 향상시키기 위해 이중 임계치 검출기가 활용된다.
추가 양태에서, TSAXS 시스템은, 다수의 측정된 회절 차수에 기초하여 시료의 속성(예를 들면, 구조적 파라미터 값)을 결정하기 위해 활용된다. 도 1에서 묘사되는 바와 같이, 계측 툴(100)은, 검출기(119)에 의해 생성되는 신호(135)를 획득하도록 그리고 획득된 신호에 적어도 부분적으로 기초하여 시료의 속성을 결정하도록 활용되는 컴퓨팅 시스템(130)을 포함한다.
TSAXS 측정에서, 고 애스펙트비의 제조된 구조체는 시준된 X 선 빔을 회절 차수로 회절시킨다. 각각의 회절 차수는, 특정한 예측 가능한방향으로 이동한다. 회절 차수의 각도 간격은, 파장에 의해 나누어지는 시료의 격자 상수(lattice constant)에 반비례한다. 회절 차수는 웨이퍼로부터 어떤 거리에 배치되는 검출기 어레이에 의해 검출된다. 검출기의 각각의 픽셀은 픽셀에 충돌하는 광자의 수를 나타내는 신호를 출력한다.
회절 차수의 강도는 I(m, n, θ, φ, λ)의 형태를 가지는데, 여기서 {m, n}은 회절 차수의 정수 인덱스이고, {θ, φ}는 입사 빔의 고도 및 방위각(즉, 웨이퍼에 고정되는 좌표 시스템에 대한 입사 주 광선의 극좌표)이고, λ는 입사 X 선의 파장이다.
몇몇 노이즈 소스는, 그것이 조명을 빠져나가고 시료를 향해 전파될 때, 조명 광을 교란시킨다. 예시적인 방해는, 전자 빔 전류 변동, 온도 유도 광학기기 표류, 등등을 포함한다. 교란된 입사 플럭스는 F0(1 + n1)로 나타내어진다.
타겟은, 입사 빔의 방위각 및 앙각에 의존하는 방식으로 입사 방사선을 산란시킨다. 차수(m, n)로의 광 산란의 효율성은 Smn(θ, φ)으로서 정의될 수 있다. 회절된 광이 시료로부터 검출기로 전파될 때, 빔은, 약간의 변동(1 + n2) 및 기생 노이즈(n3)를 가지고 모든 차수에 유사하게 영향을 끼치는 다른 산란 매체를 통과한다. 이러한 방식으로, 시간 t에서 측정되는 각각의 차수의 총 강도(Imn)는 식 (2)에 의해 표현될 수 있다.
Figure 112019116378291-pct00002
도 6은 계측 시스템(100)과 같은 계측 시스템에 의해 측정되는 산란된 차수의 이미지(171)를 묘사한다. 도 6에서 예시되는 바와 같이, 이미지의 중앙의 밝은 스팟은 제로 차수 빔과 관련된다.
각각의 차수의 강도는 많은 방식으로 추출될 수 있다. 몇몇 실시형태에서, 회절 차수는 검출기에서 공간적으로 분리된다. 이들 실시형태에서, 회절 차수는 검출기 어레이에 의해 개별적으로 검출되고, 동일한 회절 차수와 관련되는 픽셀의 출력은 결합된다(즉, 추가된다). 이러한 방식에서, 검출된 회절 차수는 각각의 특정한 회절 차수와 관련되는 픽셀의 광자 카운트를 축적하는 것에 의해 식별된다. 이 시나리오는, 상대적으로 작은 피치 피쳐를 측정할 때 또는 상대적으로 작은 발산을 갖는 빔을 사용하여 측정할 때 발생할 가능성이 높다.
몇몇 다른 실시형태에서, 회절 차수는 공간적으로 중첩된다. 이것은, 상대적으로 작은 웨이퍼 대 검출기 거리 D(예를 들면, 2 미터 미만의 D)를 가지고 상대적으로 큰 타겟(예를 들면, 1 마이크로미터 이상의 피치를 갖는 타겟)에 대해 TSAXS 계측을 수행할 때 또는 상대적으로 큰 발산을 갖는 빔을 사용하여 측정할 때 통상적이다. 이들 실시형태에서, 회절 차수는 주목하는 구조적 파라미터의 값을 추정하도록 Q 공간에서 분리된다. 이들 실시형태 중 일부에서, 회절된 차수의 형상은, 이용 가능한 빔 형상 정보에 기초하여 추정되고, 중첩에 기인하는 Q 분해능 손실을 설명하기 위해 정확한 빔 모델이 활용된다. 이것은 온디바이스 계측(on-device metrology)의 요건을 충족시키는 데 특히 중요하다. 몇몇 현존하는 계측 시스템은, 시스템이 회절된 차수의 형상을 추정하는 데 필요한 빔 형상 정보에 대한 액세스를 방지하는 빔 정지부를 활용하기 때문에, 중첩하는 차수의 분리를 수행할 수 없다. 그러한 시스템은, 발명의 명칭이 "X-ray scatterometry apparatus"인 Mazor 등등에게 주어진 미국 특허 제9,606,073호에서 설명되는데, 그 내용은 참조에 의해 그 전체가 본원에 통합된다.
회절 차수가 검출기에서 공간적으로 중첩되는 경우, 특정한 회절 차수와 관련되는 강도를 결정하기 위해 픽셀 출력은 단순히 결합될 수 없다. 이들 실시형태에서, 측정 모델은 각각의 검출된 회절 차수의 측정된 강도를 식별하기 위해, 회절 차수를 디컨볼빙하도록(deconvolve) 활용된다.
몇몇 실시형태에서, 중첩된 차수는 측정된 제로 차수 빔 형상에 기초하여 디컨볼빙된다. 몇몇 실시형태에서, 이 디컨볼루션(deconvolution)은 실시간으로 수행된다. 더 높은 회절된 차수(즉, 제로보다 더 큰 차수)의 빔 프로파일은, 제로 차수 빔의 프로파일에 기초하여 모델링된다. 도 7은 계측 시스템(100)과 같은 계측 시스템에 의해 측정되는 산란된 차수의 이미지(172)를 묘사한다. 도 8은 도 7에서 묘사되는 이미지(172)의 단면 C와 관련되는 강도 프로파일의 플롯(173)을 묘사한다. 상대적으로 높은 강도의 제로 차수 빔은, 더 높은 회절 차수를 모델링하기 위해 사용되는 매우 정확한 빔 프로파일을 제공한다.
몇몇 실시형태에서, 각각의 더 높은 회절 차수의 강도는, 강도의 간단한 분할에 의해, 또는 다른 방법으로 측정된 제로 차수 빔에 대해 추정된다. 이러한 방식에서, 상대적으로 약한 더 높은 차수 신호와 관련되는 측정 불확실성이 상당히 감소된다.
동시에 측정된 제로 차수 빔에 기초하여 더 높은 회절 차수의 강도를 추정하는 것에 의해, 산란 신호는 데이터 수집 동안 시스템 교란으로부터 분리된다. 광학 컴포넌트(예를 들면, 슬릿, 광학기기, 스팟 형상)의 오정렬에 기인하는 교란 및 빔 경로(예를 들면, n1 및 n2)를 따른 교란은 실시간으로 완화된다. 제로 차수를 비롯한, 모든 산란된 강도를 사용하는 것에 의해, 측정된 시료의 두께 또는 재료 밀도에 대한 산란된 강도의 의존성은, 웨이퍼 이전 및 이후의 플럭스 교란으로부터 분리된다.
검출기의 결정(crystal)에서의 전자 구름으로의 고 에너지 광자의 물리적 변환은 또한, 높은 q 분해능, 짧은 광학 경로 길이 TSAXS 시스템에 대한 검출 한계를 설정한다. 전자 구름은, 반도체 구조체의 짧은 광학 경로 길이 TAXS 계측을 수행하기에 픽셀 사이즈가 충분히 작은 경우, 단일의 광자 이벤트가 여러 픽셀에 걸쳐 검출되게 한다.
다른 양태에서, 광자 변환 이벤트에 의해 자극되는 전자의 구름의 질량 중심의 위치는 검출기(예를 들면, 검출기(119))에 의해 계산된다. 질량 중심의 위치는 서브픽셀 정확도를 가지고 입사 광자의 위치를 제공한다. 이것은 픽셀 사이즈를 효과적으로 감소시키고 식 (1)에 의해 설명되는 기하학적 한계를 넘는 Q 분해능을 가능하게 한다. 본원에서 설명되는 바와 같은 서브픽셀 공간 보간(interpolation)은 1 미터 미만의 웨이퍼 대 검출기 거리에서의 반도체 구조체의 TSAXS 측정의 경우에 바람직하다.
몇몇 실시형태에서, 상대적으로 짧은 광학 경로 길이를 갖는 TSAXS 시스템은 수직으로 배치되는 웨이퍼에 입사하는 수평 광학 경로를 가지고 구성된다(즉, 웨이퍼 표면에 대한 법선은 중력 벡터에 대략 수직이다). 그러나, 몇몇 다른 실시형태에서, 상대적으로 짧은 광학 경로 길이를 갖는 TSAXS 시스템은 수평으로 배치되는 웨이퍼 상에 입사하는 수직 광학 경로를 가지고 구성된다(즉, 웨이퍼 표면에 대한 법선은 중력 벡터와 대략 평행하다). 빔 라인을 수직으로 배향시키는 것에 의해, 더 작은 툴 풋프린트가 달성될 수도 있고 웨이퍼 핸들링이 단순화된다.
추가 양태에서, x 선 검출기는 하나 이상의 x 선 광자 에너지를 분해하고, 시료의 속성을 나타내는 각각의 x 선 에너지 성분에 대한 신호를 생성한다. 몇몇 실시형태에서, x 선 검출기(119)는, CCD 어레이, 마이크로채널 플레이트, 포토다이오드 어레이, 마이크로스트립 비례 계수기(microstrip proportional counter), 가스 충전 비례 계수기(gas filled proportional counter), 신틸레이터, 또는 형광 재료 중 임의의 것을 포함한다.
이러한 방식으로, 검출기 내의 X 선 광자 상호 작용은, 픽셀 위치 및 카운트의 수 외에 에너지에 의해 구별된다. 몇몇 실시형태에서, X 선 광자 상호 작용은, X 선 광자 상호 작용의 에너지를, 미리 결정된 상한 임계 값 및 미리 결정된 더 낮은 임계 값과 비교하는 것에 의해 구별된다. 하나의 실시형태에서, 이 정보는 추가 프로세싱 및 저장을 위해 출력 신호(135)를 통해 컴퓨팅 시스템(130)에 전달된다.
몇몇 실시형태에서, 피측정 타겟은 일차원에서 주기적이다(예를 들면, FinFET 구조체). 그러한 만큼, 검출기에서 TSAXS 시스템의 PSF를 하나의 방향에서만 최소화할 필요가 있다. 그러나, 몇몇 다른 실시형태에서, 피측정 타겟은 이차원에서 주기적이다(예를 들면, VNAND 콘택). 이들 실시형태에서, 검출기에서 TSAXS 시스템의 PSF를 양방향으로 최소화하는 것이 유리하다.
다른 양태에서, TSAXS 시스템은, 하나의 방향에서 공간적으로 분리된 차수, 그러나 직교 방향에서 중첩하는 차수에 기초하여 타겟을 측정하도록 구성된다. 이들 실시형태 중 일부에서, 주목하는 하나 이상의 파라미터의 값(들)은, 회절 차수가 공간적으로 분리되는 방향에서 결정된다. 이들 파라미터 값은, 후속하여, 중첩하는 차수에 기초하여 주목하는 하나 이상의 파라미터의 값(들)을 결정하기 위해 활용된다.
몇몇 실시형태에서, x 선 조명 소스(110), 집속 광학기기(111), 슬릿(112 및 113), 또는 이들의 임의의 조합은, 시료(101)과 동일한 대기 환경(예를 들면, 가스 정화 환경(gas purge environment))에서 유지된다. 그러나, 몇몇 실시형태에서, 이들 엘리먼트 사이의 그리고 이들 엘리먼트 중 임의의 것 내의 광학 경로 길이는 길고 공기 중에서의 X 선 산란은 검출기 상의 이미지에 노이즈를 제공한다. 따라서, 몇몇 실시형태에서, x 선 조명 소스(110), 집속 광학기기(111), 및 슬릿(112 및 113) 중 임의의 것은, 진공 윈도우에 의해 시료(예를 들면, 시료(101))로부터 그리고 서로로부터 분리되는 국소화된 진공 환경(vacuum window)에서 유지된다.
마찬가지로, 몇몇 실시형태에서, x 선 검출기(119)는 시료(101)와 동일한 대기 환경(예를 들면, 가스 정화 환경)에서 유지된다. 그러나, 몇몇 실시형태에서, 시료(101)와 x 선 검출기(119) 사이의 거리는 길고, 공기 중에서의 X 선 산란은 검출된 신호에 노이즈를 제공한다. 그러므로, 몇몇 실시형태에서, x 선 검출기 중 하나 이상(예를 들면, 검출기(119))은, 진공 윈도우에 의해 시료(예를 들면, 시료(101))로부터 분리되는 국소화된 진공 환경에서 유지된다.
도 9는, x 선 조명 소스(110)를 포함하는 진공 챔버(160), 집속 광학기기(111)를 포함하는 진공 챔버(162), 및 슬릿(112 및 113)을 포함하는 진공 챔버(163)를 예시하는 도면이다. 각각의 진공 챔버의 개구는 진공 윈도우에 의해 커버된다. 예를 들면, 진공 챔버(160)의 개구는 진공 윈도우(161)에 의해 커버된다. 마찬가지로, 진공 챔버(163)의 개구는 진공 윈도우(164)에 의해 커버된다. 진공 윈도우는, x 선 방사선에 대해 실질적으로 투명한 임의의 적절한 재료(예를 들면, 캡톤(Kapton), 베릴륨(Beryllium), 등등)로 구성될 수도 있다. 조명 빔의 산란을 최소화하기 위해, 각각의 진공 챔버 내에 적절한 진공 환경이 유지된다. 적절한 진공 환경은 임의의 적절한 레벨의 진공, 낮은 원자 번호를 갖는 가스(예를 들면, 헬륨)를 포함하는 임의의 적절한 정화된 환경(purged environment), 또는 이들의 임의의 조합을 포함할 수도 있다. 이러한 방식으로, 빔 경로 중 가능한 한 많은 빔 경로가 진공에 위치되어 플럭스를 최대화하고 산란을 최소화한다.
몇몇 실시형태에서, 시료(101)를 비롯한 전체 광학 시스템은 진공으로 유지된다. 그러나, 일반적으로, 시료(101)를 진공에서 유지하는 것과 관련되는 비용은, 시료 위치 결정 시스템(140)의 구성과 관련되는 복잡성에 기인하여 높다.
다른 추가 양태에서, 빔 성형 슬릿 메커니즘(120)은, 대기 환경에 노출되는 빔 경로 길이를 최소화하기 위해 진공 챔버(163)와 기계적으로 통합된다. 일반적으로, 시료(101)에 대한 입사 이전에 진공에서 빔 중 가능한 한 많은 빔을 캡슐화하는 것이 바람직하다. 몇몇 실시형태에서, 진공 빔 라인은 빔 성형 슬릿 메커니즘(120)의 입력에서 중공의 원통형 형상의 공동(hollow, cylindrically shaped cavity) 안으로 연장된다. 진공 윈도우(164)는, 유입하는 빔(115)이 빔 성형 슬릿 메커니즘(120)의 일부 내에서 진공으로 유지되고, 그 다음, 슬릿(126-129) 및 시료(101) 중 임의의 것 및 시료(101)와의 상호 작용 이전에 진공 윈도우(164)를 통과하도록, 빔 성형 슬릿 메커니즘(120) 내의 진공 챔버(163)의 출력에 위치된다.
도 1에서 묘사되는 실시형태에서, 집속 광학기기(111), 슬릿(112 및 113), 및 빔 성형 슬릿 메커니즘(120)은 비행 튜브(118) 내의 제어된 환경(예를 들면, 진공) 내에 유지된다.
다른 추가적인 양태에서, 컴퓨팅 시스템(130)은 시료의 측정된 구조체의 구조적 모델(예를 들면, 기하학적 형상 모델, 재료 모델, 또는 결합된 기하학적 형상 및 재료 모델)을 생성하도록, 구조적 모델로부터의 적어도 하나의 기하학적 형상 파라미터를 포함하는 TSAXS 응답 모델을 생성하도록, 그리고 TSAXS 응답 모델을 사용한 TSAXS 측정 데이터의 피팅 분석(fitting analysis)을 수행하는 것에 의해 적어도 하나의 시료 파라미터 값을 분해하도록 구성된다. 분석 엔진은, 시뮬레이팅된 TSAXS 신호를 측정된 데이터와 비교하고 그에 의해 기하학적 형상 속성뿐만 아니라 샘플의 전자 밀도와 같은 재료 속성의 결정을 허용하기 위해 사용된다. 도 1에서 묘사되는 실시형태에서, 컴퓨팅 시스템(130)은, 본원에서 설명되는 바와 같은 모델 구축 및 분석 기능성(functionality)을 구현하도록 구성되는 모델 구축 및 분석 엔진으로서 구성된다.
도 10은 컴퓨팅 시스템(130)에 의해 구현되는 예시적인 모델 구축 및 분석 엔진(150)을 예시하는 도면이다. 도 10에서 묘사되는 바와 같이, 모델 구축 및 분석 엔진(150)은, 시료의 측정된 구조체의 구조적 모델(152)을 생성하는 구조적 모델 구축 모듈(151)을 포함한다. 몇몇 실시형태에서, 구조적 모델(152)은 또한 시료의 재료 속성을 포함한다. 구조적 모델(152)은 TSAXS 응답 함수 구축 모듈(153)에 대한 입력으로서 수신된다. TSAXS 응답 함수 구축 모듈(153)은 구조적 모델(152)에 적어도 부분적으로 기초하여 TSAXS 응답 함수 모델(155)을 생성한다. 몇몇 예에서, TSAXS 응답 함수 모델(155)은, 다음의 x 선 폼 팩터에 기초하는데,
Figure 112019116378291-pct00003
여기서, F는 폼 팩터이고, q는 산란 벡터이며, ρ(r)은 구 좌표(spherical coordinate)에서의 시료의 전자 밀도이다. 그러면, x 선 산란 강도는, 다음의 식에 의해 주어진다.
Figure 112019116378291-pct00004
TSAXS 응답 함수 모델(155)은 피팅 분석 모듈(fitting analysis module)(157)에 대한 입력으로서 수신된다. 피팅 분석 모듈(157)은 모델링된 TSAXS 응답을 대응하는 측정된 데이터와 비교하여, 시료의 기하학적 형상의 속성뿐만 아니라 재료 속성을 결정한다.
몇몇 예에서, 실험 데이터에 대한 모델링된 데이터의 피팅은 카이 제곱 값(chi-squared value)을 최소화하는 것에 의해 달성된다. 예를 들면, TSAXS 측정의 경우, 카이 제곱 값은 다음과 같이 정의될 수 있는데,
Figure 112019116378291-pct00005
여기서,
Figure 112019116378291-pct00006
는 "채널" j에서의 측정된 TSAXS 신호(135)이고, 여기서 인덱스 j는 회절 차수, 에너지, 각도 좌표, 등등과 같은 시스템 파라미터의 세트를 설명한다.
Figure 112019116378291-pct00007
(v1, ..., vL)은, 구조체 (타겟) 파라미터(v1, ..., vL)의 세트에 대해 평가되는, "채널" j에 대한 모델링된 TSAXS 신호(Sj)이고, 여기서 이들 파라미터는 기하학적 형상(예를 들면, CD, 측벽 각도, 오버레이, 등등) 및 재료(전자 밀도, 등등)를 설명한다. σSAXS,j는 j 번째 채널과 관련되는 불확실성이다. NSAXS는 x 선 계측에서의 채널의 총 수이다. L은 계측 타겟을 특성 묘사하는 파라미터의 수이다.
식 (5)는 상이한 채널과 관련되는 불확실성이 상관되지 않는다는 것을 가정한다. 상이한 채널과 관련되는 불확실성이 상관되는 예에서, 불확실성 사이의 공분산(covariance)이 계산될 수 있다. 이들 예에서, x 선 산란측정법 측정을 위한 카이 제곱 값은 다음과 같이 표현될 수 있는데,
Figure 112019116378291-pct00008
여기서, VSAXS는 SAXS 채널 불확실성의 공분산 매트릭스이고, T는 전치 행렬(transpose)을 나타낸다.
몇몇 예에서, 피팅 분석 모듈(157)은 TSAXS 응답 모델(155)을 사용한 TSAXS 측정 데이터(135)에 대해 피팅 분석을 수행하는 것에 의해 적어도 하나의 시료 파라미터 값을 분해한다. 몇몇 예에서,
Figure 112019116378291-pct00009
가 최적화된다.
본원의 상기에서 설명되는 바와 같이, TSAXS 데이터의 피팅은 카이 제곱 값의 최소화에 의해 달성된다. 그러나, 일반적으로, TSAXS 데이터의 피팅은 다른 함수에 의해 달성될 수도 있다.
TSAXS 데이터의 피팅은, 주목하는 기하학적 형상 파라미터 및/또는 재료 파라미터에 대한 감도를 제공하는 임의의 타입의 TSAXS 기술에 대해 유리하다. 시료 파라미터는, 시료와의 TSAXS 빔 상호 작용을 설명하는 적절한 모델이 사용되는 한, 결정론적일 수 있거나(예를 들면, CD, SWA, 등등) 또는 통계적일 수 있다(예를 들면, 측벽 조도의 rms 높이, 조도 상관 길이, 등등).
일반적으로, 컴퓨팅 시스템(130)은, 실시간 임계 치수 기입(Real Time Critical Dimensioning; RTCD)을 활용하여 실시간으로 모델 파라미터에 액세스하도록 구성되거나, 또는 그것은 시료(101)와 관련되는 적어도 하나의 시료 파라미터 값의 값을 결정하기 위해 사전 계산된 모델의 라이브러리에 액세스할 수도 있다. 일반적으로, CD 엔진의 몇몇 형태는, 시료의 할당된 CD 파라미터와 측정된 시료와 관련되는 CD 파라미터 사이의 차이를 평가하기 위해 사용될 수도 있다. 시료 파라미터 값을 계산하기 위한 예시적인 방법 및 시스템은, KLA-Tencor Corp.에게 2010년 11월 2일자로 발행된 미국 특허 제7,826,071호에서 설명되는데, 이 특허의 전체 내용은 참조에 의해 본원에 통합된다.
몇몇 예에서, 모델 구축 및 분석 엔진(150)은, 피드 사이드웨이 분석(feed sideways analysis), 피드 포워드 분석(feed forward analysis), 및 병렬 분석의 임의의 조합에 의해, 측정된 파라미터의 정확도를 향상시킨다. 피드 사이드웨이 분석은, 동일한 시료의 상이한 영역 상에서 다수의 데이터 세트를 취하고 제1 데이터세트로부터 결정되는 공통 파라미터를 분석을 위해 제2 데이터세트 상으로 전달하는 것을 가리킨다. 피드 포워드 분석은, 상이한 시료 상에서 데이터 세트를 취하고 공통 파라미터를 단계별 사본의 정확한 파라미터 피드 포워드 접근법(a stepwise copy exact parameter feed forward approach)을 사용하여 후속하는 분석으로 순방향으로 전달하는 것을 의미한다. 병렬 분석은, 피팅 동안 적어도 하나의 공통 파라미터가 커플링되는 다수의 데이터세트에 대한 비선형 피팅 방법론의 병렬 또는 동시 적용을 가리킨다.
다수의 툴 및 구조체 분석은, 회귀 분석, 룩업 테이블(즉, "라이브러리" 매칭), 또는 다수의 데이터세트의 다른 피팅 프로시져에 기초한 피드 포워드, 피드 사이드웨이(feed sideway), 또는 병렬 분석을 가리킨다. 다수의 툴 및 구조체 분석을 위한 예시적인 방법 및 시스템은, KLA-Tencor Corp.에게 2009년 1월 13일에 발행된 미국 특허 제7,478,019호에서 설명되는데, 이 미국 특허의 전체 내용은 참조에 의해 본원에 통합된다.
하나의 추가적인 양태에서, 계측 툴(100)은 본원에서 설명되는 바와 같은 빔 제어 기능성을 구현하도록 구성되는 컴퓨팅 시스템(예를 들면, 컴퓨팅 시스템(130))을 포함한다. 도 1에서 묘사되는 실시형태에서, 컴퓨팅 시스템(130)은, 입사하는 조명 빔(117)의 강도, 발산, 스팟 사이즈, 편광, 스펙트럼, 및 위치 결정과 같은 조명 속성 중 임의의 것을 제어하도록 동작 가능한 빔 컨트롤러로서 구성된다.
도 1에서 예시되는 바와 같이, 컴퓨팅 시스템(130)은 검출기(119)에 통신 가능하게 커플링된다. 컴퓨팅 시스템(130)은 검출기(119)로부터 측정 데이터(135)를 수신하도록 구성된다. 하나의 예에서, 측정 데이터(135)는 시료의 측정된 응답의 표시(indication)(즉, 회절 차수의 강도)를 포함한다. 검출기(119)의 표면 상에서의 측정된 응답의 분포에 기초하여, 시료(101) 상의 조명 빔(116)의 입사의 위치 및 영역은 컴퓨팅 시스템(130)에 의해 결정된다. 하나의 예에서, 측정 데이터(135)에 기초하여 시료(101) 상의 조명 빔(116)의 입사의 위치 및 영역을 결정하기 위해, 패턴 인식 기술이 컴퓨팅 시스템(130)에 의해 적용된다. 몇몇 예에서, 컴퓨팅 시스템(130)은, 소망되는 조명 파장을 선택하기 위해 커맨드 신호(137)를 조명 소스(110)로, 그리고 입사 조명 빔(116)이 시료(101)에 대하여 소망되는 위치 및 각도 방위에 도달하도록 조명 빔(116)을 재지향 및 재성형하기 위해 커맨드 신호(136)를 빔 성형 메커니즘(120)으로 전달한다. 몇몇 다른 예에서, 컴퓨팅 시스템(130)은, 입사 조명 빔(116)이 시료(101)에 대해 소망되는 위치 및 각도 방위에 도달하도록 시료(101)를 위치 결정하고 배향하기 위해, 웨이퍼 위치 결정 시스템(140)으로 커맨드 신호를 전달한다.
다른 양태에서, x 선 산란측정법 측정 데이터는, 검출된 회절 차수의 측정된 강도에 기초하여 측정된 구조체의 이미지를 생성하기 위해 사용된다. 몇몇 실시형태에서, TSAXS 응답 함수 모델은, 일반 전자 밀도 메시(generic electron density mesh)로부터의 산란을 설명하기 위해 일반화된다. 이 메시에서 모델링된 전자 밀도를 제한하여 연속성 및 희소한 에지를 강제하면서, 측정된 신호에 이 모델을 매치시키는 것은 샘플의 삼차원 이미지를 제공한다.
비록 TSAXS 측정에 기초한 임계 치수(CD) 계측에 대해 기하학적 형상의 모델 기반의 파라메트릭 반전(geometric, model-based, parametric inversion)이 바람직하지만, 동일한 TSAXS 측정 데이터로부터 생성되는 시료의 맵은, 측정된 시료가 기하학적 형상 모델의 가정치로부터 벗어날 때 모델 에러를 식별 및 보정하는 데 유용하다.
몇몇 예에서, 이미지는 동일한 산란측정법 측정 데이터의 기하학적 형상의 모델 기반의 파라메트릭 반전에 의해 추정되는 구조적 특성에 비교된다. 불일치는, 측정된 구조체의 기하학적 형상 모델을 업데이트하기 위해 그리고 측정 성능을 향상시키기 위해 사용된다. 정확한 파라메트릭 측정 모델에 수렴하는 능력은, 집적 회로의 제조 프로세스를 제어, 모니터링, 및 문제 해결하기 위해 집적 회로를 측정할 때 특히 중요하다.
몇몇 예에서, 이미지는 전자 밀도, 흡수율, 복합 굴절률, 또는 이들 재료 특성의 조합의 이차원(two dimensional; 2-D) 맵이다. 몇몇 예에서, 이미지는 전자 밀도, 흡수율, 복합 굴절률, 또는 이들 재료 특성의 조합의 삼차원(three dimensional; 3-D) 맵이다. 맵은 상대적으로 적은 물리적 제약을 사용하여 생성된다. 몇몇 예에서, 임계 치수(CD), 측벽 각도(sidewall angle; SWA), 오버레이, 에지 배치 에러, 피치 워크(pitch walk), 등등과 같은 주목하는 하나 이상의 파라미터는 결과적으로 나타나는 맵으로부터 직접적으로 추정된다. 몇몇 다른 예에서, 샘플 기하학적 형상 또는 재료가 모델 기반의 CD 측정을 위해 활용되는 파라메트릭 구조적 모델에 의해 예상되는 기대 값의 범위를 벗어날 때, 맵은 웨이퍼 프로세스를 디버깅하는 데 유용하다. 하나의 예에서, 구조체의 측정된 파라미터에 따라 파라메트릭 구조적 모델에 의해 예측되는 구조체의 렌더링과 맵 사이의 차이는, 파라메트릭 구조적 모델을 업데이트하기 위해 그리고 그것의 측정 성능을 향상시키기 위해 사용된다. 또 다른 세부 사항은 미국 특허 공개 공보 제2015/0300965호에서 설명되는데, 이 미국 특허 공개 공보의 내용은 참조에 의해 그 전체가 본원에 통합된다. 추가적인 세부 사항은 미국 특허 공보 제2015/0117610호에서 설명되는데, 이 미국 특허 공개 공보의 내용은 참조에 의해 그 전체가 본원에 통합된다.
추가 양태에서, 모델 구축 및 분석 엔진(150)은 결합된 x 선 및 광학 측정 분석을 위한 모델을 생성하기 위해 활용된다. 몇몇 예에서, 광학적 시뮬레이션은, 예를 들면, 광학적 신호 예컨대 상이한 편광에 대한 반사율, 타원편광 해석 파라미터, 상 변화, 등등을 계산하기 위해 맥스웰(Maxwell) 방정식을 풀어야 하는 엄격한 결합파 분석(rigorous coupled-wave analysis; RCWA)에 기초한다.
주목하는 하나 이상의 관심 파라미터의 값은, 결합된 기하학적으로 파라미터화된 응답 모델을 사용한, 복수의 상이한 입사각에서의 x 선 회절 차수의 검출된 강도 및 검출된 광학적 강도의 결합된 피팅 분석에 기초하여 결정된다. 광학적 강도는, 도 1에서 묘사되는 시스템(100)과 같은 x 선 계측 시스템과 기계적으로 통합될 수도 있는 또는 통합되지 않을 수도 있는 광학 계측 툴에 의해 측정된다. 또 다른 세부 사항은, 미국 특허 공개 공보 제2014/0019097호 및 미국 특허 공보 제2013/0304424호에서 설명되는데, 각각의 내용은 그들 전체가 참조에 의해 본원에 통합된다.
몇몇 실시형태에서, 본원에서 설명되는 바와 같은 x 선 산란측정법 측정에 의해 특성 묘사되는 계측 타겟은 피측정 웨이퍼의 스크라이브 라인 내에 위치된다. 이들 실시형태에서, 계측 타겟은 스크라이브 라인의 폭 내에 맞도록 사이즈가 정해진다. 몇몇 예에서, 스크라이브 라인 폭은 80 마이크로미터 미만이다. 몇몇 예에서, 스크라이브 라인은 50 마이크로미터 미만이다. 일반적으로, 반도체 제조에서 활용되는 스크라이브 라인의 폭은 점점 더 작아지고 있는 추세이다.
몇몇 실시형태에서, 본원에서 설명되는 바와 같은 x 선 산란측정법 측정에 의해 특성 묘사되는 계측 타겟은, 피측정 웨이퍼의 활성 다이 영역 내에 위치되고 기능적 집적 회로(예를 들면, 메모리, 이미지 센서, 로직 디바이스, 등등)의 일부이다.
일반적으로, 계측 타겟은, 계측 타겟의 최대 측면 범위 치수(즉, 웨이퍼 표면과 정렬되는 치수)에 의해 나누어지는 최대 높이 치수(즉, 웨이퍼 표면에 수직인 치수)로서 정의되는 애스펙트비에 의해 특성 묘사된다. 몇몇 실시형태에서, 피측정 계측 타겟은 적어도 20의 애스펙트비를 갖는다. 몇몇 실시형태에서, 계측 타겟은 적어도 40의 애스펙트비를 갖는다.
도 11a 내지 도 11c는 본원에서 설명되는 방식으로 측정을 받게 되는 통상적인 3D FLASH 메모리 디바이스(190)의 등각 투영도, 상면도, 및 단면도를 각각 묘사한다. 메모리 디바이스(190)의 전체 높이(또는 등가적으로 깊이)는 1에서부터 수 마이크로미터까지의 범위에 걸친다. 메모리 디바이스(190)는 수직으로 제조되는 디바이스이다. 메모리 디바이스(190)와 같은 수직으로 제조된 디바이스는, 본질적으로, 종래의 평면의 메모리 디바이스를 90 도 회전시켜, 비트 라인 및 셀 스트링을 (웨이퍼 표면에 수직인) 수직으로 배향한다. 충분한 메모리 용량을 제공하기 위해, 상이한 재료의 많은 수의 교대하는 층이 웨이퍼 상에 퇴적된다. 이것은, 100 나노미터 이하의 최대 측면 범위를 갖는 구조체에 대해 수 미크론의 깊이까지 잘 수행할 것을 패턴화 프로세스에게 요구한다. 결과적으로, 25 대 1 또는 50 대 1의 애스펙트비가 보기 드문 것은 아니다.
본 개시의 전체에 걸쳐 설명되는 다양한 단계는 단일의 컴퓨터 시스템(130), 또는, 대안적으로, 다수의 컴퓨터 시스템(130)에 의해 수행될 수도 있다는 것이 인식되어야 한다. 또한, 시료 위치 결정 시스템(140)과 같은 시스템(100)의 상이한 서브시스템은, 본원에서 설명되는 단계의 적어도 일부를 수행하기에 적합한 컴퓨터 시스템을 포함할 수도 있다. 따라서, 상기 언급된 설명은 본 발명에 대한 제한으로서 해석되어선 안되며 단지 예시로서 해석되어야 한다. 또한, 하나 이상의 컴퓨팅 시스템(130)은 본원에서 설명되는 방법 실시형태 중 임의의 실시형태의 임의의 다른 단계(들)를 수행하도록 구성될 수도 있다.
또한, 컴퓨터 시스템(130)은 기술 분야에서 공지되어 있는 임의의 방식으로 검출기(119) 및 조명 광학 엘리먼트에 통신 가능하게 커플링될 수도 있다. 예를 들면, 하나 이상의 컴퓨팅 시스템(130)은 검출기(119)와 관련되는 컴퓨팅 시스템에 커플링될 수도 있다. 다른 예에서, 검출기(119)는 컴퓨터 시스템(130)에 커플링되는 단일의 컴퓨터 시스템에 의해 직접적으로 제어될 수도 있다.
컴퓨터 시스템(130)은, 유선부 및/또는 무선부를 포함할 수도 있는 송신 매체에 의해 시스템의 서브시스템(예를 들면, 검출기(119), 및 등등)으로부터 데이터 또는 정보를 수신 및/또는 획득하도록 구성될 수도 있다. 이러한 방식에서, 송신 매체는, 컴퓨터 시스템(130)과 시스템(100)의 다른 서브시스템 사이의 데이터 링크로서 역할을 할 수도 있다.
계측 시스템(100)의 컴퓨터 시스템(130)은, 유선부 및/또는 무선무를 포함할 수도 있는 송신 매체에 의해 다른 시스템으로부터 데이터 또는 정보(예를 들면, 측정 결과, 모델링 입력, 모델링 결과, 등등)를 수신 및/또는 획득하도록 구성될 수도 있다. 이러한 방식에서, 송신 매체는 컴퓨터 시스템(130)과 다른 시스템(예를 들면, 메모리 온보드 계측 시스템(100), 외부 메모리, 또는 외부 시스템) 사이의 데이터 링크로서 역할을 할 수도 있다. 예를 들면, 컴퓨팅 시스템(130)은 데이터 링크를 통해 저장 매체(즉, 메모리(132 또는 180))로부터 측정 데이터(예를 들면, 신호(135))를 수신하도록 구성될 수도 있다. 예를 들면, 검출기(119)에 의해 측정되는 강도는, 영구적 또는 반영구적 메모리 디바이스(예를 들면, 메모리(132 또는 180))에 저장될 수도 있다. 이와 관련하여, 측정 결과는 온보드 메모리로부터 또는 외부 메모리 시스템으로부터 임포트(가져오기)될 수도 있다. 또한, 컴퓨터 시스템(130)은 송신 매체를 통해 데이터를 다른 시스템으로 전송할 수도 있다. 예를 들면, 컴퓨터 시스템(130)에 의해 결정되는 시료 파라미터 값(170)은 영구적 또는 반영구적 메모리 디바이스(예컨대, 메모리(180))에 저장될 수도 있다. 이와 관련하여, 측정 결과는 다른 시스템으로 엑스포트(내보내기)될 수도 있다.
컴퓨팅 시스템(130)은, 퍼스널 컴퓨터 시스템, 메인프레임 컴퓨터 시스템, 워크스테이션, 이미지 컴퓨터, 병렬 프로세서, 또는 기술 분야에서 공지되어 있는 임의의 다른 디바이스를 포함할 수도 있지만, 그러나 이들로 제한되지는 않는다. 일반적으로, 용어 "컴퓨팅 시스템"은, 메모리 매체로부터의 명령어를 실행하는 하나 이상의 프로세서를 구비하는 임의의 디바이스를 망라하도록 광의적으로 정의될 수도 있다.
본원에서 설명되는 방법과 같은 방법을 구현하는 프로그램 명령어(134)는, 와이어, 케이블, 또는 무선 전송 링크와 같은 송신 매체를 통해 송신될 수도 있다. 예를 들면, 도 1에서 예시되는 바와 같이, 메모리(132)에 저장되는 프로그램 명령어는 버스(133)를 통해 프로세서(131)로 송신된다. 프로그램 명령어(134)는 컴퓨터 판독 가능 매체(예를 들면, 메모리(132))에 저장된다. 예시적인 컴퓨터 판독 가능 매체는 리드 온리 메모리, 랜덤 액세스 메모리, 자기 또는 광학 디스크, 또는 자기 테이프를 포함한다.
몇몇 실시형태에서, 본원에서 설명되는 바와 같은 산란측정법 분석은 제조 프로세스 툴의 일부로서 구현된다. 제조 프로세스 툴의 예는, 리소그래피 노광 툴, 막 퇴적 툴, 임플란트 툴, 및 에칭 툴을 포함하지만 그러나 이들로 제한되는 것은 아니다. 이러한 방식에서, TSAXS 분석의 결과는 제조 프로세스를 제어하기 위해 사용된다. 하나의 예에서, 하나 이상의 타겟으로부터 수집되는 TSAXS 측정 데이터는 제조 프로세스 툴로 전송된다. TSAXS 측정 데이터는 본원에서 설명되는 바와 같이 분석되며 결과는 제조 프로세스 툴의 동작을 조정하도록 사용된다.
본원에서 설명되는 바와 같은 산란측정법 측정은, 다양한 반도체 구조체의 특성을 결정하기 위해 사용될 수도 있다. 예시적인 구조체는, FinFET, 나노와이어 또는 그래핀과 같은 저차원 구조체(low-dimensional structure), 10 nm 미만의 구조체, 리소그래피 구조체, 기판 관통 비아(through substrate via; TSV), 메모리 구조체 예컨대 DRAM, DRAM 4F2, FLASH, MRAM 및 고 애스펙트비 메모리 구조체를 포함하지만, 그러나 이들로 제한되는 것은 아니다. 예시적인 구조적 특성은, 기하학적 형상 파라미터 예컨대 라인 에지 조도, 라인 폭 조도, 기공 사이즈, 기공 밀도, 측벽 각도, 프로파일, 임계 치수, 피치, 및 재료적 파라미터 예컨대 전자 밀도, 조성, 입자 구조(grain structure), 형태(morphology), 응력, 변형률(strain), 및 원소 신원(elemental identification)을 포함하지만, 그러나 이들로 제한되지는 않는다.
도 14는 본 발명의 계측 시스템(100)에 의한 구현에 적합한 방법(300)을 예시한다. 하나의 양태에서, 방법(300)의 데이터 프로세싱 블록은 컴퓨팅 시스템(130)의 하나 이상의 프로세서에 의해 실행되는 사전 프로그래밍된 알고리즘을 통해 수행될 수도 있다는 것이 인식된다. 다음의 설명은 계측 시스템(100)의 맥락에서 제시되지만, 계측 시스템(100)의 특정한 구조적 양태는 제한을 나타내지 않으며, 단지 예시적인 것으로 해석되어야 한다는 것이 본원에서 인식된다.
블록 301에서, 웨이퍼 표면 상에 형성되는 측정 타겟은 15 킬로전자볼트 이상의 에너지 레벨의 x 선 방사선의 빔으로 조명된다.
블록 302에서, 입사 빔에 응답하여 측정 타겟으로부터 산란되는 일정 양의 방사선의 복수의 회절 차수와 관련되는 강도가 검출된다. 조명 소스와 검출기 사이의 광학 경로 길이는 3 미터 미만이다. 또한, 복수의 회절 차수 중 두 개 이상이 검출기 표면 상에서 공간적으로 중첩된다.
블록 303에서, 중첩하는 회절 차수의 각각의 강도는 제로차 회절 차수(zeroth diffraction order)의 빔 형상에 기초하여 결정된다.
블록 304에서, 측정 타겟과 관련되는 주목하는 파라미터의 값은 복수의 회절 차수의 강도에 기초하여 결정된다.
본원에서 설명되는 바와 같이, 용어 "임계 치수"는, 구조체의 임의의 임계 치수(예를 들면, 하부 임계 치수, 중간 임계 치수, 상부 임계 치수, 측벽 각도, 격자 높이, 등등), 임의의 둘 이상의 구조체 사이의 임계 치수(예를 들면, 두 구조체 사이의 거리), 및 둘 이상의 구조체 사이의 변위(예를 들면, 중첩하는 격자 구조체 사이의 오버레이 변위, 등등)를 포함한다. 구조체는 삼차원 구조체, 패턴화된 구조체, 오버레이 구조체, 등등을 포함할 수도 있다.
본원에서 설명되는 바와 같이, 용어 "임계 치수 애플리케이션" 또는 "임계 치수 측정 애플리케이션"은 임의의 임계 치수 측정을 포함한다.
본원에서 설명되는 바와 같이, 용어 "계측 시스템"은, 임계 치수 애플리케이션 및 오버레이 계측 애플리케이션을 비롯한, 임의의 양태에서 시료를 특성 묘사하기 위해 적어도 부분적으로 활용되는 임의의 시스템을 포함한다. 그러나, 기술 분야의 이러한 용어는 본원에서 설명되는 바와 같은 용어 "계측 시스템"의 범위를 제한하지는 않는다. 게다가, 본원에서 설명되는 계측 시스템은 패턴화된 웨이퍼 및/또는 패턴화되지 않은 웨이퍼의 측정을 위해 구성될 수도 있다. 계측 시스템은, LED 검사 툴, 에지 검사 툴, 이면 검사 툴, 매크로 검사 툴, 또는 멀티 모드 검사 툴(동시적으로 하나 이상의 플랫폼으로부터의 데이터를 수반함), 및 본원에서 설명되는 측정 기술로부터 이익을 획득하는 임의의 다른 계측 또는 검사 툴로서 구성될 수도 있다.
시료를 프로세싱하기 위해 사용될 수도 있는 반도체 프로세싱 시스템(예를 들면, 검사 시스템 또는 리소그래피 시스템)에 대한 다양한 실시형태가 본원에서 설명된다. 용어 "시료"는, 본원에서, 웨이퍼, 레티클, 또는 기술 분야에서 공지되어 있는 수단에 의해 프로세싱될 수도 있는(예를 들면, 결함에 대해 검사 또는 인쇄될 수도 있는) 임의의 다른 샘플을 가리키기 위해 사용된다.
본원에서 사용되는 바와 같이, 용어 "웨이퍼"는 반도체 또는 비반도체 재료로 형성되는 기판을 일반적으로 지칭한다. 예는, 단결정 실리콘, 갈륨 비화물, 및 인듐 인화물을 포함하지만, 그러나 이들로 제한되는 것은 아니다. 그러한 기판은 반도체 제조 설비에서 공통적으로 발견될 수도 있고 및/또는 프로세싱될 수도 있다. 몇몇 경우에서, 웨이퍼는 기판(즉, 베어 웨이퍼(bare wafer))만을 포함할 수도 있다. 대안적으로, 웨이퍼는 기판 상에 형성되는 상이한 재료의 하나 이상의 층을 포함할 수도 있다. 웨이퍼 상에 형성되는 하나 이상의 층은 "패턴화될" 수도 있거나 또는 "패턴화되지 않을" 수도 있다. 예를 들면, 웨이퍼는 반복가능한 패턴 피쳐를 갖는 복수의 다이를 포함할 수도 있다.
"레티클"은 레티클 제조 프로세스의 임의의 스테이지에서의 레티클일 수도 있거나, 또는 반도체 제조 설비에서의 사용을 위해 발매될(released) 수도 있는 또는 발매되지 않을 수도 있는 완성된 레티클일 수도 있다. 레티클, 또는 "마스크"는, 실질적으로 불투명한 영역이 상부에 형성되며 어떤 패턴으로 구성되는 실질적으로 투명한 기판으로서 일반적으로 정의된다. 기판은, 예를 들면, 비정질의 SiO2와 같은 유리 재료를 포함할 수도 있다. 레티클은, 레티클 상의 패턴이 레지스트로 전사될 수도 있도록, 리소그래피 프로세스의 노광 단계 동안 레지스트로 피복된 웨이퍼 위에 배치될 수도 있다.
웨이퍼 상에 형성되는 하나 이상의 층은 패턴화될 수도 있거나 또는 패턴화되지 않을 수도 있다. 예를 들면, 웨이퍼는, 반복 가능한 패턴 피쳐를 각각 구비하는 복수의 다이를 포함할 수도 있다. 재료의 그러한 층의 형성 및 프로세싱은 궁극적으로는 완성된 디바이스로 귀결될 수도 있다. 많은 상이한 타입의 디바이스가 웨이퍼 상에 형성될 수도 있고, 본원에서 사용되는 바와 같은 용어 웨이퍼는, 기술 분야에서 공지되어 있는 임의의 타입의 디바이스가 상부에서 제조되고 있는 웨이퍼를 망라하도록 의도된다.
하나 이상의 예시적인 실시형태에서, 설명되는 기능은 하드웨어, 소프트웨어, 펌웨어 또는 이들의 임의의 조합으로 구현될 수도 있다. 소프트웨어로 구현되면, 기능은 하나 이상의 명령어 또는 코드로서 컴퓨터 판독 가능 매체 상에 저장될 수도 있거나 또는 컴퓨터 판독 가능 매체를 통해 송신될 수도 있다. 컴퓨터 판독 가능 매체는 한 장소에서 다른 장소로 컴퓨터 프로그램의 전송을 가능하게 하는 임의의 매체를 포함하는 컴퓨터 저장 매체 및 통신 매체 둘 다를 포함한다. 저장 매체는 범용 컴퓨터 또는 특수 목적용 컴퓨터에 의해 액세스될 수 있는 임의의 이용 가능한 매체일 수도 있다. 제한이 아닌 예로서, 이러한 컴퓨터 판독 가능 매체는 RAM, ROM, EEPROM, CD-ROM 또는 다른 광학 디스크 스토리지, 자기 디스크 스토리지 또는 다른 자기 스토리지 디바이스, 또는 명령어 또는 데이터 구조의 형태로 소망되는 프로그램 코드 수단을 반송(carry) 또는 저장하기 위해 사용될 수 있으며 범용 컴퓨터나 특수 목적용 컴퓨터, 또는 범용 프로세서나 특수 목적용 프로세서에 의해 액세스될 수 있는 임의의 다른 매체를 포함할 수 있다. 또한, 임의의 연결이 컴퓨터 판독 가능 매체로 적절히 칭해진다. 예를 들면, 소프트웨어가 동축 케이블, 광섬유 케이블, 연선(twisted pair), 디지털 가입자 회선(digital subscriber line; DSL), 또는 적외선, 무선, 및 마이크로파와 같은 무선 기술을 사용하여 웹사이트, 서버, 또는 다른 원격 소스로부터 송신되면, 동축 케이블, 광섬유 케이블, 연선, DSL, 또는 적외선, 무선, 및 마이크로파와 같은 무선 기술은 매체의 정의에 포함된다. 디스크(disk) 및 디스크(disc)는, 본원에서 사용되는 바와 같이, 컴팩트 디스크(compact disc; CD), 레이저 디스크, XRF 디스크, 디지털 다기능 디스크(digital versatile disc; DVD), 플로피 디스크 및 블루레이 디스크를 포함하는데, 여기서 디스크(disk)는 보통 데이터를 자기적으로 재생하고, 디스크(disc)는 레이저를 이용하여 데이터를 광학적으로 재생한다. 상기의 조합도 컴퓨터 판독 가능 매체의 범위 내에 또한 포함되어야 한다.
소정의 특정한 실시형태가 교수적인 목적을 위해 상기에서 설명되었지만, 본 특허 문헌의 교시는 일반적인 적용 가능성을 가지며 상기에서 설명되는 특정한 실시형태로 제한되는 것은 아니다. 따라서, 설명된 실시형태의 다양한 피쳐의 다양한 수정예, 적응예, 및 조합은 청구범위에서 기술되는 바와 같은 본 발명의 범위를 벗어나지 않으면서 실시될 수 있다.

Claims (40)

  1. 계측 시스템(metrology system)으로서,
    일정 양의 x 선 방사선을 생성하도록 구성되는 x 선 조명 소스;
    상기 일정 양의 x 선 방사선의 입사하는 집속 빔(focused beam)으로 웨이퍼 표면 상에 형성되는 측정 타겟을 조명하도록 구성되는 x 선 조명 광학기기 서브시스템;
    상기 입사하는 집속 빔과 관련하여 복수의 방위에서 상기 측정 타겟을 위치 결정하는 시료 위치 결정 시스템;
    각각의 방위에서 상기 입사하는 집속 빔에 응답하여 상기 측정 타겟으로부터 산란되는 일정 양의 방사선의 복수의 회절 차수의 각각과 관련되는 강도를 검출하도록 구성되는 x 선 검출기 - 상기 조명 소스와 상기 검출기 사이의 광학 경로 길이는 3 미터 미만임 - ; 및
    컴퓨팅 시스템
    을 포함하되, 상기 컴퓨팅 시스템은,
    상기 복수의 상이한 방위에서의 상기 복수의 회절 차수의 상기 검출된 강도에 기초하여 상기 측정 타겟과 관련되는 주목하는 파라미터의 값을 결정하도록 구성되고,
    상기 x 선 검출기는 100 마이크로미터 미만의 사이즈를 각각 갖는 복수의 픽셀 및 제1 픽셀에 인접한 제2 픽셀에 입사하는 회절 빔의 부분이 상기 제1 픽셀에 입사하는 상기 회절 빔의 부분의 0.1 % 미만이도록 상기 복수의 픽셀 중 상기 제1 픽셀보다 더 작은 점 확산 함수(point spread function)를 포함하는 것인, 계측 시스템.
  2. 제1항에 있어서,
    상기 x 선 조명 광학기기 서브시스템은, 상기 측정 타겟에서, 상기 검출기에서, 또는 상기 측정 타겟과 상기 검출기 사이의 상기 광학 경로의 임의의 위치에서, 상기 웨이퍼 표면 앞 200 밀리미터 미만에 상기 집속 빔을 집속시키는 것인, 계측 시스템.
  3. 제1항에 있어서,
    상기 x 선 조명 광학기기 서브시스템은 상기 측정 타겟의 100 밀리미터 이내에 위치되는 하나 이상의 빔 성형 슬릿(beam shaping slit)을 포함하는 것인, 계측 시스템.
  4. 제1항에 있어서,
    상기 측정 타겟으로부터 상기 x 선 검출기까지의 광학 경로의 일부는 진공으로 유지되는 것인, 계측 시스템.
  5. 제1항에 있어서,
    상기 x 선 검출기는 진공으로 유지되는 것인, 계측 시스템.
  6. 삭제
  7. 제1항에 있어서,
    상기 x 선 검출기의 재료는 카드뮴 텔루르화물(Cadmium Telluride), 게르마늄(Germanium), 갈륨 비화물(Gallium Arsenide), 또는 이들의 임의의 조합을 포함하는 것인, 계측 시스템.
  8. 제7항에 있어서,
    상기 재료는 적어도 500 마이크로미터 두께인 것인, 계측 시스템.
  9. 제1항에 있어서,
    상기 x 선 검출기는 상기 x 선 검출기의 각각의 픽셀에서 복수의 에너지 레벨 사이를 보간하는 것인, 계측 시스템.
  10. 제1항에 있어서,
    상기 x 선 검출기는 서브픽셀 분해능(sub-pixel resolution)으로 상기 검출기와의 광자 상호 작용의 질량 중심(centroid)의 위치를 결정하는 것인, 계측 시스템.
  11. 제1항에 있어서,
    상기 집속 빔은 중력 벡터에 실질적으로 평행한 방향으로 전파되는 것인, 계측 시스템.
  12. 제1항에 있어서,
    상기 회절된 차수 중 두 개 이상이 상기 검출기 상에서 공간적으로 중첩되고, 상기 컴퓨팅 시스템은 또한,
    제로차 회절 차수(zeroth diffraction order)의 빔 형상에 기초하여 상기 중첩되는 회절 차수의 각각의 강도를 결정하도록 구성되는 것인, 계측 시스템.
  13. 제1항에 있어서,
    상기 측정 타겟은 하나 이상의 고 애스펙트비 구조체를 포함하는 것인, 계측 시스템.
  14. 제13항에 있어서,
    상기 하나 이상의 고 애스펙트비 구조체는, 스핀 전달 토크 랜덤 액세스 메모리(spin transfer torque random access memory; STT-RAM), 삼차원 NAND 메모리(three dimensional NAND memory; 3D-NAND), 동적 랜덤 액세스 메모리(dynamic random access memory; DRAM), 삼차원 FLASH 메모리(three dimensional FLASH memory; 3D-FLASH), 저항성 랜덤 액세스 메모리(resistive random access memory; Re-RAM), 및 상변화 랜덤 액세스 메모리(phase change random access memory; PC-RAM) 중 임의의 것인, 계측 시스템.
  15. 방법으로서,
    15 킬로전자볼트 이상의 에너지 레벨의 x 선 방사선의 빔으로 웨이퍼 표면 상에 형성되는 측정 타겟을 조명하는 단계;
    입사 빔에 응답하여 상기 측정 타겟으로부터 산란되는 일정 양의 방사선의 복수의 회절 차수와 관련되는 강도를 검출하는 단계 - 조명 소스와 검출기 사이의 광학 경로 길이는 3 미터 미만이고, 상기 복수의 회절 차수 중 두 개 이상은, 상기 강도를 검출하는 검출기의 표면 상에서 공간적으로 중첩됨 - ;
    제로차 회절 차수의 빔 형상에 기초하여 상기 중첩되는 회절 차수의 각각의 강도를 결정하는 단계; 및
    상기 복수의 회절 차수의 상기 강도에 기초하여 상기 측정 타겟과 관련되는 주목하는 파라미터의 값을 결정하는 단계
    를 포함하고,
    상기 검출기는 100 마이크로미터 미만의 사이즈를 각각 갖는 복수의 픽셀 및 제1 픽셀에 인접한 제2 픽셀에 입사하는 회절 빔의 부분이 상기 제1 픽셀에 입사하는 상기 회절 빔의 부분의 0.1 % 미만이도록 상기 복수의 픽셀 중 상기 제1 픽셀보다 더 작은 점 확산 함수를 포함하는 것인, 방법.
  16. 제15항에 있어서,
    상기 x 선 방사선의 빔은, 상기 측정 타겟에서, 상기 검출기에서, 또는 상기 측정 타겟과 상기 검출기 사이의 광학 경로의 임의의 위치에서, 상기 웨이퍼 표면 앞 200 밀리미터 미만에, 집속되는 것인, 방법.
  17. 삭제
  18. 제15항에 있어서,
    x 선 검출기의 각각의 픽셀에서 복수의 에너지 레벨 사이를 보간하는 단계를 더 포함하는, 방법.
  19. 계측 시스템으로서,
    일정 양의 x 선 방사선을 생성하도록 구성되는 x 선 조명 소스;
    상기 일정 양의 x 선 방사선의 입사하는 집속 빔으로 웨이퍼 표면 상에 형성되는 측정 타겟을 조명하도록 구성되는 x 선 조명 광학기기 서브시스템;
    상기 입사하는 집속 빔에 응답하여 상기 측정 타겟으로부터 산란되는 일정 양의 방사선의 복수의 회절 차수의 각각과 관련되는 강도를 검출하도록 구성되는 x 선 검출기 - 상기 조명 소스와 상기 검출기 사이의 광학 경로 길이는 3 미터 미만이고, 상기 복수의 회절 차수 중 두 개 이상은 상기 x 선 검출기의 표면 상에서 공간적으로 중첩됨 - ; 및
    비일시적 컴퓨터 판독 가능 매체
    를 포함하되, 상기 비일시적 컴퓨터 판독 가능 매체는,
    컴퓨팅 시스템으로 하여금 제로차 회절 차수의 빔 형상에 기초하여 상기 중첩되는 회절 차수의 각각의 강도를 결정하게 하기 위한 코드; 및
    상기 컴퓨팅 시스템으로 하여금 상기 복수의 회절 차수의 상기 결정된 강도에 기초하여 상기 측정 타겟과 관련되는 주목하는 파라미터의 값을 결정하게 하기 위한 코드
    를 포함하고,
    상기 검출기는 100 마이크로미터 미만의 사이즈를 각각 갖는 복수의 픽셀 및 제1 픽셀에 인접한 제2 픽셀에 입사하는 회절 빔의 부분이 상기 제1 픽셀에 입사하는 상기 회절 빔의 부분의 0.1 % 미만이도록 상기 복수의 픽셀 중 상기 제1 픽셀보다 더 작은 점 확산 함수를 포함하는 것인, 계측 시스템.
  20. 제19항에 있어서,
    상기 x 선 조명 광학기기 서브시스템은, 상기 측정 타겟에서, 상기 검출기에서, 또는 상기 측정 타겟과 상기 검출기 사이의 상기 광학 경로의 임의의 위치에서 상기 집속 빔을 집속시키는 것인, 계측 시스템.
  21. 삭제
  22. 삭제
  23. 삭제
  24. 삭제
  25. 삭제
  26. 삭제
  27. 삭제
  28. 삭제
  29. 삭제
  30. 삭제
  31. 삭제
  32. 삭제
  33. 삭제
  34. 삭제
  35. 삭제
  36. 삭제
  37. 삭제
  38. 삭제
  39. 삭제
  40. 삭제
KR1020197033522A 2017-04-14 2018-04-13 투과 소각 x 선 산란 계측 시스템 KR102580560B1 (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020227033438A KR102515242B1 (ko) 2017-04-14 2018-04-13 투과 소각 x 선 산란 계측 시스템

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201762485497P 2017-04-14 2017-04-14
US62/485,497 2017-04-14
US15/950,823 US10767978B2 (en) 2017-04-14 2018-04-11 Transmission small-angle X-ray scattering metrology system
US15/950,823 2018-04-11
PCT/US2018/027648 WO2018191714A1 (en) 2017-04-14 2018-04-13 Transmission small-angle x-ray scattering metrology system

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020227033438A Division KR102515242B1 (ko) 2017-04-14 2018-04-13 투과 소각 x 선 산란 계측 시스템

Publications (2)

Publication Number Publication Date
KR20190131129A KR20190131129A (ko) 2019-11-25
KR102580560B1 true KR102580560B1 (ko) 2023-09-19

Family

ID=63791810

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020227033438A KR102515242B1 (ko) 2017-04-14 2018-04-13 투과 소각 x 선 산란 계측 시스템
KR1020197033522A KR102580560B1 (ko) 2017-04-14 2018-04-13 투과 소각 x 선 산란 계측 시스템

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020227033438A KR102515242B1 (ko) 2017-04-14 2018-04-13 투과 소각 x 선 산란 계측 시스템

Country Status (7)

Country Link
US (2) US10767978B2 (ko)
EP (1) EP3593124B1 (ko)
JP (4) JP2020516900A (ko)
KR (2) KR102515242B1 (ko)
CN (1) CN110546489A (ko)
TW (1) TWI783988B (ko)
WO (1) WO2018191714A1 (ko)

Families Citing this family (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10767978B2 (en) * 2017-04-14 2020-09-08 Kla-Tencor Corporation Transmission small-angle X-ray scattering metrology system
KR102442490B1 (ko) * 2017-09-27 2022-09-13 삼성전자 주식회사 무선 통신 시스템에서 분산 처리에 기반한 망 설계를 위한 분석 방법 및 장치
WO2020028412A1 (en) * 2018-07-31 2020-02-06 Lam Research Corporation Determining tilt angle in patterned arrays of high aspect ratio structures
DE102018132542A1 (de) * 2018-12-17 2020-06-18 Osram Opto Semiconductors Gmbh Optoelektronische leuchtvorrichtung und herstellungsverfahren
US11843069B2 (en) * 2018-12-31 2023-12-12 Asml Netherlands B.V. Semiconductor detector and method of fabricating same
US11867595B2 (en) 2019-10-14 2024-01-09 Industrial Technology Research Institute X-ray reflectometry apparatus and method thereof for measuring three dimensional nanostructures on flat substrate
CN111473749B (zh) * 2020-04-22 2021-09-03 中国科学院上海应用物理研究所 一种单毛细管内面形在线表征方法
US11761913B2 (en) * 2020-05-04 2023-09-19 Bruker Technologies Ltd. Transmission X-ray critical dimension (T-XCD) characterization of shift and tilt of stacks of high-aspect-ratio (HAR) structures
CN111912865A (zh) * 2020-06-23 2020-11-10 成都飞机工业(集团)有限责任公司 一种基于微焦点的数字放大射线检测方法及系统
US20220196576A1 (en) * 2020-12-17 2022-06-23 Kla Corporation Methods And Systems For Compact, Small Spot Size Soft X-Ray Scatterometry
CN113433142B (zh) * 2021-06-22 2022-08-26 中国工程物理研究院激光聚变研究中心 适用于x射线诊断的高时空分辨光学系统
JP2023012227A (ja) 2021-07-13 2023-01-25 キオクシア株式会社 形状計測方法、形状計測装置、及びプログラム
CN113686904B (zh) * 2021-07-28 2023-08-29 河北工业大学 一种描述外载作用下岩体微细观破裂与微裂隙形成的方法
WO2023104469A1 (en) * 2021-12-07 2023-06-15 Asml Netherlands B.V. Target asymmetry measurement for substrate alignment in lithography systems
CN117990052A (zh) * 2024-04-03 2024-05-07 浙江求是半导体设备有限公司 载台倾斜角度检测方法和载台调平方法

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20160320319A1 (en) * 2015-04-28 2016-11-03 Kla-Tencor Corporation Computationally Efficient X-ray Based Overlay Measurement
WO2017044283A1 (en) * 2015-09-09 2017-03-16 Kla-Tencor Corporation New approaches in first order scatterometry overlay based on introduction of auxiliary elecromagnetic fields

Family Cites Families (51)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5608526A (en) 1995-01-19 1997-03-04 Tencor Instruments Focused beam spectroscopic ellipsometry method and system
US6023338A (en) 1996-07-12 2000-02-08 Bareket; Noah Overlay alignment measurement of wafers
US5859424A (en) 1997-04-08 1999-01-12 Kla-Tencor Corporation Apodizing filter system useful for reducing spot size in optical measurements and other applications
US6269144B1 (en) 1998-03-04 2001-07-31 William P. Dube Method and apparatus for diffraction measurement using a scanning x-ray source
US6429943B1 (en) 2000-03-29 2002-08-06 Therma-Wave, Inc. Critical dimension analysis with simultaneous multiple angle of incidence measurements
US6787773B1 (en) 2000-06-07 2004-09-07 Kla-Tencor Corporation Film thickness measurement using electron-beam induced x-ray microanalysis
US7068833B1 (en) 2000-08-30 2006-06-27 Kla-Tencor Corporation Overlay marks, methods of overlay mark design and methods of overlay measurements
US7541201B2 (en) 2000-08-30 2009-06-02 Kla-Tencor Technologies Corporation Apparatus and methods for determining overlay of structures having rotational or mirror symmetry
US7317531B2 (en) 2002-12-05 2008-01-08 Kla-Tencor Technologies Corporation Apparatus and methods for detecting overlay errors using scatterometry
US20030002043A1 (en) 2001-04-10 2003-01-02 Kla-Tencor Corporation Periodic patterns and technique to control misalignment
US6716646B1 (en) 2001-07-16 2004-04-06 Advanced Micro Devices, Inc. Method and apparatus for performing overlay measurements using scatterometry
AU2002360738A1 (en) 2001-12-19 2003-07-09 Kla-Tencor Technologies Corporation Parametric profiling using optical spectroscopic systems
US6778275B2 (en) 2002-02-20 2004-08-17 Micron Technology, Inc. Aberration mark and method for estimating overlay error and optical aberrations
US6992764B1 (en) 2002-09-30 2006-01-31 Nanometrics Incorporated Measuring an alignment target with a single polarization state
US7842933B2 (en) 2003-10-22 2010-11-30 Applied Materials Israel, Ltd. System and method for measuring overlay errors
US6937337B2 (en) 2003-11-19 2005-08-30 International Business Machines Corporation Overlay target and measurement method using reference and sub-grids
US8094288B2 (en) * 2004-05-11 2012-01-10 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7321426B1 (en) 2004-06-02 2008-01-22 Kla-Tencor Technologies Corporation Optical metrology on patterned samples
US7478019B2 (en) 2005-01-26 2009-01-13 Kla-Tencor Corporation Multiple tool and structure analysis
JP4585926B2 (ja) 2005-06-17 2010-11-24 株式会社日立ハイテクノロジーズ パターンレイヤーデータ生成装置、それを用いたパターンレイヤーデータ生成システム、半導体パターン表示装置、パターンレイヤーデータ生成方法、及びコンピュータプログラム
US7567351B2 (en) 2006-02-02 2009-07-28 Kla-Tencor Corporation High resolution monitoring of CD variations
JP4887062B2 (ja) 2006-03-14 2012-02-29 株式会社日立ハイテクノロジーズ 試料寸法測定方法、及び試料寸法測定装置
US7406153B2 (en) 2006-08-15 2008-07-29 Jordan Valley Semiconductors Ltd. Control of X-ray beam spot size
US7920676B2 (en) * 2007-05-04 2011-04-05 Xradia, Inc. CD-GISAXS system and method
WO2009024818A1 (en) * 2007-08-17 2009-02-26 Durham Scientific Crystals Limited Method and apparatus for identification and detection of liquids
US7873585B2 (en) 2007-08-31 2011-01-18 Kla-Tencor Technologies Corporation Apparatus and methods for predicting a semiconductor parameter across an area of a wafer
US7929667B1 (en) 2008-10-02 2011-04-19 Kla-Tencor Corporation High brightness X-ray metrology
US8497977B2 (en) * 2009-03-12 2013-07-30 Nikon Corporation Optical integrator, illumination optical system, exposure apparatus, and device manufacturing method
US8068662B2 (en) 2009-03-30 2011-11-29 Hermes Microvision, Inc. Method and system for determining a defect during charged particle beam inspection of a sample
US9122178B2 (en) * 2009-08-04 2015-09-01 Asml Netherlands B.V. Object inspection systems and methods
FR2955391B1 (fr) 2010-01-18 2012-03-16 Xenocs Systeme compact d'analyse par rayons-x
JP5764380B2 (ja) 2010-04-29 2015-08-19 エフ イー アイ カンパニFei Company Sem画像化法
US9046475B2 (en) 2011-05-19 2015-06-02 Applied Materials Israel, Ltd. High electron energy based overlay error measurement methods and systems
US10107621B2 (en) 2012-02-15 2018-10-23 Nanometrics Incorporated Image based overlay measurement with finite gratings
US10801975B2 (en) 2012-05-08 2020-10-13 Kla-Tencor Corporation Metrology tool with combined X-ray and optical scatterometers
US9243886B1 (en) 2012-06-26 2016-01-26 Kla-Tencor Corporation Optical metrology of periodic targets in presence of multiple diffraction orders
US10013518B2 (en) 2012-07-10 2018-07-03 Kla-Tencor Corporation Model building and analysis engine for combined X-ray and optical metrology
WO2014062972A1 (en) 2012-10-18 2014-04-24 Kla-Tencor Corporation Symmetric target design in scatterometry overlay metrology
US9581430B2 (en) 2012-10-19 2017-02-28 Kla-Tencor Corporation Phase characterization of targets
US10769320B2 (en) 2012-12-18 2020-09-08 Kla-Tencor Corporation Integrated use of model-based metrology and a process model
US9291554B2 (en) 2013-02-05 2016-03-22 Kla-Tencor Corporation Method of electromagnetic modeling of finite structures and finite illumination for metrology and inspection
US10101670B2 (en) 2013-03-27 2018-10-16 Kla-Tencor Corporation Statistical model-based metrology
US9535018B2 (en) * 2013-07-08 2017-01-03 Kla-Tencor Corporation Combined x-ray and optical metrology
US9846132B2 (en) 2013-10-21 2017-12-19 Kla-Tencor Corporation Small-angle scattering X-ray metrology systems and methods
US9885962B2 (en) 2013-10-28 2018-02-06 Kla-Tencor Corporation Methods and apparatus for measuring semiconductor device overlay using X-ray metrology
US9588066B2 (en) 2014-01-23 2017-03-07 Revera, Incorporated Methods and systems for measuring periodic structures using multi-angle X-ray reflectance scatterometry (XRS)
US9494535B2 (en) * 2014-04-21 2016-11-15 Kla-Tencor Corporation Scatterometry-based imaging and critical dimension metrology
US9606073B2 (en) 2014-06-22 2017-03-28 Bruker Jv Israel Ltd. X-ray scatterometry apparatus
US10324050B2 (en) 2015-01-14 2019-06-18 Kla-Tencor Corporation Measurement system optimization for X-ray based metrology
US10352695B2 (en) 2015-12-11 2019-07-16 Kla-Tencor Corporation X-ray scatterometry metrology for high aspect ratio structures
US10767978B2 (en) * 2017-04-14 2020-09-08 Kla-Tencor Corporation Transmission small-angle X-ray scattering metrology system

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20160320319A1 (en) * 2015-04-28 2016-11-03 Kla-Tencor Corporation Computationally Efficient X-ray Based Overlay Measurement
WO2017044283A1 (en) * 2015-09-09 2017-03-16 Kla-Tencor Corporation New approaches in first order scatterometry overlay based on introduction of auxiliary elecromagnetic fields

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
R. Joseph Kline 등, X-ray scattering critical dimensional metrology using a compact x-ray source for next generation semiconductor devices, J. Micro/Nanolith. MEMS MOEMS 16(1), pp014001, 2017.2.8.*

Also Published As

Publication number Publication date
JP2023001192A (ja) 2023-01-04
JP7376665B2 (ja) 2023-11-08
JP2023001191A (ja) 2023-01-04
US11519719B2 (en) 2022-12-06
KR20220136492A (ko) 2022-10-07
JP2023178307A (ja) 2023-12-14
EP3593124A1 (en) 2020-01-15
US20210088325A1 (en) 2021-03-25
TWI783988B (zh) 2022-11-21
EP3593124A4 (en) 2021-07-07
US20180299259A1 (en) 2018-10-18
TW201842353A (zh) 2018-12-01
CN110546489A (zh) 2019-12-06
WO2018191714A1 (en) 2018-10-18
KR20190131129A (ko) 2019-11-25
JP2020516900A (ja) 2020-06-11
KR102515242B1 (ko) 2023-03-29
JP7376666B2 (ja) 2023-11-08
US10767978B2 (en) 2020-09-08
EP3593124B1 (en) 2024-01-10

Similar Documents

Publication Publication Date Title
KR102580560B1 (ko) 투과 소각 x 선 산란 계측 시스템
US11313816B2 (en) Full beam metrology for x-ray scatterometry systems
KR102363266B1 (ko) 고 애스펙트비 구조체에 대한 x 선 산란측정법 계측
KR102300470B1 (ko) 소각 x선 산란 측정법을 위한 x선 줌 렌즈
KR20220050976A (ko) 파장 분해 연질 x 선 반사 측정법에 기초한 반도체 계측을 위한 방법 및 시스템

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
A107 Divisional application of patent
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant