JP2023001192A - 透過型小角x線散乱計量システム - Google Patents

透過型小角x線散乱計量システム Download PDF

Info

Publication number
JP2023001192A
JP2023001192A JP2022171658A JP2022171658A JP2023001192A JP 2023001192 A JP2023001192 A JP 2023001192A JP 2022171658 A JP2022171658 A JP 2022171658A JP 2022171658 A JP2022171658 A JP 2022171658A JP 2023001192 A JP2023001192 A JP 2023001192A
Authority
JP
Japan
Prior art keywords
ray
detector
metrology
ray detector
pixel
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2022171658A
Other languages
English (en)
Other versions
JP7376666B2 (ja
Inventor
アンドレイ シチェグロフ
Shchegrov Andrei
アントニオ ジェリノー
Gellineau Antonio
セルゲイ ザルボフスキー
Zalubovsky Sergey
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
KLA Corp
Original Assignee
KLA Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by KLA Corp filed Critical KLA Corp
Publication of JP2023001192A publication Critical patent/JP2023001192A/ja
Application granted granted Critical
Publication of JP7376666B2 publication Critical patent/JP7376666B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N23/00Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00
    • G01N23/02Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by transmitting the radiation through the material
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/14Measuring arrangements characterised by the use of optical techniques for measuring distance or clearance between spaced objects or spaced apertures
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/02Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness
    • G01B11/06Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material
    • G01B11/0616Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material of coating
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/26Measuring arrangements characterised by the use of optical techniques for measuring angles or tapers; for testing the alignment of axes
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B15/00Measuring arrangements characterised by the use of electromagnetic waves or particle radiation, e.g. by the use of microwaves, X-rays, gamma rays or electrons
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/17Systems in which incident light is modified in accordance with the properties of the material investigated
    • G01N21/21Polarisation-affecting properties
    • G01N21/211Ellipsometry
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/17Systems in which incident light is modified in accordance with the properties of the material investigated
    • G01N21/47Scattering, i.e. diffuse reflection
    • G01N21/4785Standardising light scatter apparatus; Standards therefor
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/9501Semiconductor wafers
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N23/00Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00
    • G01N23/20Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by using diffraction of the radiation by the materials, e.g. for investigating crystal structure; by using scattering of the radiation by the materials, e.g. for investigating non-crystalline materials; by using reflection of the radiation by the materials
    • G01N23/201Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by using diffraction of the radiation by the materials, e.g. for investigating crystal structure; by using scattering of the radiation by the materials, e.g. for investigating non-crystalline materials; by using reflection of the radiation by the materials by measuring small-angle scattering
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N23/00Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00
    • G01N23/20Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by using diffraction of the radiation by the materials, e.g. for investigating crystal structure; by using scattering of the radiation by the materials, e.g. for investigating non-crystalline materials; by using reflection of the radiation by the materials
    • G01N23/207Diffractometry using detectors, e.g. using a probe in a central position and one or more displaceable detectors in circumferential positions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/26Electron or ion microscopes; Electron or ion diffraction tubes
    • H01J37/28Electron or ion microscopes; Electron or ion diffraction tubes with scanning beams
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05GX-RAY TECHNIQUE
    • H05G2/00Apparatus or processes specially adapted for producing X-rays, not involving X-ray tubes, e.g. involving generation of a plasma
    • H05G2/001X-ray radiation generated from plasma
    • H05G2/003X-ray radiation generated from plasma being produced from a liquid or gas
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N2223/00Investigating materials by wave or particle radiation
    • G01N2223/03Investigating materials by wave or particle radiation by transmission
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N2223/00Investigating materials by wave or particle radiation
    • G01N2223/05Investigating materials by wave or particle radiation by diffraction, scatter or reflection
    • G01N2223/054Investigating materials by wave or particle radiation by diffraction, scatter or reflection small angle scatter
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N2223/00Investigating materials by wave or particle radiation
    • G01N2223/10Different kinds of radiation or particles
    • G01N2223/101Different kinds of radiation or particles electromagnetic radiation
    • G01N2223/1016X-ray
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N2223/00Investigating materials by wave or particle radiation
    • G01N2223/60Specific applications or type of materials
    • G01N2223/611Specific applications or type of materials patterned objects; electronic devices
    • G01N2223/6116Specific applications or type of materials patterned objects; electronic devices semiconductor wafer
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N2223/00Investigating materials by wave or particle radiation
    • G01N2223/60Specific applications or type of materials
    • G01N2223/645Specific applications or type of materials quality control
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N2223/00Investigating materials by wave or particle radiation
    • G01N2223/60Specific applications or type of materials
    • G01N2223/646Specific applications or type of materials flaws, defects
    • G01N2223/6462Specific applications or type of materials flaws, defects microdefects
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N23/00Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00
    • G01N23/20Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by using diffraction of the radiation by the materials, e.g. for investigating crystal structure; by using scattering of the radiation by the materials, e.g. for investigating non-crystalline materials; by using reflection of the radiation by the materials
    • GPHYSICS
    • G21NUCLEAR PHYSICS; NUCLEAR ENGINEERING
    • G21KTECHNIQUES FOR HANDLING PARTICLES OR IONISING RADIATION NOT OTHERWISE PROVIDED FOR; IRRADIATION DEVICES; GAMMA RAY OR X-RAY MICROSCOPES
    • G21K2201/00Arrangements for handling radiation or particles
    • G21K2201/06Arrangements for handling radiation or particles using diffractive, refractive or reflecting elements

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Immunology (AREA)
  • Pathology (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Health & Medical Sciences (AREA)
  • Biochemistry (AREA)
  • General Health & Medical Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Electromagnetism (AREA)
  • Optics & Photonics (AREA)
  • Plasma & Fusion (AREA)
  • Analysing Materials By The Use Of Radiation (AREA)

Abstract

【課題】比較的小さなツール長を有する透過型小角X線スキャタロメトリ(TSAXS)システムにより半導体デバイスの寸法及び素材特性を解明する技術を提供する。【解決手段】比較的小さいターゲット向けにはX線ビームをウェハ表面寄りに集束させ、比較的大きいターゲット向けには検出器寄りに集束させる。小さな点拡がり関数(PSF)を有する高分解能検出器を採用することで、達成可能Q分解能に対する検出器PSF性制限を軽減する。光子変換事象により誘発された電子雲の重心を求めることで、検出器により入射光子の位置がサブ画素正確度で特定される。検出器により、入射個所に加え一通り又は複数通りのX線光子エネルギが分解検出される。【選択図】図1

Description

記載されている諸実施形態は計量システム及び方法に関し、より具体的には計測正確度が改善された方法及びシステムに関する。
(関連出願への相互参照)
本特許出願は、2017年4月14日付米国仮特許出願第62/485497号に基づき米国特許法第119条の規定による優先権を主張する出願であるので、この参照を以てその主題の全容を本願に繰り入れることにする。
半導体デバイス例えば論理デバイス及び記憶デバイスは、通常、一連の処理工程を試料に適用することで製造される。それら処理工程によりそれら半導体デバイスの様々なフィーチャ(外形特徴)及び構造階層群が形成される。例えば、就中、リソグラフィは、半導体ウェハ上でのパターンの生成を孕む半導体製造プロセスの一つである。半導体製造プロセスの更なる例としては、これに限られるものではないが、化学機械研磨、エッチング、堆積及びイオンインプランテーションがある。複数個の半導体デバイスを1枚の半導体ウェハ上に作成し、その上で個別の半導体デバイスへと分けるようにするとよい。
計量プロセスは半導体製造プロセス中の様々な工程で用いられており、それによりウェハ上の欠陥を検出して歩留まり向上を促進することができる。多数の計量依拠技術、例えばスキャタロメトリ(散乱計測法)及びリフレクトメトリ(反射計測法)の装置やそれに係る分析アルゴリズムが広く用いられ、それにより限界寸法、膜厚、組成その他、ナノスケール構造のパラメタが解明されている。
以前から、スキャタロメトリ限界寸法(SCD)計測が、薄膜及び/又は反復性周期構造からなるターゲットに対し実行されている。デバイス製造中には、それらの膜及び周期構造により、通常、実デバイス幾何及び素材構造又は中間デザインが体現される。デバイス(例.論理デバイス及び記憶デバイス)はより小さなナノメートルスケールという寸法へと移行しつつあり、特性解明がより困難になっている。デバイスに複雑な三次元幾何が組み込まれ、また多様な物理特性を有する素材が組み込まれることが、特性解明困難性に与っている。例えば、昨今のメモリ構造は高アスペクト比三次元構造であることが多いため、光学輻射を下層まで浸透させることが難しくなっている。光学計量ツールで利用される赤外~可視光は多数の半透明素材層に浸透させうるが、良好な浸透深度が実現される長めの波長は小さな異常に対し十分な感度を呈さない。加えて、複雑な構造(例.FinFET)の特徴記述に必要なパラメタの個数が増しつつあり、ひいてはパラメタ相関が増しつつある。結果として、ターゲットの特徴を記述するパラメタを、入手可能な計測結果から信頼性よく分離させられないことが多くなる。
例えば、スタック内交互配置素材の一つとしてポリシリコンを利用する3D-FLASH(登録商標)デバイスに係る浸透問題を克服する企図で、より長い波長(例.近赤外)が採用されている。しかしながら、3D-FLASH(登録商標)に備わる鏡状構造故に、生来的に、その膜スタック内のより深部へと照明が伝搬するにつれ光強度が低下していく。これは深部における感度ロス及び相関問題を引き起こす。このシナリオによれば、SCDで行えるのは、少数組の計量寸法を高感度及び低相関で首尾よく抽出することだけである。
また例えば、昨今の半導体構造では不透明高k素材の採用が増えている。光学輻射は、これらの素材で構成された層に対し浸透不能であることが多い。結果として、薄膜スキャタロメトリツール、例えばエリプソメータ(楕円偏向計)やリフレクトメータ(反射計)による計測が、ますます困難になってきている。
これらの困難事を踏まえ、より複雑な光学計量ツールが開発されている。例えば、ツールの照明角を複数通りにし、照明波長を短めにし、照明波長域を広めにし、また反射信号からの情報獲得をより無欠にしたもの(例.より在来的な反射率信号やエリプソメトリ信号に加え複数個のミュラー行列要素を計測するもの)が開発されている。しかしながら、これらの手法では、多くの先進ターゲット(例.複雑な3D構造、10nm未満の小構造、不透明素材採用構造)の計測及び計測アプリケーション(例.ラインエッジ粗さ計測及びライン幅粗さ計測)に関連する基本的困難事が、信頼性よく克服されていない。
原子間力顕微鏡(AFM)及び走査型トンネリング顕微鏡(STM)は、原子分解能を達成可能であるものの、試料の表面しか探査することができない。加えて、AFM顕微鏡やSTM顕微鏡では長い走査時間が必要となる。走査型電子顕微鏡(SEM)では中程度の分解能が達成されるが、十分な深度まで構造に浸透することができない。そのため、高アスペクト比孔を良好に特性解明することができない。加えて、余儀なき試料帯電がイメージング性能に悪影響を及ぼす。X線リフレクトメータも浸透問題に悩まされており、それにより高アスペクト比構造計測時の有効性が限定されている。
浸透深度問題を克服するため、従来のイメージング技術例えばTEM、SEM等々では、破壊型標本調製技術例えば集束イオンビーム(FIB)マシニング、イオンミリング、ブランケットエッチング、選択性エッチング等々が併用されている。例えば透過型電子顕微鏡(TEM)では、高い分解能レベルが達成されるし任意深度を探査可能だが、試料の破壊的分断が必要となる。素材除去及び計測を数回反復することで、一般には、三次元構造全体に亘り限界計量パラメタを計測するのに必要な情報がもたらされる。しかし、これらの技術では標本破壊や長時間処理が必須となる。これらの種類の計測を完遂するのが面倒であり時間がかかることで、エッチング工程及び計量工程のドリフトによる多大な不正確性が持ち込まれる。加えて、これらの技術では多数回の反復が必要であり、それによりレジストレーション(位置合わせ)誤差が持ち込まれる。
硬X線エネルギレベル(>15keV)の光子を採用する透過型小角X線スキャタロメトリ(T-SAXS)システムには、困難事を抱える計測アプリケーションに対処できる見込みがある。SAXSテクノロジの限界寸法計測への適用(CD-SAXS)及びオーバレイ計測への適用(OVL-SAXS)の様々な態様が、1)「高輝度X線計量」(High-brightness X-ray metrology)と題するZhuang及びFielden名義の特許文献1、2)「X線兼光学複合計量用モデル構築兼分析エンジン」(Model Building And Analysis Engine For Combined X-Ray And Optical Metrology)と題するBakeman、Shchegrov、Zhao及びTan名義の特許文献2、3)「X線計量を用いる半導体デバイスオーバレイ計測方法及び装置」(Methods and Apparatus For Measuring Semiconductor Device Overlay Using X-Ray Metrology)と題するVeldman、Bakeman、Shchegrov及びMieher名義の特許文献3、4)「X線依拠計量向けの計測システム最適化」(Measurement System Optimization For X-Ray Based Metrology)と題するHench、Shchegrov及びBakeman名義の特許文献4、5)「高アスペクト比構造向けX線計量」(X-ray Metrology For High Aspect Ratio Structures)と題するDziura、Gellineau及びShchegrov名義の特許文献5、並びに6)「X線スキャタロメトリシステム向けフルビーム計量」(Full Beam Metrology for X-Ray Scatterometry Systems)と題するGellineau、Dziura、Hench、Veldman及びZalubovsky名義の米国特許出願第15/419130号に記載されているので、この参照を以てそれら文献それぞれの全容を本願に繰り入れることにする。上掲の特許及び出願は、米国カリフォルニア州ミルピタス所在のKLA-Tencor Corporationに譲受されている。加えて、「X線スキャタロメトリ装置」(X-ray scatterometry apparatus)と題するMazor et al.名義の特許文献6に、半導体構造に対するSAXSテクノロジの適用の様々な態様が記載されているので、この参照を以てその全容を本願に繰り入れることにする。
SAXSは、素材の特性解明や他の非半導体関連アプリケーションにも適用されている。そのシステム例が幾つかの企業、例えばXenocs SAS(www.xenocs.com)、Bruker Corporation(www.bruker.com)及び株式会社リガク(www.rigaku.com/en)により商業化されている。Bruker及びリガクの両者は小角X線スキャタロメトリシステム及び広角X線スキャタロメトリシステムを提供しており、それぞれ「Nanostar(商標)」及び「Nanopix(商標)」と名付けられている。これらのシステムでは標本対検出器距離が可調である。
半導体構造のCD-SAXS計量についての研究は科学文献にも記載されている。大半の研究グループにて高輝度シンクロトロンX線源が採用されているが、それらはその巨大なサイズ、多大なコスト等々故に半導体製造設備での使用に適していない。そうしたシステムの一例が非特許文献1に記載されているので、この参照を以て同文献の全容を本願に繰り入れることにする。より最近では、アメリカ国立標準技術研究所(NIST)のあるグループが始めた研究で、特許文献1に記載のそれに類するコンパクトで明るいX線源が採用されている。この研究のことが非特許文献2に記載されているので、この参照を以て同文献の全容を本願に繰り入れることにする。
SAXSシステムでは幾種類かの検出器、例えばハイブリッド画素光子計数型検出器、電荷積分画素アレイ型検出器、気相アバランシェ型検出器等々が採用されている。利用可能な検出器の画素サイズは約50μm~約200μmの範囲に及んでいる。25μm画素を有するプロトタイプが現在開発中である。
全ての従来型SAXSアーキテクチャで重大な短所は、典型的な半導体構造を計測するのに必要な機器がかなり大型なことである。検出器にて回折像を解像するには精細な角度分解能が必要になる。現状では、その分解能が、機器の長さを増すことで達成されているのである。
一例としては、Bruker製の「Nanostar(商標)」システムを適宜構成することで、標本対計測器距離を1070mm、検出器の画素サイズを68μm、q空間分解能を5×10-3オングストローム-1にすることができる。
半導体製造設備では、計量ツール及び検査ツールを比較的小さなフットプリントサイズに従わせ、それにより高価なクリーンルーム空間の使われ具合を高めてより多数のツールを受けいれるようにしないと、スループットを高めることができない。従って、現用SAXSシステムのツール長を現在の水準から縮めて、実際の半導体製造装置にて有用な水準にしなければならない。
米国特許第7929667号明細書 米国特許出願公開第2014/0019097号明細書 米国特許出願公開第2015/0117610号明細書 米国特許出願公開第2016/0202193号明細書 米国特許出願公開第2017/0167862号明細書 米国特許第9606073号明細書 米国特許出願公開第2015/0110249号 米国特許第7826071号明細書 米国特許第7478019号明細書 米国特許出願公開第2015/0300965号明細書 米国特許出願公開第2013/0304424号明細書 米国特許出願公開第2016/0320319号明細書
"Intercomparison between optical and x-ray scatterometry measurements of FinFET structures" by Lemaillet, Germer, Kline et al., Proc. SPIE, v.8681, p. 86810Q (2013) "X-ray scattering critical dimensional metrology using a compact x-ray source for next generation semiconductor devices," J. Micro/Nanolith. MEMS MOEMS 16(1), 014001 (Jan-Mar 2017)
まとめると、現在のCD/OVL-SAXSシステムは、フットプリント(ツール長)が大きすぎ、分解能が低く、角度オーダ分離に頼っているため、生産用の半導体製造設備での実施に適していない。デバイス性能を更に改善するため、半導体業界では、横方向スケーリングではなく縦集積(垂直集積)が注目され続けている。そのため、複雑な全三次元構造の正確な計測が、実行可能性及び持続的スケーリング改良を確実化する上で肝要である。将来の計量アプリケーションで現れる計量関連困難事としては、分解能条件の更なる精細化、多パラメタ相関、幾何構造例えば高アスペクト比構造の更なる複雑化、並びに不透明素材使用の増加によるものがある。そのため、改善されたX線スキャタロメトリ計測方法及びシステム、とりわけ分解能が改善されていてフットプリント(ツール長)がより小さなものが望まれている。
本願には、比較的小さなツールフットプリント(ツール長)を有する透過型小角X線スキャタロメトリ(TSAXS)システムにより半導体デバイスの寸法及び素材特性を解明する方法及びシステムが記載されている。本願記載の方法及びシステムによれば、半導体構造の計量に相応しいQ空間分解能を、短縮された光路長で以て実現することができる。
ある態様では、TSAXS計測システムにて、比較的短い光路長(例.照明源から検出器まで3m未満)に亘り硬X線照明が採用され、それによりターゲットが比較的小寸法のもの(例.約50nm)から比較的大寸法のもの(例.最大10μm)まで計測される。
更なる態様では、TSAXS計測システムにて標本を照明するに当たり、X線ビームをウェハ表面より前200mm未満のところ、計測ターゲット、検出器表面、或いはウェハ表面・検出器表面間のいずれかの個所に集束させ、それにより達成可能Q分解能に対する光学焦点性制限が軽減される。大略、極力小さな照明スポットサイズで以て照明されねばならない比較的小さなターゲットを孕む計量アプリケーションでは、そのX線ビームをウェハ表面寄りに集束させる。高い解像度及び強い光子束が望ましい比較的大きなターゲットを孕む計量アプリケーションでは、そのX線ビームを検出器寄りに集束させる。
他の更なる態様では、TSAXS計測システムに、小さなPSFを有する高分解能検出器が設けられ、それにより達成可能Q分解能に対する検出器PSF性制限が軽減される。光学焦点がウェハ及び検出器に比しどこにあっても、ウェハ対検出器距離Dが比較的小さな値(例.1m未満)まで縮まっているときには高分解能検出器が役立つ。
他の態様では、光子変換事象により誘発された電子雲の重心(質量中心)の位置が、検出器により計算される。重心の位置により入射光子の位置がサブ画素正確度で以て求まる。これにより画素サイズが効果的に縮小され、幾何学的限界を超えるQ分解能が実現される。本願記載のサブ画素空間補間は、1m未満のウェハ対検出器距離で以てする半導体構造のTSAXS計測に望ましい。
幾つかの実施形態では、比較的短い光路長を有するTSAXSシステムが、鉛直配置された(即ちウェハ表面に対する法線が重力ベクトルに対しほぼ垂直な)ウェハ上に水平方向光路が入射するよう構成される。他方、他の幾つかの実施形態では、比較的短い光路長を有するTSAXSシステムが、水平配置された(即ちウェハ表面に対する法線が重力ベクトルに対しほぼ平行な)ウェハ上に鉛直方向光路が入射するよう構成される。ビームラインを鉛直方向に向けることで、より小さなツールフットプリントを実現できウェハハンドリングが単純化される。
他の更なる態様では、X線検出器により一通り又は複数通りのX線光子エネルギが分解され、試料の特性を示すX線エネルギ成分毎の信号が生成される。このようにすると、その検出器におけるX線光子相互作用が、画素位置及び計数値に加えエネルギにより弁別される。幾つかの実施形態では、そのX線光子相互作用が、X線光子相互作用のエネルギを所望の上しきい値及び所望の下しきい値と比較することで弁別される。
他の態様では、TSAXSシステムが、ある方向沿いに空間分離されている次数、しかしそれと直交する方向沿いでは重なり合う次数に基づき、ターゲットを計測するよう、構成される。こうした実施形態のうちあるものでは、1個又は複数個の注目パラメタの値が、それら回折次数が空間分離される方向に沿い求められる。以後はそれらのパラメタ値を採用して、重なり合う次数に基づき1個又は複数個の注目パラメタの値が求められる。
他の態様では、ビーム整形スリット機構のスリットが計測下試料に至近配置され、それにより、有限な光源サイズにより定まるビーム発散による入射ビームスポットサイズの拡大が最小化される。例えば、X線源サイズが10μm、ビーム整形スリット・試料101間距離が25mmである場合に、光源サイズが有限であることで生じる影によるビームスポットサイズの拡がりが約1μmとなる。他の諸例では、試料から100mm未満のところにビーム整形スリットが配置され、それによりビーム発散が制御される。
他の更なる態様では、T-SAXSシステムを利用し散乱光の一通り又は複数通りの回折次数に依拠し試料の特性(例.構造パラメタ値)が求められる。
以上は概要であるので、随所に単純化、一般化及び細部省略が含まれている;従って、本件技術分野に習熟した者(いわゆる当業者)には明らかな通り、この概要は専ら例証的なものであり如何様であれ限定的なものではない。本願記載の装置及び/又はプロセスの他の態様、独創的特徴及び長所については、本願中で説明される非限定的詳細記述にて明らかとされよう。
本願記載の如くX線スキャタロメトリ計測を実行するよう構成された計量システム100を描いた図である。 ビーム整形スリット機構のスリットであり入来ビームを阻止しない位置にあるものを記した図である。 ビーム整形スリット機構のスリットであり入来ビームの一部分を阻止する位置にあるものを記した図である。 角度φ及びθにより記述される特定の向きにてウェハ上に入射するX線照明ビームを記した図である。 X線光学系の焦点が検出器表面又はその付近に所在するよう構成された計量システム100を描いた図である。 計量システム例えば計量システム100により計測された散乱次数の像171を記した図である。 計量システム例えば計量システム100により計測された散乱次数の像172を記した図である。 図7に記した像172の断面Cに係る強度プロファイルのプロット173を記した図である。 計量システム100の構成諸要素が計測下試料とは別の真空環境内に収容されたものを描いた図である。 本願記載の諸方法に従いX線スキャタロメトリデータに基づき試料パラメタ値を分解するよう構成されたモデル構築兼分析エンジン150を描いた図である。 本願記載の要領での計測に供された典型的3D-FLASH(登録商標)メモリデバイス190の等角図である。 本願記載の要領での計測に供された典型的3D-FLASH(登録商標)メモリデバイス190の上面図である。 本願記載の要領での計測に供された典型的3D-FLASH(登録商標)メモリデバイス190の断面図である。 本願記載の小フットプリントX線スキャタロメトリ計測に依拠する構造計測方法の例300を描いたフローチャートである。
以下、添付図面にその例が描かれている発明の背景例及び幾つかの実施形態を詳細に参照する。
本願には、比較的小さなツールフットプリントを有する透過型小角X線スキャタロメトリ(TSAXS)システムにより半導体デバイスの寸法及び素材特性を解明する方法及びシステムが記載されている。このシステム及び技術を採用することで、相異なる半導体製造プロセスに係る構造特性及び素材特性を計測することができる。幾つかの例によれば、これに限られるものではないがスピン注入磁化反転ランダムアクセスメモリ(STT-RAM)、三次元NANDメモリ(3D-NAND)又は垂直NANDメモリ(V-NAND(登録商標))、ダイナミックランダムアクセスメモリ(DRAM)、三次元FLASHメモリ(3D-FLASH(登録商標))、抵抗変化型ランダムアクセスメモリ(Re-RAM)及び相変化ランダムアクセスメモリ(PC-RAM)を初めとする高アスペクト比半導体構造の限界寸法、厚み、オーバレイ及び素材特性を、TSAXSを用い計測することができる。
高輝度TSAXSの使用により、ターゲットの不透明エリア内への大光束X線輻射浸透が可能になる。X線スキャタロメトリを用い計測可能な幾何パラメタの例には、孔(ポア)サイズ、孔密度、ラインエッジ粗さ、ライン幅粗さ、側壁(サイドウォール)角、プロファイル、限界寸法、オーバレイ、エッジ配置誤差及びピッチがある。計測可能な素材パラメタの例には電子密度がある。幾つかの例によれば、X線スキャタロメトリにより、50nm未満の小フィーチャの計測や、先進的半導体構造例えばSTT-RAM、V-NAND(登録商標)、DRAM、PC-RAM及びRe-RAMの計測を、幾何パラメタ及び素材パラメタの計測が必要な際に行うことができる。
図1には、少なくとも1個の新規形態にて試料の特性を計測するT-SAXS計量ツール100の実施形態が描かれている。図1に示すシステム100を用いることで、試料101の検査エリア102を照明ビームスポットにより照明し、そのエリア上でT-SAXS計測を実行することができる。
図示実施形態における計量ツール100は、T-SAXS計測に適するX線輻射を生成するよう構成されたX線照明源110を有している。幾つかの実施形態では、0.01nm~1nmの波長を生成するようそのX線照明システム110が構成される。大略、高スループットインライン計量を実現するのに十分な光束レベルで高輝度X線を生成しうる好適な高輝度X線照明源は全て、T-SAXS計測用X線照明の供給用に想定することができる。幾つかの実施形態におけるX線源は可調モノクロメータを有するものであり、そのX線源から送給するX線輻射の波長を幾通りかから選択することができる。
幾つかの実施形態では、デバイス全体及びウェハ基板内を十分に透過可能な波長の光がそのX線源により確と供給されるよう、15keV超の光子エネルギで以て輻射を発する1個又は複数個のX線源が採用される。非限定的な例によれば、粒子加速器線源、液体アノード線源、回動アノード線源、静止固体アノード線源、マイクロフォーカス線源、マイクロフォーカス回動アノード線源、プラズマ式線源及び逆コンプトン線源のいずれもX線源110として採用されうる。例えば、米国カリフォルニア州パロアルト所在のLyncean Technologies,Inc.から入手可能な逆コンプトン線源が想定されうる。逆コンプトン線源には、ある光子エネルギ域に亘りX線を生成可能であり、自X線源から送給するX線輻射の波長を幾通りかから選択できる、という付加的長所がある。
X線源の例には、固体又は液体ターゲットを砲撃してX線輻射を誘起するよう構成された電子ビーム式線源がある。高輝度液体金属X線照明生成方法及びシステムがKLA-Tencor Corp.名義の2011年4月19日付特許文献1に記載されているので、この参照を以てその全容を本願に繰り入れることにする。
X線照明源110によりもたらされるX線輻射は、有限な横方向寸法(即ち非ゼロのビーム軸直交方向寸法)を有する照明源エリアに亘っている。集束光学系111は、照明源輻射を、試料101上に所在する計量ターゲット上へと集束させる。照明源の横方向寸法が有限であるため、ターゲット上には有限サイズのスポット102がもたらされ、その拡がりは照明源の縁から来る光線117により定まる。幾つかの実施形態では、集束光学系111が、楕円形の集束光学素子を有するものとされる。
集束光学系111・ビーム整形スリット機構120間ビーム路には、ビーム発散制御スリット112が所在している。ビーム発散制御スリット112は、計測下試料に供給される照明の発散を制限する。ビーム発散制御スリット112・ビーム整形スリット機構120間ビーム路には、付加的な中間スリット113が所在している。中間スリット113は、更なるビーム整形を施す。とはいえ、概して中間スリット113はオプション的である。
試料101直前のビーム路にはビーム整形スリット機構120が所在している。ある態様では、ビーム整形スリット機構120のスリットが試料101に至近配置され、それにより、ビーム発散の拡がりが有限な光源サイズにより定まることによる入射ビームスポットサイズの拡大が最小化される。例えば、X線源サイズが10μm、ビーム整形スリット・試料101間距離が25mmである場合に、光源サイズが有限であることで生じる影によるビームスポットサイズの拡がりが約1μmとなる。他の諸例では、試料101から100mm未満のところにビーム整形スリットが配置され、それによりビーム発散が制御される。
幾つかの実施形態では、ビーム整形スリット機構120が、複数個の独立駆動型ビーム整形スリットを有するものとされる。一実施形態に係るビーム整形スリット機構120は、4個の独立駆動型ビーム整形スリットを有するものである。それら4個のビーム整形スリットは、入来ビーム115の一部分を効果的に阻止して、箱形照明断面を有する照明ビーム116を生成する。
図2及び図3には、図1に記したビーム整形スリット機構120が採る二通りの相異なる形態の端面外観が記されている。図2及び図3の描写ではビーム軸が紙面に対し垂直とされている。図2に記した入来ビーム115は大きな断面を有している。幾つかの実施形態では入来ビーム115の直径が約1mmとされる。更に、入来ビーム115のビーム整形スリット126~129内位置には、ビーム指向誤差による約3mmの不確定性がある。入来ビームのサイズ及びそのビーム位置不確定性を容れるため、各スリットの長さLが約6mmとされている。図2に記した通り、各スリットはビーム軸に対し垂直な方向に動かすことができる。図2の描写では、スリット126~129がビーム軸から最大距離のところに所在している(即ちスリットが全開でありビーム整形スリット機構120内光通過を制限していない)。
図3に記したビーム整形スリット機構120のスリット126~129は入来ビーム115の一部分を阻止する位置にあり、そのため、計測下試料に送給される出退ビーム116が小サイズ且つ明確形状なものとなっている。図3に記した通り、各スリット126~129は、所望の出退ビーム形状を達成すべくビーム軸に向かい内方に動かされている。
スリット126~129は、散乱を抑え入射輻射を効果的に阻止する素材で構成されている。素材例には単結晶素材、例えばゲルマニウム、ヒ化ガリウム、燐化インジウム等々がある。通常は、構造境界越しの散乱を最小化させるため、スリット素材を鋸断するのではなく結晶学的方位に沿って開裂させる。加えて、入来輻射・スリット素材内部構造間の相互作用による散乱の量が最小になるよう、そのスリットの向きを入来ビームを基準として定める。それら結晶は、そのスリットの一辺上でのX線ビームの阻止を無欠なものにすべく、高密度素材(例.タングステン)製のスリットホルダそれぞれに取り付ける。幾つかの実施形態では、各スリットが、幅が約0.5mm、高さが約1~2mmの長方形断面を有するものとされる。図2に記したスリットの長さLは約6mmである。
大略、X線光学系はX線輻射を整形して試料101へと差し向ける。幾つかの例に係るX線光学系は、試料101上に入射するX線ビームを単色化するX線モノクロメータを有する。幾つかの例に係るX線光学系は、そのX線ビームを平行化し又は集束させて試料101の計測エリア102上に届け、多層X線光学系を用い1mrad未満の発散に至らせる。こうした例ではその多層X線光学系がビームモノクロメータとしても機能する。幾つかの実施形態に係るX線光学系は、1個又は複数個のX線平行化鏡、X線アパーチャ、X線ビーム絞り、屈折性X線光学系、回折光学系例えばゾーンプレート、モンテル光学系、鏡面X線光学系例えばかすめ入射楕円体鏡、ポリキャピラリ光学系例えば中空キャピラリX線導波路、多層光学系又はシステム或いはそれらの何らかの組合せを有する。更なる詳細が特許文献7に記載されているので、この参照を以てその全容を本願に繰り入れることにする。
X線検出器119は、試料101で散乱されたX線輻射114を集めた上で、試料101の特性のうち入射X線輻射に対し感応的な特性を示す出力信号135を、T-SAXS計測方式に従い生成する。幾つかの実施形態では、散乱X線114をX線検出器119により集める際に、試料位置決めシステム140により試料101を位置決め及び方向決めすることで、角度分解されたX線を発生させる。
幾つかの実施形態に係るT-SAXSシステムは、広いダイナミックレンジ(例.10超)を呈する1個又は複数個の光子計数型検出器を有する。幾つかの実施形態では、単一の光子計数型検出器により、検出された光子の位置及び個数が検出される。
更なる態様では、T-SAXSシステムを利用し、散乱光の一通り又は複数通りの回折次数に基づき試料の特性(例.構造パラメタ値)が求められる。図1に記した計量ツール100に備わる情報処理システム130を利用することで、検出器119により生成された信号135を獲得し、獲得した信号に少なくとも部分的に基づき試料の特性を求めることができる。
幾つかの例では、T-SAXS依拠計量に際し、所定の計測モデルを計測データで以て逆解することで標本の寸法が求められる。その計測モデルは、少数(例.10個オーダ)の可調パラメタを含み、試料の幾何特性及び光学特性と、計測システムの光学特性とを、表すものである。逆解の方法には、これに限られるものではないがモデル依拠回帰、トモグラフィ、機械学習並びにそれらのあらゆる組合せがある。このように、計測された散乱X線強度とモデル化結果との間の誤差が最小になるパラメタ化計測モデル値に関し解くことで、ターゲットプロファイルパラメタが推定される。
望ましくは、広い入射角域及びアジマス角域にて計測を実行し、パラメタ値計測結果の精度及び正確度を向上させる。この手法では、分析に利用可能なデータセットの個数及び多様性を増大させて様々な大角度の面外れ姿勢を含めることで、パラメタ間相関が低減される。例えば、垂直姿勢では、T-SAXSによりフィーチャの限界寸法を解明することができるが、フィーチャの側壁角及び高さに対してはほとんど不感となる。しかしながら、計測データを広範囲の面外れ角度姿勢に亘り収集することで、フィーチャの側壁角及び高さを解明することができる。他の諸例では、広い入射角域及びアジマス角域にて計測を実行することで、その全深度に亘り高アスペクト比構造を解明するのに十分な分解能及び浸透深さが実現される。
回折輻射の強度計測結果は、ウェハ表面法線に対するX線入射角の関数として収集される。それら複数通りの回折次数に含まれる情報は、通常、個々の考慮下モデルパラメタ間でユニークである。従って、X線散乱により注目パラメタの値に関しもたらされる推定結果は、誤差が小さくパラメタ相関が少ないものとなる。
半導体ウェハ101の表面法線に対する照明X線ビーム116の個々の向きは、X線照明ビームに対するウェハ101の任意な二通りの角度回動により記述されるものであり、またその逆も成り立つ。一例としては、その向きを、ウェハに対し固定された座標系を基準にして記述することができる。図4には、入射角θ及びアジマス角φにより記述される特定の向きにてウェハ101上に入射するX線照明ビーム116が記されている。座標系XYZは計量システム(例.照明ビーム116)に対し固定されており、座標系X’Y’Z’はウェハ101に対し固定されている。Y軸はウェハ101の表面に対し面内整列している。X及びZはウェハ101の表面に対し整列していない。Z’はウェハ101の表面に対し垂直な軸と整列しており、X’及びY’はウェハ101の表面に対し整列した平面内にある。図4に記したX線照明ビーム116はZ軸に対し整列しており、従ってXZ平面に内在している。入射角θは、ウェハの表面法線を基準としたX線照明ビーム116の向きをXZ平面にて記述している。更に、アジマス角φはX’Z’平面を基準としたXZ平面の向きを記述している。θ及びφが相俟ち、ウェハ101の表面を基準としたX線照明ビーム116の向きがユニークに特定される。この例では、ウェハ101の表面を基準としたX線照明ビームの向きが、ウェハ101の表面に対し垂直な軸(即ちZ’軸)周りでの回動と、ウェハ101の表面に対し整列している軸(即ちY軸)周りでの回動とにより、記述されている。幾つかの他例では、ウェハ101の表面を基準としたX線照明ビームの向きが、ウェハ101の表面に対し整列している第1軸周りでの回動と、その第1軸に対し垂直でウェハ101の表面に対し整列している別の軸周りでの回動とにより、記述される。
ある態様では、TSAXS計測システムにて硬X線照明(例.15keV以上)が比較的短い光路長(例.照明源から検出器まで3m未満)に亘り利用され、それにより比較的小さな寸法(例.約50nm)から比較的大きな寸法(例.最大10μm)に及ぶターゲットが計測される。大略、本願記載の方法及びシステムにより、半導体構造の計量に相応しいQ空間分解能を短縮された光路長で以て実現することができる。
TSAXSシステムの最小達成可能分解能についての幾何学的限界は、しばしば最小Q値Qminにより特徴付けられる。Qminは等式(1)により表されるものであり、式中のpは検出器における画素サイズ、Dは計測下標本・検出器間の距離、λはX線輻射の波長である。
Figure 2023001192000002
等式(1)により描出された通り、計測下標本・検出器間距離Dが小さくなると所与画素サイズに係る最小達成可能Q値が高くなる。Dの値を小さくしつつQ分解能を維持する(即ちQminを小値のままにする)には、画素サイズの比例的縮小が必要になる。最先進半導体計量ターゲットの計量には、TSAXSシステムのQ値が0.01nm-1未満であることが必要だが、画素サイズにより制限される営利的TSAXSシステムでは、今のところ達成されていない。
Q分解能についての幾何学的限界を等式(1)に記したが、Q分解能に対する制限は他にもあり、それらを抑圧しないとその幾何学的限界には到達し得ない。例えば、検出器における光学焦点の空間的拡がりで達成可能Q分解能が制限される。また例えば、検出器における光学システムの点拡がり関数(PSF)で達成可能Q分解能が制限される。
更なる態様では、本願記載のTSAXS計測に際し、ウェハ表面より前200mm未満のところ、計測ターゲット、検出器表面、或いはウェハ表面・検出器表面間のいずれかの個所に集束するX線ビームで以て標本が照明され、それにより達成可能Q分解能に対する光学焦点性制限が軽減される。大略、X線ビームをウェハ表面寄りに集束させることが、比較的小さなターゲットが関わっていて極力小さな照明スポットサイズで以てそれらを照明しなければならない計量アプリケーションには相応しい。更に、X線ビームを検出器寄りに集束させることが、比較的大きなターゲットが関わっていて高い画像分解能及び光子束が望まれる計量アプリケーションには相応しい。
幾つかの実施形態では、図1に描いた通り、TSAXS計測システムの焦点をウェハ表面又はその付近に所在させて、比較的小さなターゲット(例.約50~100nm)の計測に供する。照明焦点をウェハに所在させることで、計測スポットサイズが計測下構造にて最小となる。これにより、注目ターゲット周辺の構造上への照明光の漏れによる信号汚染が最少化される。この構成が望ましいのは小型ターゲットの場合、即ち有限な計測スポットサイズによる信号汚染が制約となる場合である。しかしながら、照明焦点を検出器ではなくウェハに所在させることで、検出器上での入射ビームサイズに増大が生じる。これは、入射ビームの回折部分がビーム発散により重なり合う蓋然性を増大させる。これが激しくなるのはウェハ・検出器間距離が比較的小さな寸法(例.1m未満)へと縮められたときであり、なぜならウェハ・検出器間距離が縮まるにつれ角度次数間の空間分離が小さくなるからである。とはいえ、小型ターゲット(例.100nm未満)であれば回折次数間角度分離が比較的大きく、本願記載の検出器分解能増強技術により、検出器焦点性制限による制限が克服される。
他の諸実施形態では、図5に描いた通り、そのTSAXS計測システムの焦点を検出器表面又はその付近に所在させて、比較的大きなターゲット(例.約1~10μm)の計測に供する。照明焦点を検出器に所在させることで、計測スポットサイズは、計測下ターゲットではなくその検出器にて最小となる。この構成が望ましいのは比較的大型のターゲットの場合、即ち注目ターゲット周辺の構造上への照明光の漏れによる信号汚染のリスクが少ないためウェハでの有限な計測スポットサイズによる信号汚染が制約とならない場合である。しかしながら、大型ターゲットでは回折次数間角度分離が比較的小さくなる。従って、検出器における角度次数の空間分離が比較的小さくなる。これが激しくなるのは、ウェハ・検出器間距離が比較的小さな寸法(例.1m未満)へと縮められたときである。照明焦点を検出器に所在させることで、焦点性制限により入射ビームの回折部分が重なり合う蓋然性が最小化される。更に、ターゲットサイズが比較的大きい場合に検出器における次数の空間分離が比較的小さくなることによる制限が、本願記載の検出器分解能増強技術により克服される。
大略、光学焦点の位置は、上述した長所/短所の付随的トレードオフを伴いつつ、計測下標本・検出器間の任意の位置に調整することができる。大略、ターゲットサイズが小さいほど、光学焦点をウェハ寄り即ちウェハの面前に動かすことが望ましく、ターゲットサイズが大きいほど、光学焦点を検出器寄りに動かすことが望ましい。
更なる態様では、本願記載のTSAXS計測に際し、小さなPSFを有する高分解能検出器により、達成可能Q分解能に対する検出器PSF性制限が軽減される。光学焦点がウェハ及び検出器に比しどこにあっても、ウェハ対検出器距離Dが比較的小さな値(例.1m未満)に縮まっているときには高分解能検出器が役立つ。
システムのPSFにより課されるQ分解能制限は、計量の条件及びそのPSFの源泉により左右される。例えば弱散乱の場合、PSFを所与Qにて10%まで低下させることが、そのQを分解するのに必要となりうる。他の諸例では、PSFを所与Qにて1%まで低下させることが、そのQを分解するのに必要となりうる。高いQ分解能及び極力小さなウェハ対検出器距離Dを実現するため、本TSAXSシステムは、Q独立なPSFが最小化されるように設計される。幾つかの例では、検出器の画素サイズを100μm未満とし、検出器PSFをその画素サイズより小さくすることで、個別画素に入射した回折光による隣接画素の汚染が0.1%未満とされる。
検出器素材は、透過が最少になるよう選択される。更に、検出器の構成次第で、検出器におけるPSF拡張が最小化される。結果として、システムPSFが検出器位置から独立になる。例えば、従前のシリコン式検出器による硬X線(例.15keV以上)の検出には、顕著なQ独立PSFなる問題がある。結果として、半導体構造の計量を実行するのに、大きなウェハ対検出器距離D(例.2m以上)が必要となっている。透過及び後方散乱を減らすことで、等式(1)により記述される幾何学的限界未満までPSF性制限が抑圧され、1m未満のウェハ対検出器距離D(例.600mm以下のD)による半導体構造の計量が実現される。
幾つかの実施形態に係るTSAXSシステムは、高い量子効率及び広いダイナミックレンジ(例.10超)を呈する1個又は複数個の光子計数型検出器であり、損傷なく且つ最少限の寄生後方散乱で以て入射輻射を吸収する厚手(例.500μm超厚)の高吸収性結晶基板を有するものが、設けられる。幾つかの実施形態では、単一の光子計数型検出器により、検出された光子の位置及び個数が検出される。
幾つかの実施形態では、0次ビームがより高い回折次数と共に集められる。0次ビームは他次数に比べ数桁強い振幅を有している。0次ビームが検出器のX線感知セクションにて完全吸収されない場合、それが散乱して寄生信号を発生させることとなろう。それら寄生信号の強度により計測のダイナミックレンジが制限される。例えば、寄生信号が最大光束信号(即ち0次信号)の10-4倍である場合、多くのより高い次数に係る信号が汚染されよう。従って、その検出器(例.検出器119)が、X線から電子正孔対への高い変換効率並びに高いX線吸収率を呈することが、X線計量の有効ダイナミックレンジを広げる上で肝要である。
小フットプリントX線スキャタロメトリに適する検出器素材の例には、テルル化カドミウム(CdTe)、ゲルマニウム(Ge)及びヒ化ガリウム(GaAs)の結晶その他がある。幾つかの実施形態では、線源エネルギに対応する狭いエネルギ帯にて高い変換効率がもたらされるよう、検出器素材が選択される。
幾つかの実施形態では、所望の入来X線吸収が達成されるよう、検出器素材の厚みが選定される。幾つかの実施形態では、入来X線ビーム(様々な回折次数)に対し検出器を傾斜させることで、その検出器素材内でのX線ビームの光路長を増やし、ひいては総吸収量を増大させる。
幾つかの実施形態では、デュアルしきい値検出器を採用することでSNRが改善される。
更なる態様では、TSAXSシステムを利用し、複数通りの回折次数計測結果に基づき試料の特性(例.構造パラメタ値)が求められる。図1に記した計量ツール100に備わる情報処理システム130を利用することで、検出器119により生成された信号135を獲得し、獲得した信号に少なくとも部分的に基づき試料の特性を求めることができる。
TSAXS計測では、高アスペクト比で製造された構造により平行化X線ビームが回折されて諸回折次数となる。各回折次数は、予測可能な特定の方向に進行する。それら回折次数の角度間隔は、試料の格子定数を波長で除したものに反比例する。それら回折次数は、ウェハから幾ばくかの距離のところに配置された検出器アレイにより検出される。その検出器の各画素が、その画素に射突する光子の個数を示す信号を出力する。
回折次数の強度は形式I(m,n,θ,φ,λ)をなしており、この形式中の{m,n}は回折次数の整数指数、{θ,φ}は入射ビームの仰角及びアジマス角(即ちウェハに固定された座標系に対する入射主光線の極座標値)、λは入射X線の波長である。
照明光は、照明源から出て試料へと伝搬する際に、幾つかのノイズ源により擾乱される。擾乱の例には電子ビーム流ふらつき、温度誘起性光学系ドリフト等々がある。擾乱された入射光束はF(1+n)と表される。
ターゲットは、入射ビームのアジマス角及び仰角に依存する形態にて入射輻射を散乱させる。次数(m,n)に至る光散乱の効率はSmn(θ,φ)と定義することができる。回折光が試料から検出器へと伝搬する際にそのビームが通過する他の散乱媒質にて、全ての次数が同様の影響を受けて、幾ばくかのばらつき(1+n)及び寄生ノイズ(n)が付加される。このように、時刻tにて計測された各次数の総強度Imnを、等式(2)により表すことができる。
Figure 2023001192000003
図6には、計量システム例えば計量システム100により計測された散乱次数の像171が記されている。図6に描いた像の中心にある輝点は0次ビームに関連している。
各次数の強度は多様なやり方で抽出することができる。幾つかの実施形態では、それら回折次数が検出器にて空間分離を呈する。そうした実施形態では、それら回折次数が検出器アレイにより個別検出され、同じ回折次数に係る画素の出力が結合(即ち加算)される。この形態では、検出された回折次数が、個別の回折次数それぞれに係る画素の光子計数値を積算することで弁別される。このシナリオは、比較的小さなピッチのフィーチャを計測する際や比較的小さな発散を呈するビームを計測する際に生じやすい。
他の幾つかの実施形態では回折次数が空間的に重なり合う。これが典型的となるのは、比較的大きなターゲット(例.1μm以上のピッチを有するターゲット)に対するTSAXS計量を比較的小さなウェハ対検出器距離D(例.2m未満のD)で以て実行する際や、比較的大きな発散を呈するビームで以て計測する際である。こうした実施形態では、それら回折次数がQ空間にて分離され、それにより注目構造パラメタの値が推定される。これらの実施形態のうちあるものでは、利用可能なビーム形状情報に基づきその回折次数の形状が推定され、正確なビームモデルを利用して重なりによるQ分解能低下が算入される。これは、オンデバイス計量の条件に合致させる上でひときわ重要である。幾つかの既存計量システムでは、それら回折次数の形状を推定するのに必要なビーム形状情報へのアクセスが、そのシステムで採用されているビーム絞りにより妨げられるため、重なり合う次数の分離を実行することができない。そうしたシステムが「X線スキャタロメトリ装置」(X-ray scatterometry apparatus)と題するMazor, et al.名義の特許文献6に記載されているので、この参照を以てその全容を本願に繰り入れることにする。
検出器にて回折次数が空間的に重なり合っている場合、画素出力を単純に組み合わせても、個別の回折次数に係る強度を求めることができない。そうした実施形態では、それら回折次数をデコンボリューションする計測モデルを利用することで、検出された回折次数それぞれの計測強度が弁別される。
幾つかの実施形態では、重なり合う次数が、0次ビーム形状計測結果に基づきデコンボリューションされる。幾つかの実施形態では、このデコンボリューションがリアルタイム実行される。より高い回折次数(即ち0超の次数)のビームプロファイルが、その0次ビームのプロファイルに基づきモデル化される。図7には、計量システム例えば計量システム100により計測された散乱次数の像172が記されている。図8には、図7に記した像172の断面Cに係る強度プロファイルのプロット173が記されている。比較的高強度な0次ビームにより非常に正確なビームプロファイルが提供されるため、それを用いより高い回折次数をモデル化することができる。
幾つかの実施形態では、より高い回折次数それぞれの強度が、強度の単純除算その他により、0次ビーム計測結果を基準として推定される。このようにすると、比較的弱くより高次な信号に係る計測不確定性が顕著に低減される。
同時計測された0次ビームに基づき、より高い回折次数の強度を推定することで、データ収集中のシステム擾乱から散乱信号が分離される。光学部材(例.スリット、光学系、スポット整形)の誤整列による擾乱、並びにビーム路沿いでの擾乱(例.n及びn)が、リアルタイムに軽減される。0次を含め全ての散乱強度を用いることで、計測された試料の厚み又は素材密度に対する散乱強度の依存性が、ウェハ前後での光束擾乱から分離される。
検出器の結晶内における高エネルギ光子の電子雲への物理変換も、高q分解能短光路長TSAXSシステムに対し検出制限を課している。その画素サイズが十分に小さくて半導体構造の短光路長TSAXS計量を実行しうる場合、その電子雲の働きで単一光子事象が数個の画素に亘り検出される。
他の態様では、光子変換事象により誘発された電子雲の重心の位置が検出器(例.検出器119)により算出される。重心の位置により入射光子の位置がサブ画素正確度で以てもたらされる。これにより画素サイズが実質的に縮小されるので、等式(1)により記述されている幾何学的限界を上回るQ分解能を実現することができる。本願記載のサブ画素空間補間は、1m未満のウェハ対検出器距離での半導体構造のTSAXS計測向けに望ましい。
幾つかの実施形態では、比較的短い光路長を有するTSAXSシステムが、鉛直配置された(即ちウェハ表面に対する法線が重力ベクトルに対しほぼ垂直な)ウェハ上に入射する水平方向光路付で形成される。他方、幾つかの他実施形態では、比較的短い光路長を有するTSAXSシステムが、水平配置された(即ちウェハ表面に対する法線が重力ベクトルに対しほぼ平行な)ウェハ上に入射する鉛直方向光路付で形成される。ビームラインの向きを鉛直にすることで、より小さなツールフットプリントを達成してウェハハンドリングを単純化することができる。
更なる態様では、X線検出器により一通り又は複数通りのX線光子エネルギが分解され、その試料の特性を示すX線エネルギ成分毎の信号がもたらされる。幾つかの実施形態では、そのX線検出器119に、CCDアレイ、マイクロチャネルプレート、フォトダイオードアレイ、マイクロストリップ型比例計数器、ガス充填式比例計数管、シンチレータ及び蛍光素材のうちいずれかが備わる。
このようにすると、その検出器におけるX線光子相互作用が、画素位置及び計数値に加えエネルギにより弁別される。幾つかの実施形態では、それらX線光子相互作用が、そのX線光子相互作用のエネルギを所定の上しきい値及び所定の下しきい値と比較することで弁別される。ある実施形態では、この情報が出力信号135により情報処理システム130に送られて更なる処理及び格納に供される。
幾つかの実施形態では、計測下ターゲットが1個の次元に沿い周期的なものとされる(例.FinFET構造)。そのため、検出器におけるTSAXSシステムのPSFを一方向に沿い最小化するだけでよい。他方、幾つかの他実施形態では、計測下ターゲットが2個の次元に沿い周期的なものとされる(例.VNAND(商標)のコンタクト)。そうした実施形態では、検出器におけるTSAXSシステムのPSFを両方向に沿い最小化させることが役立つ。
他態様に係るTSAXSシステムは、ある方向にて空間分離される次数、しかしそれと直交する方向では重なり合う次数に基づきターゲットを計測するよう構成される。こうした実施形態のうちあるものでは、1個又は複数個の注目パラメタの値が、それら回折次数が空間分離される方向に沿い求められる。以後はそれらのパラメタ値が採用され、重なり合う次数に基づき1個又は複数個の注目パラメタの値が求められる。
幾つかの実施形態では、X線照明源110、集束光学系111、スリット112及び113又はそれらの何らかの組合せが、試料101のそれと同じ雰囲気環境(例.ガスパージ環境)内に保持される。しかしながら、幾つかの実施形態では、それら構成要素間の光路及びいずれかの構成要素内の光路が長くなり、気中X線散乱が検出器上の像に対しノイズを及ぼす。そのため、幾つかの実施形態では、X線照明源110、集束光学系111、並びにスリット112及び113のうちいずれかが、真空窓によって試料(例.試料101)から相互分離された局所的真空環境内に保持される。
同様に、幾つかの実施形態では、X線検出器119が試料101のそれと同じ雰囲気環境(例.ガスパージ環境)内に保持される。しかしながら、幾つかの実施形態では、試料101・X線検出器119間距離が長々しくなり、気中X線散乱が被検出信号に対しノイズを及ぼす。そのため、幾つかの実施形態では、そのX線検出器のうち1個又は複数個(例.検出器119)が、真空窓により試料(例.試料101)から分離された局所的真空環境内に保持される。
図9は、X線照明源110が収容された真空チャンバ160、集束光学系111が収容された真空チャンバ162、並びにスリット112及び113が収容された真空チャンバ163を描いた図である。各真空チャンバの開口は真空窓で覆われている。例えば、真空チャンバ160の開口は真空窓161で覆われている。同様に、真空チャンバ163の開口は真空窓164で覆われている。それら真空窓は、X線輻射に対し実質的に透明で好適な何らかの素材(例.カプトン(登録商標)、ベリリウム等々)で構成すればよい。各真空チャンバ内を好適な真空環境に保つことで、照明ビームの散乱が最小化される。好適な真空環境には、あらゆる好適レベルの真空、小原子番号気体(例.ヘリウム)が入っているあらゆる好適パージ環境、或いはそれらのあらゆる組合せが含まれうる。このようにすると、ビーム路の極力多くが真空中に所在するので、光束が最大化され散乱が最小化される。
幾つかの実施形態では、試料101を含め光学システム全体が真空中で保持される。但し、一般に、試料位置決めシステム140の構成が複雑になることが原因で、試料101の真空中保持に関わるコストが高くなる。
他の更なる態様では、ビーム整形スリット機構120が真空チャンバ163と機械的に一体化され、それにより雰囲気環境曝露ビーム路長が最短化される。大略、望ましいのは、試料101への入射前は極力多くのビームを真空中に封じておくことである。幾つかの実施形態では、ビーム整形スリット機構120の入口にある中空円筒状空洞内へと真空ビームラインが延ばされる。ビーム整形スリット機構120内真空チャンバ163の出口に真空窓164を所在させることで、入来ビーム115がビーム整形スリット機構120の一部分にて真空中に保たれ、その上で真空窓164を通って、スリット126~129及び試料101のうちいずれかと相互作用するようにする。
図1に記した実施形態では、集束光学系111、スリット112及び113並びにビーム整形スリット機構120が、飛行管118内の被制御環境(例.真空)内で保持されている。
他の更なる態様では、試料の被計測構造の構造モデル(例.幾何モデル、素材モデル又は幾何素材複合モデル)を生成し、その構造モデルに由来する少なくとも1個の幾何パラメタを含むTSAXS応答モデルを生成し、そしてそのTSAXS応答モデルで以てTSAXS計測データの当てはめ分析を実行することで少なくとも1個の試料パラメタ値を解明するよう、情報処理システム130が構成される。この分析エンジンを用いその模擬TSAXS信号を計測データと比較することで、その標本の幾何特性及び素材特性例えば電子密度の判別を行うことができる。図1に記した実施形態では情報処理システム130がモデル構築兼分析エンジンとして構成されており、本願記載の如くモデル構築及び分析機能が実現されるようそのエンジンが構成されている。
図10は、情報処理システム130により体現されるモデル構築兼分析エンジンの例150を描いた図である。図10に記したモデル構築兼分析エンジン150は、試料の被計測構造の構造モデル152を生成する構造モデル構築モジュール151を有している。幾つかの実施形態では構造モデル152にその試料の素材特性も組み込まれる。その構造モデル152はTSAXS応答関数構築モジュール153への入力として受け取られる。TSAXS応答関数構築モジュール153は、その構造モデル152に少なくとも部分的に依拠してTSAXS応答関数モデル155を生成する。幾つかの例におけるTSAXS応答関数モデル155はX線フォームファクタ
Figure 2023001192000004
に基づくものであり、この式中のFはフォームファクタ、qは散乱ベクトル、そしてρ(r)は球座標による試料の電子密度である。そして、X線散乱強度は
Figure 2023001192000005
で与えられる。TSAXS応答関数モデル155は当てはめ分析モジュール157への入力として受け取られる。当てはめ分析モジュール157は、そのモデル化TSAXS応答をそれに対応する計測データと比較することで、その試料の幾何特性及び素材特性を求める。
幾つかの例では、実験データへのモデル化データの当てはめがχ二乗値を最小化することで達成される。例えば、TSAXS計測に係るχ二乗値を
Figure 2023001192000006
として定義することができる。
式中、S SAXS experimentは「チャネル」jにて計測されたTSAXS信号135であり、その指数jは一組のシステムパラメタ、例えば回折次数、エネルギ、角度座標等々を指し示している。S SAXS model(v,…,v)は、「チャネル」jに係るモデル化TSAXS信号Sを一組の構造(ターゲット)パラメタv,…,vに関し評価したものであり、それらのパラメタは幾何(CD、側壁角、オーバレイ等々)及び素材(電子密度等々)を指し示している。σSAXS,jは第jチャネルに係る不確定性である。NSAXSはそのX線計量におけるチャネルの総数である。Lはその計量ターゲットを特徴付けるパラメタの個数である。
等式(5)では、別々のチャネルに係る不確定性が相関しないことが仮定されている。別々のチャネルに係る不確定性が相関する例では、それら不確定性間の共分散を計算することができる。こうした例では、X線スキャタロメトリ計測に係るχ二乗値を
Figure 2023001192000007
と表すことができる。
式中、VSAXSはSAXSチャネル不確定性の共分散行列であり、Tはその転置を表している。
幾つかの例では、当てはめ分析モジュール157により、TSAXS応答モデル155で以てTSAXS計測データ135に対する当てはめ分析が実行され、それにより少なくとも1個の試料パラメタ値が解明される。幾つかの例ではχSAXS が最適化される。
前述の通り、TSAXSデータの当てはめはχ二乗値の最小化により達成される。とはいえ、一般に、TSAXSデータの当てはめは他の関数でも達成されうる。
TSAXSデータの当てはめは、注目幾何及び/又は素材パラメタに対し有感ならどの種類のTSAXSテクノロジでも役立つ。試料パラメタは、試料とのTSAXSビーム相互作用を記述する適正なモデルが用いられる限り、決定論的なもの(例.CD、SWA等々)とも統計的なもの(例.rms側壁高粗さ、粗さ相関長等々)ともすることができる。
大略、情報処理システム130は、リアルタイム限界寸法決め(RTCD)を利用しモデルパラメタにリアルタイムアクセスするよう構成されるが、事前算出モデルのライブラリにアクセスして試料101に係る少なくとも1個の試料パラメタ値を求めるのでもよい。一般に、ある種の形態のCDエンジンを用いることで、試料に割り当てられているCDパラメタと、計測された試料に係るCDパラメタとの間の差異を、評価することができる。試料パラメタ値算出方法及びシステムの例がKLA-Tencor Corp.名義の2010年11月2日付特許文献8に記載されているので、この参照を以てその全容を本願に繰り入れることにする。
幾つかの例では、モデル構築兼分析エンジン150にて、フィードサイドウェイ分析、フィードフォワード分析及びパラレル分析の何らかの組合せによりパラメタ計測結果の正確性が改善される。フィードサイドウェイ分析とは、同じ試料の別エリア上で複数個のデータセットを採取し、第1データセットから求めた共通パラメタを第2データセット側に引き渡して分析に供することである。フィードフォワード分析とは、別々の試料上でデータセットを採取し、ステップ的コピーイグザクトパラメタフィードフォワード手法を用い爾後の分析に共通パラメタを先渡しすることである。パラレル分析とは、複数個のデータセットに対する非線形当てはめ方法論の並列的又は同時的適用であり、当てはめ中に少なくとも1個の共通パラメタが結合されるもののことである。
複数ツール兼構造分析とは、回帰、ルックアップテーブル(即ち「ライブラリ」マッチング)又は他の複数データセット当てはめ手順に依拠するフィードフォワード、フィードサイドウェイ又はパラレル分析のことである。複数ツール兼構造分析方法及びシステムの例がKLA-Tencor Corp.名義の2009年1月13日付特許文献9に記載されているので、この参照を以てその全容を本願に繰り入れることにする。
ある更なる態様では、計量ツール100に備わる情報処理システム(例.情報処理システム130)が、本願記載のビーム制御機能が実現されるよう構成される。図1に記した実施形態では、情報処理システム130が、例えば入射照明ビーム117の強度、発散、スポットサイズ、偏向、スペクトラム及び配置を初め、いずれかの照明特性を制御するよう動作させうるビームコントローラとして、構成されている。
図1に描いた通り、情報処理システム130は検出器119に可通信結合されている。情報処理システム130は、検出器119から計測データ135を受領するよう構成されている。一例に係る計測データ135は、試料応答の計測結果(即ち諸次回折成分の強度)の指示子を含んでいる。検出器119の表面上における応答計測結果の分布に基づき、試料101上における照明ビーム116の入射位置及びエリアが、情報処理システム130により求められる。例えば、パターン認識技術を情報処理システム130により適用することで、試料101上における照明ビーム116の入射位置及びエリアが計測データ135に基づき求まる。幾つかの例では、情報処理システム130によりコマンド信号137が照明源110に送られて所望の照明波長が選択される一方、コマンド信号136がビーム選択サブシステム120に送られて、入射照明ビーム116が試料101の所望位置に所望角度姿勢にて到達するよう照明ビーム116が再方向設定及び再整形される。幾つかの他例では、情報処理システム130によりコマンド信号がウェハ位置決めシステム140に送られて、入射照明ビーム116が試料101の所望位置に所望角度姿勢にて到達するよう試料101が位置決め及び方向決めされる。
他の態様では、X線スキャタロメトリ計測データを用いることで、被計測構造の画像が、検出された回折次数の強度計測結果に基づき生成される。幾つかの実施形態では、包括電子密度メッシュからの散乱を記述すべくTSAXS応答関数モデルが一般化される。計測された信号にこのモデルを整合させつつ、そのメッシュにおけるモデル化電子密度に制約を加え連続性及び疎エッジを強調することで、その標本の三次元画像がもたらされる。
幾何的でモデルに依拠したパラメトリック逆変換が、TSAXS計測に依拠した限界寸法(CD)計量には望ましいけれども、被計測試料がその幾何モデルの仮定事項から逸脱しているときには、それと同じTSAXSデータから生成された試料マップが、モデル誤差を識別して補正するのに役立つ。
幾つかの例では、その画像が、同じスキャタロメトリ計測データについての幾何的でモデルに依拠したパラメトリック逆変換により推定された構造特性と比較される。食い違いを用い被計測構造の幾何モデルを更新し計測性能を改善することができる。正確なパラメトリック計測モデルに対するこの集束能は、集積回路を計測してそれらの製造プロセスを制御、監視及びトラブルシュートする際にひときわ重要である。
幾つかの例では、その画像が、電子密度、吸光率、複素屈折率又はそれら素材特性の組合せの二次元(2D)マップとなる。幾つかの例では、その画像が、電子密度、吸光率、複素屈折率又はそれら素材特性の組合せの三次元(3D)マップとなる。そのマップは比較的少数の物理的制約を用い生成される。幾つかの例では、得られたマップから直に1個又は複数個の注目パラメタ、例えば限界寸法(CD)、側壁角(SWA)、オーバレイ、エッジ配置誤差、ピッチウォーク等々が推定される。幾つかの他例では、モデル依拠CD計測用に採用されたパラメトリック構造モデルにより見積もられる期待値域外に標本の幾何又は素材が外れている際に、そのマップを用いそのウェハプロセスがデバッグされる。例えば、被計測パラメタに従いそのパラメトリック構造モデルによりその構造の表現物が予測され、その表現物とそのマップとの間の差異を用い、そのパラメトリック構造モデルが更新されてその計測性能が改善される。更なる詳細が特許文献10に記載されているので、この参照を以てその全容を本願に繰り入れることにする。付加的な詳細が特許文献3に記載されているので、この参照を以てその全容を本願に繰り入れることにする。
更なる態様では、モデル構築兼分析エンジン150を利用し、X線計測光学計測結合分析モデルが生成される。幾つかの例では、例えば厳密結合波分析(RWCA)に依拠した光学シミュレーションによりマクスウェルの方程式が解かれ、光学信号例えば反射率が様々な偏向、エリプソメトリパラメタ、位相変化等々に関し算出される。
1個又は複数個の注目パラメタの値が、複数通りの相異なる入射角におけるX線回折次数の強度検出結果並びに光学強度検出結果についての結合当てはめ分析であり、結合幾何パラメタ化応答モデルによるものを踏まえて、求められる。光学強度は、図1に記したシステム100等のX線計量システムと機械的に一体化されていてもいなくてもよいが、光学計量ツールによって計測される。更なる詳細が特許文献2及び11に記載されているので、それら文献それぞれの全容をこの参照を以て本願に繰り入れることにする。
幾つかの実施形態では、本願記載の如くX線スキャタロメトリ計測により特性解明される計量ターゲットが、計測下ウェハのスクライブライン内に配置される。こうした実施形態では、計量ターゲットが、そのスクライブラインの幅内に収まるようサイズ設定される。幾つかの例ではそのスクライブライン幅が80μm未満とされる。幾つかの例ではそのスクライブラインが50μm未満とされる。一般に、半導体製造にて採用されるスクライブラインの幅は縮小傾向にある。
幾つかの実施形態では、本願記載の如くX線スキャタロメトリ計測により特性解明される計量ターゲットが、計測下ウェハの能動ダイエリア内に配置され、機能的集積回路(例.メモリ、イメージセンサ、論理デバイス等々)の一部となる。
大略、計量ターゲットを特徴付けるアスペクト比は、その計量ターゲットの最大高さ寸法(即ちウェハ表面に対し垂直な方向の寸法)を最大横方向寸法(即ちウェハ表面に対し整列している方向の寸法)により除したものとして定義される。幾つかの実施形態では計測下計量ターゲットのアスペクト比が少なくとも20とされる。幾つかの実施形態では計量ターゲットのアスペクト比が少なくとも40とされる。
図11A~図11Cには、順に、本願記載の要領での計測に供された典型的3D-FLASH(登録商標)メモリデバイス190の等角外観、上面外観及び断面外観が記されている。メモリデバイス190の全高(等価的には深さ)は1~数μmの範囲内である。メモリデバイス190は垂直製造デバイスである。垂直製造デバイス例えばメモリデバイス190は、本質的には、従来のプレーナメモリデバイスを90°回してビットライン及びセルストリングを鉛直に(ウェハ表面に対し垂直に)向けたものである。十分な記憶容量を提供するため、多数の交番する異種素材層がウェハ上に堆積される。100nm以下の最大横方向拡がりを有する構造でそうするには、パターニングプロセスを数μmの深さまで好適実行することが求められる。結果として、アスペクト比が25:1又は50:1になることが珍しくない。
認識されるべきことに、本件開示の随所に記載の様々なステップを、単一のコンピュータシステム130で実行してもよいし、それに代え複数個のコンピュータシステム130で実行してもよい。更に、システム100の諸サブシステム例えば試料位置決めシステム140が、本願記載の諸ステップのうち少なくとも一部分を実行するのに適したコンピュータシステムを有していてもよい。従って、上掲の記述は本発明に対する限定事項としてではなく、単なる例証として解されるべきである。更に、当該1個又は複数個の情報処理システム130は、本願記載のいずれの方法実施形態のいずれの他ステップ(群)を実行するようにも構成されうる。
加えて、コンピュータシステム130を検出器119及び照明光学素子に可通信結合させる要領は、本件技術分野で既知ないずれの要領でもよい。例えば、当該1個又は複数個の情報処理システム130を検出器119に係る情報処理システムに結合させてもよい。また例えば、コンピュータシステム130に結合された単一のコンピュータシステムにより検出器119を直に制御してもよい。
コンピュータシステム130を、本システムの諸サブシステム(例.検出器119等)からのデータ又は情報を伝送媒体、例えば有線及び/又は無線区間を有するそれにより受領及び/又は獲得するよう、構成してもよい。こう形態では、その伝送媒体を、コンピュータシステム130とシステム100の他サブシステムとの間のデータリンクとして働かせることができる。
計量システム100のコンピュータシステム130を、他システムからのデータ又は情報(例.計測結果、モデリング入力、モデリング結果等々)を伝送媒体、例えば有線及び/又は無線区間を有するそれにより受領及び/又は獲得するよう、構成してもよい。この形態では、その伝送媒体を、コンピュータシステム130と他システム(例.計量システム100のオンボードメモリ、外部メモリ又は外部システム)との間のデータリンクとして働かせることができる。例えば、データリンクを介し格納媒体(即ちメモリ132又は180)から計測データ(例.信号135)を受け取るよう情報処理システム130を構成してもよい。一例としては、検出器119により計測された強度を恒久的又は半恒久的記憶デバイス(例.メモリ132又は180)内に格納させてもよい。この場合、その計測結果をオンボードメモリから、或いは外部メモリシステムからインポートすることができる。更に、コンピュータシステム130が伝送媒体を介し他システムにデータを送ってもよい。一例としては、コンピュータシステム130により求められた試料パラメタ値170を恒久的又は半恒久的記憶デバイス(例.メモリ180)内に格納させてもよい。この場合、計測結果を他システムにエキスポートすることができる。
情報処理システム130には、これに限られるものではないが、パーソナルコンピュータシステム、メインフレームコンピュータシステム、ワークステーション、イメージコンピュータ、並列プロセッサその他、本件技術分野で既知なあらゆる装置が包含されうる。一般に、語「情報処理システム」は、記憶媒体から得た命令を実行するプロセッサを1個又は複数個有するデバイス全てが包含されるよう、広く定義することができる。
方法例えば本願記載のそれを実現するプログラム命令134を、伝送媒体例えばワイヤ、ケーブル又は無線伝送リンク上で伝送してもよい。例えば、図1に描いた通り、メモリ132に格納されたプログラム命令が、バス133を経てプロセッサ131へと伝送される。プログラム命令134はコンピュータ可読媒体(例.メモリ132)に格納される。コンピュータ可読媒体の例としては、リードオンリメモリ、ランダムアクセスメモリ、磁気ディスク、光ディスク及び磁気テープがある。
幾つかの実施形態では、本願記載のスキャタロメトリ分析が製造プロセスツールの一部分として実現される。製造プロセスツールの例としては、これに限られるものではないが、リソグラフィック露出ツール、成膜ツール、インプラントツール及びエッチングツールがある。この形態では、TSAXS分析の結果を用い製造プロセスが制御される。ある例では、1個又は複数個のターゲットから収集されたTSAXS計測データが製造プロセスツールへと送られる。そのTSAXS計測データが本願記載の如く分析され、その結果を用いその製造プロセスツールの動作が調整される。
本願記載のスキャタロメトリ計測を用いることで、様々な半導体構造の特性を求めることができる。構造の例としては、これに限られるものではないが、FinFET、低次元構造例えばナノワイヤやグラフェン、サブ10nm構造、リソグラフィック構造、スルー基板ビア(TSV)、メモリ構造例えばDRAM、DRAM4F2、FLASH(登録商標)、MRAM及び高アスペクト比メモリ構造がある。構造特性の例としては、これに限られるものではないが、幾何パラメタ例えばラインエッジ粗さ、ライン幅粗さ、孔(ポア)サイズ、孔密度、側壁角、プロファイル、限界寸法、ピッチと、素材パラメタ例えば電子密度、組成、グレイン構造、モルホロジ、応力、歪み及び元素種別とがある。
図12には、本発明の計量システム100による実施に適した方法300が描かれている。ある態様によれば、認識頂けるように、情報処理システム130に備わる1個又は複数個のプロセッサにより事前プログラミング済アルゴリズムを実行することを通じて、方法300の諸データ処理ブロックを実行することができる。以下の記述は計量システム100の文脈で提示されているが、本願での認識によれば、計量システム100の具体的な構造的諸側面で限定事項が表されるわけではなく、それは専ら例証として解されるべきである。
ブロック301では、ウェハ表面上に形成された計測ターゲットが、15keV以上のエネルギレベルのX線輻射ビームで以て照明される。
ブロック302では、その入射ビームに応じ計測ターゲットで散乱された輻射塊のうち複数通りの回折次数に係る強度が検出される。照明源・検出器間光路長は3m未満である。加えて、それら複数通りの回折次数のうち二通り以上が検出器表面上で空間的に重なり合う。
ブロック303では、それら重なり合う回折次数それぞれの強度が、0次回折次数のビーム形状に基づき求められる。
ブロック304では、計測ターゲットに係る注目パラメタの値が、それら複数通りの回折次数の強度に基づき求められる。
本願記載の語「限界寸法」には、構造のあらゆる限界寸法(例.下部限界寸法、中部限界寸法、上部限界寸法、側壁角、格子高さ等々)、いずれか2個以上の構造間の限界寸法(例.2個の構造間の距離)、並びに2個以上の構造間のずれ(例.重なり合う格子構造間のオーバレイ位置ずれ等々)が包含される。構造には三次元構造、パターン化構造、オーバレイ構造等々が含まれうる。
本願記載の語「限界寸法アプリケーション」又は「限界寸法計測アプリケーション」にはあらゆる限界寸法計測が包含される。
本願記載の語「計量システム」には、限界寸法アプリケーション及びオーバレイ計量アプリケーションを初め、その態様を問わず試料の特性解明に少なくとも部分的に採用されるシステム全てが包含される。とはいえ、これらの技術用語により本願記載の語「計量システム」の範囲が制限されるわけではない。加えて、本願記載の計量システムをパターニング済ウェハ及び/又は未パターニングウェハの計測向けに構成してもよい。その計量システムを、LED検査ツール、エッジ検査ツール、背面検査ツール、マクロ検査ツール又はマルチモード検査ツール(1個又は複数個のプラットフォームから同時にデータを得るものを含む)その他、本願記載の計測技術から利を受けるどのような計量又は検査ツールとして構成してもよい。
本願には、試料の処理に使用されうる半導体処理システム(例.検査システムやリソグラフィシステム)に関し様々な実施形態が記載されている。本願中の用語「試料」は、ウェハ、レティクルその他、本件技術分野で既知な手段により処理(例.印刷又は欠陥検査)されうるあらゆる標本のことを指している。
本願中の用語「ウェハ」は、総じて、半導体又は非半導体素材で形成された基板のことを指している。その例としては、これに限られるものではないが、単結晶シリコン、ヒ化ガリウム及び燐化インジウムがある。そうした基板は半導体製造設備にて普通に見いだすこと及び/又は処理することができる。場合によっては、ウェハが基板のみで構成されることがある(いわゆるベアウェハ)。そうではなく、ウェハが、基板上に形成された1個又は複数個の異種素材層を有することもある。ウェハ上に形成された1個又は複数個の層が「パターニング」されていることも「未パターニング」なこともありうる。例えば、ウェハ内に複数個のダイがありそれらが可反復パターンフィーチャを有していることがありうる。
「レティクル」は、レティクル製造プロセスのどの段階にあるレティクルでもよいし、レティクルの完成品でもよいし、また半導体製造設備での使用向けにリリースされていてもいなくてもよい。レティクル或いは「マスク」は、一般に、その上にほぼ不透明な領域が形成されておりその領域がパターンをなしているほぼ透明な基板として定義される。その基板は、例えば、ガラス素材例えばアモルファスSiOを含有する。レジストで覆われたウェハの上方にレティクルを配してリソグラフィプロセスのうち露出工程を行うことで、そのレティクル上のパターンをそのレジストへと転写することができる。
ウェハ上に形成された1個又は複数個の層がパターンをなしていてもなしていなくてもよい。例えば、ウェハ内の複数個のダイそれぞれが可反復パターンフィーチャを有していてもよい。そうした素材層の形成及び処理によって、最終的にはデバイスの完成品を得ることができる。ウェハ上には数多くの種類のデバイスが形成されうるところ、本願中の用語ウェハには、本件技術分野で既知なあらゆる種類のデバイスがその上に作成されるウェハを包括する意図がある。
1個又は複数個の例示的実施形態によれば、上述の機能をハードウェア、ソフトウェア、ファームウェア又はそれらの何らかの組合せの態で実現することができる。ソフトウェアの態で実現するのであれば、それらの機能を1個又は複数個の命令又はコードとしてコンピュータ可読媒体上に格納し又はその媒体上で伝送することができる。コンピュータ可読媒体にはコンピュータ格納媒体及び通信媒体の双方、例えばコンピュータプログラムをある場所から別の場所へと転送するのに役立つ媒体全てが包含される。格納媒体は、汎用又は専用コンピュータによるアクセスが可能ないずれの入手可能媒体でもよい。非限定な例によれば、そうしたコンピュータ可読媒体は、RAM、ROM、EEPROM、CD-ROMその他の光ディスクストレージ、磁気ディスクストレージその他の磁気格納装置その他、命令又はデータ構造の形態を採る所望のプログラムコード手段を搬送又は格納するのに用いることができ、且つ汎用又は専用コンピュータ或いは汎用又は専用プロセッサによるアクセスが可能な、あらゆる媒体を以て構成することができる。また、どのような接続であれコンピュータ可読媒体と称して差し支えない。例えば、ソフトウェアをウェブサイト、サーバその他のリモートソースから送信するに当たり同軸ケーブル、光ファイバケーブル、ツイストペア、ディジタル加入者線(DSL)又は無線テクノロジ例えば赤外線、無線周波数若しくはマイクロ波が用いられるのであれば、それら同軸ケーブル、光ファイバケーブル、ツイストペア、DSL又は無線テクノロジ例えば赤外線、無線周波数若しくはマイクロ波は媒体の定義に収まる。本願中の用語ディスク(disk/disc)には、コンパクトディスク(CD)、レーザディスク、XRF(商標)ディスク、ディジタルバーサタイルディスク(DVD(登録商標))、フロッピーディスク及びブルーレイ(登録商標)ディスクを初め、通常はデータが磁気的に再生されるディスク(disk)及びレーザで以てデータが光学的に再生されるディスク(disc)が包含される。上掲のものの組合せもまたコンピュータ可読媒体の範囲内に包含されるべきである。
ある特定の諸実施形態を教示目的で上述したが、本特許出願の教示は一般的な適用可能性を有するものであり、上述の具体的諸実施形態に限定されるものではない。従って、上述の諸実施形態の様々な特徴については、特許請求の範囲中で説明されている発明の技術的範囲から離隔することなく、様々な修正、適合化及び組合せを実施することができる。

Claims (20)

  1. X線輻射を生成するよう構成されたX線照明源と、
    ウェハ表面上に形成された計測ターゲットを前記X線輻射の入射集束ビームで以て照明するよう構成された1つ以上のX線照明光学要素と、
    前記入射集束ビームに対して試料である前記計測ターゲットを複数の向きに位置決めする試料位置決めシステムと、
    複数の画素を有し、その画素それぞれが最大長の方向に100μm未満のサイズを有し、前記複数の向きの各向きにて前記入射集束ビームに応じ前記計測ターゲットで散乱された輻射のうち複数の回折次数の回折ビームのそれぞれの強度を検出するよう構成されたX線検出器と、
    情報処理システムと、
    を備え、前記情報処理システムが、
    前記複数の向きにおける前記複数の回折次数の回折ビームの強度検出結果に基づき、前記計測ターゲットに係る注目パラメタの値を求めるよう構成された、計量システム。
  2. 請求項1に記載の計量システムであって、
    前記X線検出器は、光子計数型検出器である、計量システム。
  3. 請求項1に記載の計量システムであって、
    前記X線検出器は、積分型検出器である、計量システム。
  4. 請求項1に記載の計量システムであって、
    前記X線照明源と前記X線検出器との間の光路長が3m未満である、計量システム。
  5. 請求項1に記載の計量システムであって、
    前記1つ以上のX線照明光学要素が、前記集束ビームを、前記ウェハ表面より前200mm未満のところ、前記計測ターゲットのところ、前記X線検出器のところ、或いは前記計測ターゲット・前記X線検出器間光路沿いのいずれかの個所に、集束させる計量システム。
  6. 請求項1に記載の計量システムであって、
    前記X線検出器の複数の画素のそれぞれは、前記複数の画素の第1の画素よりも小さい点拡がり関数(PSF)を有し、前記X線検出器に入射する回折ビームのうち前記第1画素の隣にある前記第2画素上に入射する部分が、その回折ビームのうち第1画素上に入射する部分の0.1%未満となる、計量システム。
  7. 請求項1に記載の計量システムであって、
    前記X線検出器の感光素材がテルル化カドミウム、ゲルマニウム、ヒ化ガリウム又はそれらの組合せを含有する、計量システム。
  8. 請求項7に記載の計量システムであって、
    前記感光素材が少なくとも500μm厚である計量システム。
  9. 請求項1に記載の計量システムであって、
    前記X線検出器は、前記X線検出器のそれぞれの画素において複数のエネルギレベル間で補間する、計量システム。
  10. 請求項1に記載の計量システムであって、
    前記X線検出器は、光子の前記X線検出器との相互作用の重心の位置を画素のサイズより小さいサブ画素の分解能で求める、計量システム。
  11. 請求項1に記載の計量システムであって、
    前記回折次数のうち二つ以上の回折ビームが前記X線検出器上で空間的に重なり合い、前記情報処理システムが、更に、
    前記重なり合う回折次数それぞれの強度を0次回折次数のビーム形状に基づき求めるよう構成された計量システム。
  12. ウェハ表面上に形成された計測ターゲットを、X線輻射ビームで以て照明するステップと、
    前記入射ビームに応じ前記計測ターゲットで散乱された輻射のうち複数の回折次数の回折ビームの強度をX線検出器で検出するステップであり、前記X線検出器は、複数の画素を有し、その画素それぞれが最大長の方向に100μm未満のサイズを有するステップと、
    前記複数の回折次数の強度に基づき、前記計測ターゲットに係る注目パラメタの値を求めるステップと、
    を有する方法。
  13. 請求項12に記載の方法であって、
    前記X線検出器は、光子計数型検出器または積分型検出器である、方法。
  14. 請求項12に記載の方法であって、
    前記X線検出器の前記複数の画素のそれぞれが最大長の方向に50μm未満のサイズを有する、方法。
  15. 請求項12に記載の方法であって、
    前記X線照明源と前記X線検出器との間の光路長が3m未満である、方法。
  16. 請求項12に記載の方法であって、さらに、
    前記X線検出器のそれぞれの画素において複数のエネルギレベル間で補間するステップと、
    を有する方法。
  17. X線輻射を生成するよう構成されたX線照明源と、
    ウェハ表面上に形成された計測ターゲットを前記X線輻射の入射集束ビームで以て照明するよう構成された1つ以上のX線照明光学要素と、
    前記入射集束ビームに対して試料である前記計測ターゲットを複数の向きに位置決めする試料位置決めシステムと、
    複数の画素を有し、その画素それぞれが最大長の方向に50μm未満のサイズを有し、各向きにて前記入射集束ビームに応じ前記計測ターゲットで散乱された輻射のうち複数の回折次数の回折ビームのそれぞれの強度を検出するよう構成されたX線検出器と、
    非一時的コンピュータ可読媒体と、
    を備え、その非一時的コンピュータ可読媒体が、
    前記複数の回折次数の強度に基づき、前記計測ターゲットに係る注目パラメタの値を情報処理システムに求めさせるコード、
    を有する計量システム。
  18. 請求項17に記載の計量システムであって、
    前記X線検出器は、光子計数型検出器または積分型検出器である、計量システム。
  19. 請求項17に記載の計量システムであって、
    前記X線照明源と前記X線検出器との間の光路長が3m未満である、計量システム。
  20. 請求項17に記載の計量システムであって、
    前記X線検出器は、光子の前記X線検出器との相互作用の重心の位置を画素のサイズより小さいサブ画素の分解能で求める、計量システム。
JP2022171658A 2017-04-14 2022-10-26 透過型小角x線散乱計量システム Active JP7376666B2 (ja)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US201762485497P 2017-04-14 2017-04-14
US62/485,497 2017-04-14
US15/950,823 US10767978B2 (en) 2017-04-14 2018-04-11 Transmission small-angle X-ray scattering metrology system
US15/950,823 2018-04-11
PCT/US2018/027648 WO2018191714A1 (en) 2017-04-14 2018-04-13 Transmission small-angle x-ray scattering metrology system
JP2019555964A JP2020516900A (ja) 2017-04-14 2018-04-13 透過型小角x線散乱計量システム

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2019555964A Division JP2020516900A (ja) 2017-04-14 2018-04-13 透過型小角x線散乱計量システム

Publications (2)

Publication Number Publication Date
JP2023001192A true JP2023001192A (ja) 2023-01-04
JP7376666B2 JP7376666B2 (ja) 2023-11-08

Family

ID=63791810

Family Applications (4)

Application Number Title Priority Date Filing Date
JP2019555964A Pending JP2020516900A (ja) 2017-04-14 2018-04-13 透過型小角x線散乱計量システム
JP2022171658A Active JP7376666B2 (ja) 2017-04-14 2022-10-26 透過型小角x線散乱計量システム
JP2022171657A Active JP7376665B2 (ja) 2017-04-14 2022-10-26 透過型小角x線散乱計量システム
JP2023164224A Pending JP2023178307A (ja) 2017-04-14 2023-09-27 透過型小角x線散乱計量システム及び方法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2019555964A Pending JP2020516900A (ja) 2017-04-14 2018-04-13 透過型小角x線散乱計量システム

Family Applications After (2)

Application Number Title Priority Date Filing Date
JP2022171657A Active JP7376665B2 (ja) 2017-04-14 2022-10-26 透過型小角x線散乱計量システム
JP2023164224A Pending JP2023178307A (ja) 2017-04-14 2023-09-27 透過型小角x線散乱計量システム及び方法

Country Status (7)

Country Link
US (2) US10767978B2 (ja)
EP (1) EP3593124B1 (ja)
JP (4) JP2020516900A (ja)
KR (2) KR102515242B1 (ja)
CN (1) CN110546489A (ja)
TW (1) TWI783988B (ja)
WO (1) WO2018191714A1 (ja)

Families Citing this family (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10767978B2 (en) * 2017-04-14 2020-09-08 Kla-Tencor Corporation Transmission small-angle X-ray scattering metrology system
KR102442490B1 (ko) * 2017-09-27 2022-09-13 삼성전자 주식회사 무선 통신 시스템에서 분산 처리에 기반한 망 설계를 위한 분석 방법 및 장치
WO2020028412A1 (en) * 2018-07-31 2020-02-06 Lam Research Corporation Determining tilt angle in patterned arrays of high aspect ratio structures
DE102018132542A1 (de) * 2018-12-17 2020-06-18 Osram Opto Semiconductors Gmbh Optoelektronische leuchtvorrichtung und herstellungsverfahren
US11843069B2 (en) * 2018-12-31 2023-12-12 Asml Netherlands B.V. Semiconductor detector and method of fabricating same
US11867595B2 (en) 2019-10-14 2024-01-09 Industrial Technology Research Institute X-ray reflectometry apparatus and method thereof for measuring three dimensional nanostructures on flat substrate
CN111473749B (zh) * 2020-04-22 2021-09-03 中国科学院上海应用物理研究所 一种单毛细管内面形在线表征方法
US11761913B2 (en) * 2020-05-04 2023-09-19 Bruker Technologies Ltd. Transmission X-ray critical dimension (T-XCD) characterization of shift and tilt of stacks of high-aspect-ratio (HAR) structures
CN111912865A (zh) * 2020-06-23 2020-11-10 成都飞机工业(集团)有限责任公司 一种基于微焦点的数字放大射线检测方法及系统
US20220196576A1 (en) * 2020-12-17 2022-06-23 Kla Corporation Methods And Systems For Compact, Small Spot Size Soft X-Ray Scatterometry
CN113433142B (zh) * 2021-06-22 2022-08-26 中国工程物理研究院激光聚变研究中心 适用于x射线诊断的高时空分辨光学系统
JP2023012227A (ja) 2021-07-13 2023-01-25 キオクシア株式会社 形状計測方法、形状計測装置、及びプログラム
CN113686904B (zh) * 2021-07-28 2023-08-29 河北工业大学 一种描述外载作用下岩体微细观破裂与微裂隙形成的方法
WO2023104469A1 (en) * 2021-12-07 2023-06-15 Asml Netherlands B.V. Target asymmetry measurement for substrate alignment in lithography systems
CN117990052A (zh) * 2024-04-03 2024-05-07 浙江求是半导体设备有限公司 载台倾斜角度检测方法和载台调平方法

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9243886B1 (en) * 2012-06-26 2016-01-26 Kla-Tencor Corporation Optical metrology of periodic targets in presence of multiple diffraction orders
US20160320319A1 (en) * 2015-04-28 2016-11-03 Kla-Tencor Corporation Computationally Efficient X-ray Based Overlay Measurement
JP2016540970A (ja) * 2013-10-28 2016-12-28 ケーエルエー−テンカー コーポレイション X線計測を使用する半導体デバイスのオーバーレイを測定するための方法及び装置
JP2017504045A (ja) * 2014-01-23 2017-02-02 リヴェラ インコーポレイテッド マルチアングルx線反射散乱計測(xrs)を用いた周期構造を計測する方法およびシステム
JP2018529952A (ja) * 2015-09-09 2018-10-11 ケーエルエー−テンカー コーポレイション 補助電磁場の導入に基づく1次スキャトロメトリオーバーレイでの新たなアプローチ

Family Cites Families (48)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5608526A (en) 1995-01-19 1997-03-04 Tencor Instruments Focused beam spectroscopic ellipsometry method and system
US6023338A (en) 1996-07-12 2000-02-08 Bareket; Noah Overlay alignment measurement of wafers
US5859424A (en) 1997-04-08 1999-01-12 Kla-Tencor Corporation Apodizing filter system useful for reducing spot size in optical measurements and other applications
US6269144B1 (en) 1998-03-04 2001-07-31 William P. Dube Method and apparatus for diffraction measurement using a scanning x-ray source
US6429943B1 (en) 2000-03-29 2002-08-06 Therma-Wave, Inc. Critical dimension analysis with simultaneous multiple angle of incidence measurements
US6787773B1 (en) 2000-06-07 2004-09-07 Kla-Tencor Corporation Film thickness measurement using electron-beam induced x-ray microanalysis
US7068833B1 (en) 2000-08-30 2006-06-27 Kla-Tencor Corporation Overlay marks, methods of overlay mark design and methods of overlay measurements
US7541201B2 (en) 2000-08-30 2009-06-02 Kla-Tencor Technologies Corporation Apparatus and methods for determining overlay of structures having rotational or mirror symmetry
US7317531B2 (en) 2002-12-05 2008-01-08 Kla-Tencor Technologies Corporation Apparatus and methods for detecting overlay errors using scatterometry
US20030002043A1 (en) 2001-04-10 2003-01-02 Kla-Tencor Corporation Periodic patterns and technique to control misalignment
US6716646B1 (en) 2001-07-16 2004-04-06 Advanced Micro Devices, Inc. Method and apparatus for performing overlay measurements using scatterometry
AU2002360738A1 (en) 2001-12-19 2003-07-09 Kla-Tencor Technologies Corporation Parametric profiling using optical spectroscopic systems
US6778275B2 (en) 2002-02-20 2004-08-17 Micron Technology, Inc. Aberration mark and method for estimating overlay error and optical aberrations
US6992764B1 (en) 2002-09-30 2006-01-31 Nanometrics Incorporated Measuring an alignment target with a single polarization state
US7842933B2 (en) 2003-10-22 2010-11-30 Applied Materials Israel, Ltd. System and method for measuring overlay errors
US6937337B2 (en) 2003-11-19 2005-08-30 International Business Machines Corporation Overlay target and measurement method using reference and sub-grids
US8094288B2 (en) * 2004-05-11 2012-01-10 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7321426B1 (en) 2004-06-02 2008-01-22 Kla-Tencor Technologies Corporation Optical metrology on patterned samples
US7478019B2 (en) 2005-01-26 2009-01-13 Kla-Tencor Corporation Multiple tool and structure analysis
JP4585926B2 (ja) 2005-06-17 2010-11-24 株式会社日立ハイテクノロジーズ パターンレイヤーデータ生成装置、それを用いたパターンレイヤーデータ生成システム、半導体パターン表示装置、パターンレイヤーデータ生成方法、及びコンピュータプログラム
US7567351B2 (en) 2006-02-02 2009-07-28 Kla-Tencor Corporation High resolution monitoring of CD variations
JP4887062B2 (ja) 2006-03-14 2012-02-29 株式会社日立ハイテクノロジーズ 試料寸法測定方法、及び試料寸法測定装置
US7406153B2 (en) 2006-08-15 2008-07-29 Jordan Valley Semiconductors Ltd. Control of X-ray beam spot size
US7920676B2 (en) * 2007-05-04 2011-04-05 Xradia, Inc. CD-GISAXS system and method
WO2009024818A1 (en) * 2007-08-17 2009-02-26 Durham Scientific Crystals Limited Method and apparatus for identification and detection of liquids
US7873585B2 (en) 2007-08-31 2011-01-18 Kla-Tencor Technologies Corporation Apparatus and methods for predicting a semiconductor parameter across an area of a wafer
US7929667B1 (en) 2008-10-02 2011-04-19 Kla-Tencor Corporation High brightness X-ray metrology
US8497977B2 (en) * 2009-03-12 2013-07-30 Nikon Corporation Optical integrator, illumination optical system, exposure apparatus, and device manufacturing method
US8068662B2 (en) 2009-03-30 2011-11-29 Hermes Microvision, Inc. Method and system for determining a defect during charged particle beam inspection of a sample
US9122178B2 (en) * 2009-08-04 2015-09-01 Asml Netherlands B.V. Object inspection systems and methods
FR2955391B1 (fr) 2010-01-18 2012-03-16 Xenocs Systeme compact d'analyse par rayons-x
JP5764380B2 (ja) 2010-04-29 2015-08-19 エフ イー アイ カンパニFei Company Sem画像化法
US9046475B2 (en) 2011-05-19 2015-06-02 Applied Materials Israel, Ltd. High electron energy based overlay error measurement methods and systems
US10107621B2 (en) 2012-02-15 2018-10-23 Nanometrics Incorporated Image based overlay measurement with finite gratings
US10801975B2 (en) 2012-05-08 2020-10-13 Kla-Tencor Corporation Metrology tool with combined X-ray and optical scatterometers
US10013518B2 (en) 2012-07-10 2018-07-03 Kla-Tencor Corporation Model building and analysis engine for combined X-ray and optical metrology
WO2014062972A1 (en) 2012-10-18 2014-04-24 Kla-Tencor Corporation Symmetric target design in scatterometry overlay metrology
US9581430B2 (en) 2012-10-19 2017-02-28 Kla-Tencor Corporation Phase characterization of targets
US10769320B2 (en) 2012-12-18 2020-09-08 Kla-Tencor Corporation Integrated use of model-based metrology and a process model
US9291554B2 (en) 2013-02-05 2016-03-22 Kla-Tencor Corporation Method of electromagnetic modeling of finite structures and finite illumination for metrology and inspection
US10101670B2 (en) 2013-03-27 2018-10-16 Kla-Tencor Corporation Statistical model-based metrology
US9535018B2 (en) * 2013-07-08 2017-01-03 Kla-Tencor Corporation Combined x-ray and optical metrology
US9846132B2 (en) 2013-10-21 2017-12-19 Kla-Tencor Corporation Small-angle scattering X-ray metrology systems and methods
US9494535B2 (en) * 2014-04-21 2016-11-15 Kla-Tencor Corporation Scatterometry-based imaging and critical dimension metrology
US9606073B2 (en) 2014-06-22 2017-03-28 Bruker Jv Israel Ltd. X-ray scatterometry apparatus
US10324050B2 (en) 2015-01-14 2019-06-18 Kla-Tencor Corporation Measurement system optimization for X-ray based metrology
US10352695B2 (en) 2015-12-11 2019-07-16 Kla-Tencor Corporation X-ray scatterometry metrology for high aspect ratio structures
US10767978B2 (en) * 2017-04-14 2020-09-08 Kla-Tencor Corporation Transmission small-angle X-ray scattering metrology system

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9243886B1 (en) * 2012-06-26 2016-01-26 Kla-Tencor Corporation Optical metrology of periodic targets in presence of multiple diffraction orders
JP2016540970A (ja) * 2013-10-28 2016-12-28 ケーエルエー−テンカー コーポレイション X線計測を使用する半導体デバイスのオーバーレイを測定するための方法及び装置
JP2017504045A (ja) * 2014-01-23 2017-02-02 リヴェラ インコーポレイテッド マルチアングルx線反射散乱計測(xrs)を用いた周期構造を計測する方法およびシステム
US20160320319A1 (en) * 2015-04-28 2016-11-03 Kla-Tencor Corporation Computationally Efficient X-ray Based Overlay Measurement
JP2018529952A (ja) * 2015-09-09 2018-10-11 ケーエルエー−テンカー コーポレイション 補助電磁場の導入に基づく1次スキャトロメトリオーバーレイでの新たなアプローチ

Also Published As

Publication number Publication date
JP7376665B2 (ja) 2023-11-08
JP2023001191A (ja) 2023-01-04
US11519719B2 (en) 2022-12-06
KR20220136492A (ko) 2022-10-07
JP2023178307A (ja) 2023-12-14
EP3593124A1 (en) 2020-01-15
US20210088325A1 (en) 2021-03-25
TWI783988B (zh) 2022-11-21
EP3593124A4 (en) 2021-07-07
US20180299259A1 (en) 2018-10-18
TW201842353A (zh) 2018-12-01
CN110546489A (zh) 2019-12-06
WO2018191714A1 (en) 2018-10-18
KR20190131129A (ko) 2019-11-25
JP2020516900A (ja) 2020-06-11
KR102580560B1 (ko) 2023-09-19
KR102515242B1 (ko) 2023-03-29
JP7376666B2 (ja) 2023-11-08
US10767978B2 (en) 2020-09-08
EP3593124B1 (en) 2024-01-10

Similar Documents

Publication Publication Date Title
JP7376666B2 (ja) 透過型小角x線散乱計量システム
JP7486621B2 (ja) X線スキャトロメトリシステムのフルビーム計測
JP6815401B2 (ja) 高アスペクト比構造向けx線スキャタロメトリ計量
JP7133030B2 (ja) X線依拠計測システムの校正及びアライメント用多層ターゲット
KR20190095525A (ko) 소각 x선 산란 측정법을 위한 x선 줌 렌즈

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20221026

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20230704

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20231002

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20231017

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20231026

R150 Certificate of patent or registration of utility model

Ref document number: 7376666

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150