JP7133030B2 - X線依拠計測システムの校正及びアライメント用多層ターゲット - Google Patents

X線依拠計測システムの校正及びアライメント用多層ターゲット Download PDF

Info

Publication number
JP7133030B2
JP7133030B2 JP2020551986A JP2020551986A JP7133030B2 JP 7133030 B2 JP7133030 B2 JP 7133030B2 JP 2020551986 A JP2020551986 A JP 2020551986A JP 2020551986 A JP2020551986 A JP 2020551986A JP 7133030 B2 JP7133030 B2 JP 7133030B2
Authority
JP
Japan
Prior art keywords
ray
layer
incident
ray beam
multilayer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2020551986A
Other languages
English (en)
Other versions
JPWO2019191335A5 (ja
JP2021519428A (ja
Inventor
ニコライ アルチェミエフ
アントニオ ジェリノー
アレクサンダー ビカノフ
アレクサンダー クズネツォフ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
KLA Corp
Original Assignee
KLA Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by KLA Corp filed Critical KLA Corp
Publication of JP2021519428A publication Critical patent/JP2021519428A/ja
Publication of JPWO2019191335A5 publication Critical patent/JPWO2019191335A5/ja
Application granted granted Critical
Publication of JP7133030B2 publication Critical patent/JP7133030B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N23/00Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00
    • G01N23/20Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by using diffraction of the radiation by the materials, e.g. for investigating crystal structure; by using scattering of the radiation by the materials, e.g. for investigating non-crystalline materials; by using reflection of the radiation by the materials
    • G01N23/201Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by using diffraction of the radiation by the materials, e.g. for investigating crystal structure; by using scattering of the radiation by the materials, e.g. for investigating non-crystalline materials; by using reflection of the radiation by the materials by measuring small-angle scattering
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N23/00Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00
    • G01N23/20Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by using diffraction of the radiation by the materials, e.g. for investigating crystal structure; by using scattering of the radiation by the materials, e.g. for investigating non-crystalline materials; by using reflection of the radiation by the materials
    • G01N23/20008Constructional details of analysers, e.g. characterised by X-ray source, detector or optical system; Accessories therefor; Preparing specimens therefor
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B15/00Measuring arrangements characterised by the use of electromagnetic waves or particle radiation, e.g. by the use of microwaves, X-rays, gamma rays or electrons
    • G01B15/02Measuring arrangements characterised by the use of electromagnetic waves or particle radiation, e.g. by the use of microwaves, X-rays, gamma rays or electrons for measuring thickness
    • G01B15/025Measuring arrangements characterised by the use of electromagnetic waves or particle radiation, e.g. by the use of microwaves, X-rays, gamma rays or electrons for measuring thickness by measuring absorption
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N23/00Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00
    • G01N23/20Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by using diffraction of the radiation by the materials, e.g. for investigating crystal structure; by using scattering of the radiation by the materials, e.g. for investigating non-crystalline materials; by using reflection of the radiation by the materials
    • G01N23/205Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by using diffraction of the radiation by the materials, e.g. for investigating crystal structure; by using scattering of the radiation by the materials, e.g. for investigating non-crystalline materials; by using reflection of the radiation by the materials using diffraction cameras
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N23/00Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00
    • G01N23/20Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by using diffraction of the radiation by the materials, e.g. for investigating crystal structure; by using scattering of the radiation by the materials, e.g. for investigating non-crystalline materials; by using reflection of the radiation by the materials
    • G01N23/207Diffractometry using detectors, e.g. using a probe in a central position and one or more displaceable detectors in circumferential positions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67259Position monitoring, e.g. misposition detection or presence detection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67282Marking devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • H01L21/681Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment using optical controlling means
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N2223/00Investigating materials by wave or particle radiation
    • G01N2223/10Different kinds of radiation or particles
    • G01N2223/1003Different kinds of radiation or particles monochromatic
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N2223/00Investigating materials by wave or particle radiation
    • G01N2223/30Accessories, mechanical or electrical features
    • G01N2223/303Accessories, mechanical or electrical features calibrating, standardising
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N2223/00Investigating materials by wave or particle radiation
    • G01N2223/60Specific applications or type of materials
    • G01N2223/611Specific applications or type of materials patterned objects; electronic devices
    • G01N2223/6116Specific applications or type of materials patterned objects; electronic devices semiconductor wafer

Landscapes

  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Pathology (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Biochemistry (AREA)
  • General Health & Medical Sciences (AREA)
  • Health & Medical Sciences (AREA)
  • Immunology (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Engineering & Computer Science (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Electromagnetism (AREA)
  • Analysing Materials By The Use Of Radiation (AREA)
  • Length-Measuring Devices Using Wave Or Particle Radiation (AREA)
  • Measurement Of Radiation (AREA)

Description

記載諸実施形態はX線計量システム及び方法に関し、より具体的には計測正確度が改善された方法及びシステムに関する。
(関連出願への相互参照)
本特許出願は、2018年3月28日付米国仮特許出願第62/649131号に基づき米国特許法第119条の規定による優先権を主張するものであるので、参照によりその主題の全容を本願に繰り入れることにする。
半導体デバイス例えば論理デバイス及び記憶デバイスは、通常、一連の処理工程を試料に適用することで製造される。それら半導体デバイスの様々なフィーチャ(外形特徴)及び複数個の構造階層が、それら処理工程によって形成される。例えば、それらのうちリソグラフィなる半導体製造プロセスでは、パターンが半導体ウェハ上に生成される。半導体製造プロセスの別例には、これに限られるものではないが化学機械研磨、エッチング、堆積及びイオンインプランテーションがある。複数個の半導体デバイスを1枚の半導体ウェハ上に作成した後、個別の半導体デバイスへと分けるようにするとよい。
検査プロセスは半導体製造プロセス中の様々な工程で用いられており、それによりウェハ側の欠陥を検出して歩留まり向上を促進することができる。多数の計量依拠技術、例えばスキャタロメトリ(散乱計測法)及びリフレクトメトリ(反射計測法)を実施する装置及びそれに関連する分析アルゴリズムが、限界寸法、膜厚、組成その他、ナノスケール構造の諸パラメタを解明するため広く用いられている。
通例的に、スキャタロメトリ限界寸法計測は、薄膜及び/又は反復的周期構造で構成されたターゲットを対象にして実行されている。デバイス製造中には、通常、それらの膜及び周期構造で実デバイス幾何及び素材構造又は中間デザインを表現・代表させる。デバイス(例.論例デバイス及び記憶デバイス)はより小さなナノメートルスケール寸法へと移行しつつあり、それに伴い特性解明・特徴付けが困難化している。デバイスに複雑な三次元幾何が取り入れられ、また多様な物理特性を有する素材が取り入られたことで、特性解明困難性が助長されている。例えば、昨今のメモリ構造は高アスペクト比三次元構造であることが多く、そのために光学輻射が下層へと浸透しにくくなっている。赤外~可視光を利用する光学計量ツールであれば多くの半透明素材層に浸透可能だが、良好な浸透深度がもたらされる長めの波長では小異常に対する十分な感度がもたらされない。加えて、複雑な構造(例.FinFET)の特徴付けに多数のパラメタが必要なことが、パラメタ相関増大につながっている。結果として、そのターゲットを特徴付ける諸パラメタを、利用可能な計測結果から高信頼分離できないことが、多くなりかねない。
ある事例では、長めの波長(例.近赤外)を採用することで、ポリシリコンが積層体内交番素材の一つとして利用される3D-FLASH(登録商標)デバイスに関し、浸透問題を克服する試みがされている。しかしながら、鏡状構造が備わる3D-FLASH(登録商標)では、生来的に、その膜積層体内へとより深く照明が伝搬するにつれ、光強度の低下が発生する。これは深部での感度ロス及び相関問題を引き起こす。この状況にてSCDにより行えるのは、少数組の計量寸法を高感度及び低相関で首尾よく抽出することだけである。
別の事例は不透明高k素材であり、昨今の半導体構造での採用が増えている。この種の素材で構成された層には、光学輻射が浸透しえないことが多い。結果として、薄膜スキャタロメトリツール、例えばエリプソメータ(楕円偏向計)やリフレクトメータ(反射計)による計測が、ますます困難になってきている。
これらの難題に応ずるべくより複雑な光学計量ツールが開発されている。例えば、照明角を複数通りにし、照明波長を短めにし、照明波長域を広めにし、また反射信号からの情報獲得をより無欠にしたツール(例.より在来的な反射率信号やエリプソメトリ信号に加え複数個のミュラー行列要素を計測するもの)が開発されている。しかしながら、これらの手法では、多くの先進ターゲット(例.複雑な3D構造、10nm未満の小構造、不透明素材を採用している構造)の計測及び計測アプリケーション(例.ラインエッジ粗さ計測及びライン幅粗さ計測)に関連する基本的難題が、信頼性よく克服されていない。
原子間力顕微鏡(AFM)及び走査型トンネリング顕微鏡(STM)は、原子分解能を達成可能であるものの、試料の表面しか探査することができない。加えて、AFM顕微鏡やSTM顕微鏡では長い走査時間が必要となる。走査型電子顕微鏡(SEM)では中間的な分解能水準が達成されるが、十分な深度まで構造に浸透することができない。そのため、高アスペクト比孔を良好に解明することができない。加えて、余儀なき試料帯電が撮像性能に悪影響を及ぼす。X線リフレクトメータも浸透問題、即ち高アスペクト比構造を計測する際のそれらの実効性に限りがある、という問題に悩まされている。
浸透深度問題を克服するため、旧来の撮像技術例えばTEM、SEM等々が破壊的標本調製技術、例えば集束イオンビーム(FIB)マシニング、イオンミリング、ブランケットエッチング、選択性エッチング等々と併用されている。例えば透過型電子顕微鏡(TEM)なら高い分解能水準が達成され、また任意深度を探査することができるが、TEMでは試料の破壊的分断が必要となる。素材除去及び計測を数回反復することで、一般には、重要な計量パラメタを三次元構造全体に亘り計測するのに必要な情報がもたらされる。しかし、これらの技術では標本破壊や長々しい処理時間が必須となる。これらの種類の計測を完遂するのが厄介であり時間がかかることにより、エッチング工程及び計量工程のドリフトによる多大な不正確性が入り込む。加えて、これらの技術にて多数回の反復が必要とされることにより、レジストレーション(位置合わせ)誤差が入り込む。
硬X線エネルギレベル(>15keV)の光子を採用する透過型小角X線スキャタロメトリ(T-SAXS)システムには、難題を抱える計測アプリケーションに対処できる見込みがある。SAXSテクノロジの限界寸法計測への適用(CD-SAXS)及びオーバレイ計測への適用(OVL-SAXS)の様々な態様が、1)「高輝度X線計量」(High-brightness X-ray metrology)と題するZhuang及びFieldenに向けた特許文献1、2)「X線兼光学複合計量用モデル構築兼分析エンジン」(Model Building And Analysis Engine For Combined X-ray And Optical Metrology)と題しBakeman、Shchegrov、Zhao及びTanによる特許文献2、3)「X線計量を用いる半導体デバイスオーバレイ計測方法及び装置」(Methods and Apparatus For Measuring Semiconductor Device Overlay Using X-Ray Metrology)と題しVeldman、Bakeman、Shchegrov及びMieherによる特許文献3、4)「X線依拠計量向け計測システム最適化」(Measurement System Optimization For X-Ray Based Metrology)と題しHench、Shchegrov及びBakemanによる特許文献4、5)「高アスペクト比構造向けX線計量」(X-ray Metrology For High Aspect Ratio Structures)と題しDziura、Gellineau及びShchegrovによる特許文献5、並びに6)「X線スキャタロメトリシステム向けフルビーム計量」(Full Beam Metrology for X-Ray Scatterometry Systems)と題しGellineau、Dziura、Hench、Veldman及びZalubovskyによる特許文献6に記載されている。上掲の諸特許文献は米国カリフォルニア州ミルピタス所在のKLA-Tencor Corporationを譲受人としている。
SAXSは、素材特性解明その他の非半導体関連アプリケーションにも適用されている。システム例が幾つかの企業、例えばXenocs SAS(非特許文献1)、Bruker Corporation(非特許文献2)及び株式会社リガク(非特許文献3)により商業化されている。
半導体構造のCD-SAXS計量についての研究は科学文献にも記載されている。大抵の研究グループでは高輝度シンクロトロンX線源が採用されているが、それらはサイズ、コスト等々が多大であるため半導体製造設備での使用に適していない。そうしたシステムの一例が非特許文献4なる論文にて記述されている。より最近では、アメリカ国立標準技術研究所(NIST)のあるグループが始めた研究で、特許文献1記載のそれらに類するコンパクト高輝度X線源が採用されている。この研究については非特許文献5なる論文にて記述されている。
ターゲットに対するX線ビームの相互作用を校正し、その計量システムに対し整列させないと、実効的な計測を保証することができない。特性解明の例には、ターゲット上におけるX線ビーム強度ピークの精密な所在特定や、ビーム束のうちある百分率しかその境界の外側に所在しないようなX線ビーム境界の識別がある。アライメント(整列)の例には、光学視覚システムに対するX線ビームのアライメント、そのツールの具体的機械フィーチャ(例.ウェハ回動軸等々)に対するX線ビームのアライメント等々がある。
一般には、ウェハの随所に配置されたアライメントマーカを光学顕微鏡により光学計測し、それを踏まえウェハがX線ビームの経路上で操縦される。X線ビームを基準として個別ターゲットを確と精密操縦するには、それらマーカを計測するのに利用された光学顕微鏡の座標系に従いビームプロファイルを計測する必要がある。
現行のSAXSツール校正及びアライメント技術は非常に長い計測時間に悩まされており、またそれらの正確度が調製済ターゲットの正確度に強く依存している。
ナイフエッジに依拠するCD-SAXSツール校正及びアライメント方法が、特許文献7にて記述されているので、参照によりその全容を本願に繰り入れることにする。ナイフエッジに依拠する校正及びアライメントは、プローブビームで以てする、生来的に間接的な標本ステージアライメント方法である。所要計測反復回数が極端に多くなるとアライメント時間が長々しくなりうる。加えて、正確度が、ナイフエッジの半透明性により制限されるのに加え、そのナイフエッジの製造正確度に強く依存する。
幾つかの事例では、光学顕微鏡がナイフエッジに対し整列され、そのナイフエッジがX線ビームに対し整列されている。旧来のナイフエッジで以てするX線ビームの特性解明は、そのナイフエッジの縁付近にありX線輻射により照明されるナイフ素材の半透明性故に、込み入っている。例えば、そのエネルギレベルが20keVの光子により照明されたときには、タングステンのビーム減衰長が約8.4μmとなる。この長さでは、透過率が~1/e倍(e=2.718)に降下する。ナイフエッジが整形され30°なる角度を呈している場合、8.4μmなる高さに相当するウェッジ(楔部)長は約14.5μmとなる。X線ビーム走査時ナイフエッジ位置不確定性についての、この単純な推定値は、所要アライメント正確度が数μm未満(例.10μm未満)であるときに、ナイフエッジの半透明性が制約になることを表している。
他の幾つかの事例では、そのX線ビームを基準にしてある点(例.その集束光学系の合焦スポット)に配置された高分解能X線カメラによって、X線ビームプロファイルが特性解明されている。これらの事例では、その高分解能X線カメラで以てビームプロファイルが計測され、そのX線ビームの経路上でそのウェハを操縦するため採用されている光学顕微鏡へと、それら計測されたビーム座標が転送される。不運なことに、そのX線カメラから光学顕微鏡への計測座標転送に係る誤差が多大であり、所要操縦正確度を上回っている。
更に、X線カメラやナイフエッジによるX線ビームの特性解明は生来的に間接的であり、ターゲット上に入射した光子束や隣接領域の光子汚染についての、量的データをもたらさない。
回折ターゲットに依拠したCD-SAXSツール校正及びアライメント方法が、特許文献8にて記述されているので、参照によりその全容を本願に繰り入れることにする。旧来の半導体製造技術により製造された回折ターゲットには、低いコントラストという悩みがある。加えて、製造リードタイムが普通は非常に長く高コストとなる。多くのターゲットを有するウェハが非常に高価となるし、ターゲットデザイン又はターゲットパラメタ値に何らかの改変があると、高価で長いリードタイムの獲得がもう1回必要になる。
多層構造が集束性X線光学素子として採用されている。硬X線集束用多層構造製造及び使用方法が、特許文献9、特許文献10、特許文献11及び非特許文献6にて記述されているので、参照によりこれら文献それぞれの全容を本願に繰り入れることにする。
自立多層ターゲットも、非特許文献7なる論文にて記述されている通り、軟X線顕微鏡の変調伝達関数の計測に採用されているので、参照によりその全容を本願に繰り入れることにする。
散乱標本を採用したSAXSツール校正方法及びベヘン酸銀粉体回折標本が、非特許文献8及び非特許文献9にて記述されているので、参照によりこれら文献それぞれの全容を本願に繰り入れることにする。
不運なことに、ベヘン酸銀ターゲットは、非常に長い露出時間を必要としており、標本対検出器距離の計測を実行するためにしか用いえない。露出時間を縮めるには厚手の標本を用いねばならないが、そうすると距離計測の不確定性が増大する。ガラス状炭素その他の散漫散乱ターゲットも、非常に長い露出時間を必要とする。更に、計測結果が標本調製正確度(例.その標本の幾何寸法)及び標本純度に強く依存する。
米国特許第7929667号明細書 米国特許出願公開第2014/0019097号明細書 米国特許出願公開第2015/0117610号明細書 米国特許出願公開第2016/0202193号明細書 米国特許出願公開第2017/0167862号明細書 米国特許出願公開第2018/0106735号明細書 米国特許出願公開第2018/0113084号明細書 米国特許出願公開第2018/0328868号明細書 米国特許出願公開第2008/0137810号明細書 米国特許第6389100号明細書 独国特許第102013005845号明細書 米国特許出願公開第2017/0307548号明細書 米国特許出願公開第2015/0110249号明細書 米国特許第7826071号明細書 米国特許第7478019号明細書 米国特許出願公開第2015/0300965号明細書 米国特許出願公開第2013/0304424号明細書
www.xenocs.com www.bruker.com www.rigaku.com/en Lemaillet, Germer, Kline et al., "Intercomparison between optical and X-ray scatterometry measurements of FinFET structures," Proc. SPIE, v.8681, p. 86810Q (2013) "X-ray scattering critical dimensional metrology using a compact X-ray source for next generation semiconductor devices," J. Micro/Nanolith. MEMS MOEMS 16(1), 014001 (Jan-Mar 2017) T. Grap, F. Riederer, C. Gupta and J. Knoch, "Buried multi-gate InAs-nanowire FETs," 2017 47th European Solid-State Device Research Conference (ESSDERC), Leuven, 2017, pp. 82-85 V. V. Yashchuk et al.,"Binary pseudo-random patterned structures for modulation transfer function calibration and resolution characterization of a full-field transmission soft X-ray microscope," Review of Scientific Instruments 86, 123702 (2015) T.C. Huang et al., "X-ray Powder Diffraction analysis of Silver Behenate, a Possible Low-angle Diffraction Standard," J. Appl. Cryst. 26, 180-184 (1993) Lixin Fan et al., "The Absolute Calibration of a Small-Angle Scattering Instrument with a Laboratory X-ray Source," Journal of Physics: Conference Series 247 (2010) 012005
将来の計量アプリケーションでは、分解能条件の更なる精細化、多パラメタ相関、高アスペクト比構造を含め幾何構造の更なる複雑化、並びに不透明素材使用の増加が原因で、計量に関わる難題が現れる。既存のX線ツールアライメント及びターゲット操縦方法では、約10~20μmなる正確度が限界である。これらの方法では、X線ビーム沿いにある小サイズ(~50μm)の計量ターゲットを、半導体計量アプリケーション向けに十分な正確度で以て、位置決め及び計測することができない。そのため、X線依拠計量システムにおけるX線ビームの改善されたアライメント及び校正方法及びシステムであり、先進製造ノードの配置条件を充足するものが望まれている。
X線依拠計測システムの高速且つ正確な絶対校正及びアライメントを行えるようにする多層ターゲットが、本願にて記述される。その多層校正ターゲットは、非常に高い回折効率を有するものであって、高速低コスト生産技術を用い製造される。
各ターゲットは、X線透明素材及びX線吸収素材の対で築かれた多層構造を有する。この厚手な多層ターゲット構造は、諸素材層が入射X線ビームに対し平行に姿勢決めされるよう透過配列(即ちラウエ幾何)に従い設定される。X線はそのターゲットの周期構造上で回折される。各層に対し垂直な方向における多層構造の合計厚(即ち層対の個数に多層周期を乗じたもの)により、そのターゲットの高さが定まる。ターゲットの幅は、その上にその多層化構造が作成される基板の長さを上限として、どのようなサイズにしてもよい(例.数cm)。
本願記載の多層ターゲットのアライメント及び校正性能は、多層ターゲット幾何パラメタ(即ち高さ、幅、深さ)の正確度に依存しない。更に、本願記載の多層ターゲットのアライメント及び校正性能は、基板に初期付与された多層被覆からのターゲット抜出に用いられた方法の正確度に依存しない。ターゲット周辺にデブリ及び粗さが生じてもアライメント及び校正の正確度が低下しない。
幾つかの実施形態では、透過型小角X線スキャタロメトリ(T-SAXS)計量システムを整列させ校正するため、多層ターゲットが採用される。半導体製造環境における現実のT-SAXS計測では、試料(例.半導体ウェハ)の表面を基準とし広範囲の入射角及びアジマス角に亘る計測であり、小さなビームスポットサイズ(例.実効照明スポットの差し渡しが50μm未満)によるものが必要とされる。小さな計測ボックスサイズを実現するには、ウェハの正確な位置決め及びビームサイズ及び形状の特性解明が必要である。加えて、入射角及びアジマス角の全域に亘り、半導体ウェハの表面上の所望ターゲットエリア上に、そのプローブビームを正確に所在決めする校正が、本願にて提示される。これにより、小ボックスサイズ計量ターゲット(例.50μm以下の寸法を有しスクライブライン内に所在する計量ターゲット)を計測するのに必要な精密ウェハ操縦が可能になる。
非限定的な例によれば、本願記載の多層ターゲットにより以下のSAXS計量ツール内アライメント及び校正計測、即ち1)計測ボックスを通じた光束の直接計測、2)計測ボックスサイズ周囲の光子漏れの直接計測、3)全自由度に亘る標本対計量ステージアライメント、4)全自由度に亘る標本対入射X線ビームアライメント、5)入射X線ビーム対ウェハステージ角度アライメントの校正、並びに6)ターゲットから検出器までの距離の計測が可能となる。
幾つかの実施形態では、標準的な光学多層堆積技術及びダイシング技術の組合せを用い多層校正ターゲットが製造される。これにより広範なアプリケーションに向けた高速低コスト生産が可能となる。
他の諸実施形態では、標準的な半導体製造技術を用い多層校正ターゲットが製造される。幾つかの実施形態では、半導体ウェハ上に作成された垂直構造の側面上に多層積層体が堆積される。
更なる態様では、多層ターゲットの表面のうち入射X線ビームに直交する面上に光学マーカが作成される。それら光学マーカは、エッチング、イオンミリング、レーザスクライビング等々によりその多層ターゲット上に作成すればよい。それら光学マーカにより、X線依拠スキャタロメトリツール上でのウェハ操縦にも用いられる1個又は複数個の光学顕微鏡による、多層ターゲットのアライメントが可能となる。
別の態様では、その多層ターゲットの諸層が入射X線ビームに対し平行となるよう多層ターゲットが姿勢決めされる。
別の態様では、多層ターゲット・検出器間距離が、回折次数間空間分離量に基づき計測される。
別の態様では、多層ターゲットの諸層に対し平行又は垂直であり且つ入射X線ビームに対し垂直な方向に多層ターゲットを並進させることで、その入射X線ビームをその多層ターゲットの中心に対し整列させる。
別の態様では、その多層ターゲットの諸層に対し垂直であり且つ入射X線ビームに対し垂直な回動軸周りで多層ターゲットを回動させることで、入射X線ビーム及び多層ターゲットを基準としてウェハステージの回動軸が所在決めされる。
別の態様では少なくとも2個の多層構造を有する複合多層ターゲットが提供され、またそれら多層構造が、対入射X線ビーム整列方向に沿い相互隣接配列され、対入射X線ビーム直交方向に沿い相互隣接配列され、或いはその組合せとされる。こうして複数個の多層ターゲットを組み合わせることで特別な校正及びアライメントターゲット、即ち入射X線ビームの相異なる諸部分を相異なる回折角で以て且つ相異なる回折平面に亘り回折させるものが形成される。
幾つかの実施形態では、複合多層ターゲットが2個の多層構造を有するものとされ、それらが入射X線ビームに対し垂直な共通平面にて基板に実装される。加えて、それら多層構造がある間隙距離を以て相互空間分離される。こうした複合多層ターゲットを利用することで、複合多層ターゲットの間隙内を通った光束や、その間隙外で複合多層ターゲット上に入射した格子に係る光子損失を、計測することができる。
以上は概要であり、随所に単純化、一般化及び細部省略が含まれているので、本件技術分野に習熟した者(いわゆる当業者)には明らかな通り、この概要は専ら例証的なものであり如何様であれ限定性のものではない。本願記載の装置及び/又はプロセスの他の諸態様、独創的諸特徴及び諸長所については、本願中で説明される非限定的詳細記述にて明らかとされよう。
超研磨シリコン基板上に堆積された多層構造の描写図である。 図1記載の多層標本から分離された多層セグメントから組み上げた多層ターゲットの描写図である。 垂直方向シリコンピラーの側壁上に共形堆積された垂直姿勢多層構造と、シリコン基板上に共形堆積された水平姿勢多層構造と、を有する多層ターゲットの描写図である。 垂直方向シリコンピラーの側壁上に共形堆積された垂直姿勢多層構造を有する多層ターゲットの描写図である。 ある実施形態の多層ターゲット上に入射したX線ビームの描写図である。 別の実施形態の多層ターゲット上に入射したX線ビームの描写図である。 別の実施形態の多層ターゲット上に入射したX線ビームの描写図である。 ある実施形態の複合多層ターゲット上に入射したX線ビームの描写図である。 図8記載の複合多層ターゲットの端面外観の描写図である。 別の実施形態の複合多層ターゲットの端面外観の描写図である。 更に別の実施形態の複合多層ターゲット上に入射したX線ビームの描写図である。 更に別の実施形態の複合多層ターゲット上に入射したX線ビームの描写図である。 更に別の実施形態の複合多層ターゲット上に入射したX線ビームの描写図である。図13記載の複合多層ターゲットは、対入射X線ビーム整列方向に沿い図11及び図12記載の複合多層ターゲット同士を組み合わせたものである。 計測対象半導体ターゲットの計測ボックスサイズと等しい空漠な長方形エリアを囲む8個の多層構造を有する、複合多層ターゲットの端面外観の描写図である。 別の実施形態に係り8個の多層構造を有する複合多層ターゲットの端面外観の描写図である。 更に別の実施形態の複合多層ターゲットの端面外観の描写図である。 本願記載の諸方法に従い多層校正ターゲットを用いて様々なシステムパラメタの校正を実行するよう構成された、計量システム100の描写図である。 ある構成のビーム整形スリット機構の端面外観を示す図である。 別の構成のビーム整形スリット機構の端面外観を示す図である。 角度φ及びθにより記述されるある特定の向きにてウェハ上に入射しているX線照明ビームを示す図である。 試料位置決めシステムの描写図であり、照明ビームがウェハ上に入射する位置までウェハステージが動かされている。 図21記載のウェハ上に入射した照明ビームの頂面外観を示す図であり、回動軸153が対ウェハ照明ビーム入射点にてその照明ビームと交差している。 図21記載のウェハ上に入射した照明ビームの頂面外観を示す図であり、回動軸153がそのウェハの表面に対しZ方向に沿い誤整列(ミスアライメント)している。 図21記載のウェハ上に入射した照明ビームの頂面外観を示す図であり、回動軸153がウェハ表面に対しX方向に沿い誤整列している。 試料位置決めシステムの描写図であり、X線ビームが多層校正ターゲット上に入射する位置までウェハステージが動かされている。 X線スキャタロメトリデータに基づき試料パラメタ値を解明するよう構成されたモデル構築兼分析エンジン180の描写図である。 本願記載の多層校正ターゲットで以てX線依拠スキャタロメトリシステムを校正する方法の例を描いたフローチャートである。
以下、本発明の背景例及び幾つかの実施形態であり添付図面にその例が描かれているものを詳細に参照する。
X線依拠計量及び検査ツールの高速且つ正確な絶対校正及びアライメントを行えるようにする多層ターゲットが、本願にて記述されている。この多層校正ターゲットは非常に高い回折効率を有するものであり、標準的な光学多層堆積技術及びダイシング技術の組合せを用い製造されるので、広範なアプリケーションに向けた高速低コスト生産が可能である。
各ターゲットは、X線透明素材及びX線吸収素材の対(例.シリコンとタングステンの素材対、シリコンとモリブデンの素材対等々)で以て築かれた多層構造を有する。その厚手な多層ターゲット構造が、諸素材層が入射X線ビームに対し平行に姿勢決めされるよう透過配列(即ちラウエ幾何)に従い設定される。即ち、多層ターゲットの各層が、ウェハ平面に対し名目上直交する方向に姿勢決めされる。X線はそのターゲットの周期構造上で回折される。その入射X線ビームに対し平行に姿勢決めされた諸層の長さ(即ち多層構造の入射X線ビーム浸透深度)によって、その回折効率が定まる。原理的には、多層構造の入射X線ビーム浸透深度はどのような長さともなりうる。即ち、この要領で製造される多層ターゲットは、100%に至るまでどのような所望回折効率を呈するようにも設計されうる。現実には、この長さの範囲は、数μm(例.3μm以上)から、その上に多層化構造が作成される基板の長さ(例.数cm)に及ぶ。その多層構造の周期により回折角が定まる。各層に対し垂直な方向における多層構造の合計厚(即ち層対の個数に多層周期を乗じたもの)により、そのターゲットの高さが定まる。ターゲットの幅は、その上にその多層化構造が作成される基板の長さ(例.数cm)に上る、どのようなサイズともされうる。
X線依拠計量又は検査ツールのアライメント及び校正の正確度は、その多層構造の初期解明、即ち非常に高精度(例.1nmの端数)で実行されうるそれのみに依存する。結果として、本願記載の多層ターゲットにより、X線依拠計量及び検査ツール(例.限界寸法小角X線スキャタロメトリ(CD-SAXS))の高速な初期的及びルーチン的校正及びアライメントを、数μmの正確度(例.5μm未満の正確度)で以て行うことが可能となる。
本願記載の多層ターゲットのアライメント及び校正性能は、多層ターゲット幾何パラメタ(即ち高さ、幅、深さ)の正確度に依存しない。更に、本願記載の多層ターゲットのアライメント及び校正性能は、基板に初期付与された多層被覆からのターゲット抜出に用いられた方法の正確度に依存しない。ターゲット周辺にデブリ及び粗さが生じてもアライメント及び校正の正確度が低下しない。
本願記載の多層ターゲットの回折効率は高いので、計測露出時間を非常に短くすることが可能となり、ひいてはX線依拠計量及び検査ツールの校正及びアライメントをかなり速くすることが可能となる。
幾つかの実施形態では、透過型小角X線スキャタロメトリ(T-SAXS)計量システムを整列させ校正するため、多層ターゲットが採用される。半導体製造環境における現実のT-SAXS計測では、試料(例.半導体ウェハ)の表面に対する、広範囲の入射角及びアジマス角に亘る計測を、小さなビームスポットサイズ(例.実効照明スポットの差し渡しが50μm未満)で以て行うことが求められる。小さな計測ボックスサイズを実現するには、ウェハの正確な位置決めと、ビームサイズ及び形状の解明とが必要である。加えて、入射角及びアジマス角の全域に亘り、半導体ウェハの表面上にある所望ターゲットエリア上に、そのプローブビームを正確に所在決めする校正が、本願にて提示される。これにより、小ボックスサイズ計量ターゲット(例.50μm以下の寸法を有しスクライブライン内に所在する計量ターゲット)を計測するのに必要な精密ウェハ操縦が可能になる。
非限定的な例によれば、本願記載の多層ターゲットにより、以下のSAXS計量ツール内アライメント及び校正計測、即ち1)計測ボックス内を通った光束の直接計測、2)計測ボックスサイズ周囲の光子漏れの直接計測、3)全自由度に亘る標本対計量ステージアライメント、4)全自由度に亘る標本対入射X線ビームアライメント、5)入射X線ビーム対ウェハステージ角度アライメントの校正、並びに6)ターゲットから検出器までの距離の計測が可能となる。
ある態様では、標準的な光学多層堆積技術及びダイシング技術の組合せを用い多層校正ターゲットが製造される。これにより広範なアプリケーションに向けた高速低コスト生産が可能となる。高速な(例.数週ではなく数日での)ターゲット製造により、迅速な校正ターゲットデザイン改善並びに校正及びアライメント方法開発が可能となる。更に、顧客特化アプリケーションにマッチさせるため相異なるサイズにした校正及びアライメントターゲットを、速やかに実現することができる。多層被覆製造テクノロジは非常によく開発されている。多層パラメタの安定度及び正確度は非常に高い。結果として、本願記載の多層構造の実性能は期待によく見合うものとなる。
図1はシリコン基板201上の多層構造202を含む多層標本200の描写図である。シリコン基板201は超研磨された平坦基板である。多層構造202は、X線透明素材及びX線吸収素材(例.シリコンとタングステンの素材対、シリコンとモリブデンの素材対等々)の交番層を有している。X線透明素材層の厚みが、X線吸収素材層の厚みと同じであっても異なっていてもよい。但し、個々の反復積層素材対を、寸法及び素材組成がほぼ同一なものとする。言い換えれば、積層素材対同士で、そのX線透明素材を同厚、X線吸収素材を同厚にする。こうしてその構造にもたらされる周期性は、素材対1個の厚さΛにより特徴付けられる。この多層被覆の合計厚はターゲットの高さに等しい。その多層被覆の周期は、所望の回折次数分離量と、そのX線依拠計量システムにて利用される入射X線ビームのX線光子エネルギとにより定まる。大略、この多層被覆の周期は2.5nm以下の小ささとされうる。とはいえ、現実には、程よい個数の諸次回折光が検出器上で程よい量の空間分離で以て典型的なX線照明ビームエネルギに関し達成されるのは、多層周期が10nm~100nmの範囲内にあるときである。それより小さな周期ではそのターゲットのアスペクト比(即ちその多層ターゲットの深さ対周期比)が高まる。そのアスペクト比が高まるにつれ、入射ビームに対するターゲットのアライメントがますます難しくなる。例えば、10nmの周期及び10μmの深さを有するターゲットの回折平面と入射X線ビーム軸との間の角度が1mradともなれば、諸次回折光の強度損失が甚だしくなろう。結果として有利たりうるのは、所与X線光子エネルギの入射X線ビームに関し所望の回折次数分離が達成されるようにしつつ、極力大きな多層周期を選ぶことである。
図1記載の通り、小さな多層セグメント205が集束イオンビーム(FIB)エッチングにより多層標本200から切り出される。集束イオンビームツール203が集束イオンビーム204をその多層標本に送り、所望サイズ(即ち所望の幅、深さ及び高さ)を有する多層セグメント205をその多層標本から分離させるのである。大略、このターゲットの高さは多層被覆の高さ、通常は100μm以下のそれにより制限される。とはいえ、一般に、ターゲットの深さ(ビーム沿い長さ)及びターゲットのビーム横断方向幅は基板201の直径未満のどのようなサイズともされうる。
図2に、図1記載の多層標本から分離された多層セグメント205から組み上げた多層ターゲット210を示す。多層ターゲット210の入射X線ビーム沿い深さDにより、その回折効率が定まる。多層構造211の高さHは多層構造202の厚みである。多層ターゲット210の幅Wは、分離されたセグメント205の幅である。ある例では、多層構造の高さHが50μm、幅Wが50μm、深さDが5μmとされる。
図2記載の実施形態では、多層ターゲット210に備わる多層構造211が、多層セグメント205の一部分として多層構造211と共に抜出された母基板212により支持されている。加えて、多層ターゲット210においては基板213が多層構造211に装着されており、それにより付加的な構造的支持が提供されると共に、校正対象計量システムへの実装が容易化されている。基板212及び213はX線を回折させない素材(例.シリコン)で作成されている。他の幾つかの多層ターゲット実施形態では、基板212及び213のうち一方又は双方が省かれる。ある実施形態では、多層ターゲットに多層構造211のみが備わる。この種の実施形態では、多層ターゲットが自立多層構造とされる。多層ターゲットのアスペクト比(即ちH/D)が約10であれば、それは安定な自立構造になると見込まれる。自立多層構造では、別の支持構造への装着なしでその形状が保持される。こうして、多層ターゲットを、多層構造211のみを有し別の基板による支持を欠くものとすることができる。これに代え、多層構造211をX線透明基板213に装着してもよく、その母基板(即ち図1記載の基板201)の一部分212に装着されたままにしてもよく、或いは図2記載の通りその双方としてもよい。こうして、多層構造211を、そのシリコン基板から引き離すことも、取り扱いの容易さに鑑み装着されたままにすることもできる。
図2記載の通り、入射X線ビーム216は多層構造211に備わる50μm×50μmの壁上に入射する。このX線ビームは、多層構造211の深さたる5μmに亘り、多層構造211内を伝搬する。一般に、多層ターゲット210のサイズは、好適であればどのようなサイズでもよい。幾つかの例では多層ターゲット210が10μm以下の小ささとされる。幾つかの例では、多層ターゲット210が、標準的なスクライブラインターゲット(例.50μm)内にフィットするようサイズ決めされる。
典型的な超研磨シリコン基板、例えば図1記載の基板201は1インチ径であり(1インチ=約2.5cm)、多層構造202はその全エリアに亘り作成される。抜出多層ターゲットの特徴寸法が50μm~100μmであるとすると、ターゲット抜出用FIBプロセスによる各ターゲット周囲での面積損失を勘案した後でさえ、1枚の基板からからもたらされるターゲットが数千個にもなる。
ある特定の製造標本から抜出されたターゲットは皆、ほぼ同一の多層パラメタ例えば周期、素材組成、粗さ、吸収器対周期比及び相互拡散層厚を有することとなる。これらの特性の初期解明のため、その基板の相異なる部分から抜出された数個のターゲットのみが徹底的に計測される。一般に、1枚の基板から抜出されたターゲットのうち数個(例.約5個)のみを徹底的に計測するだけで、実際の多層周期及び回折効率を判別することができる。計測されたターゲット全てが同じ性能を示していれば、その基板全体に亘りそれら多層パラメタが安定であること、並びにその標本から抜出される他のターゲット全てが実質的に同一であろうことことが見込まれる。各抜出ターゲットの壁質やFIBプロセスのデブリは、本願記載のターゲット性能には影響しない。
別の態様では、それら多層校正ターゲットが、標準的な半導体製造技術を用い製造される。幾つかの実施形態では、半導体ウェハ上に作成された垂直構造の側面上に多層積層体が堆積される。
図3に、垂直方向シリコンピラー225の側壁上に共形堆積された垂直姿勢多層構造223と、シリコン基板221上及び垂直ピラー225頂部上に共形堆積され水平方向に延びている水平姿勢多層構造222と、を有する多層ターゲット220の描像を示す。ターゲット220は水平多層,垂直多層双方を有している。水平多層,垂直多層双方を、本願記載の通り回折ターゲット構造として用いることができる。
図4に、垂直方向シリコンピラー225の側壁上に共形堆積された垂直姿勢多層構造223を有する多層ターゲット224の描像を示す。多層ターゲット224は、多層ターゲット220を付加的な方向性エッチング工程に供することで、シリコン基板221から水平多層構造222を除去したものである。ターゲット220は、本願記載の通り回折ターゲット構造として用いうる垂直多層を有している。
標準的な半導体製造技術を用い製造された多層校正ターゲット、例えばターゲット220及び224が有利たりうるのは、付加的なカッティング、ダイシング又は実装工程無しで半導体製造ウェハ上に直接作成できるからである。更に、これらのターゲットは、X線依拠スキャタロメトリシステムにより計測されようとしている同じ製造済半導体ウェハの、一体部分である。これによりアライメント手順及び校正手順が単純化される。とりわけ、他種のターゲット(例.ツール性能を確認するため用いられるターゲット)と、ツールアライメント及び校正に役立つ光学アライメントマークその他の構造とを、同じウェハ上に作成することができる。
別の更なる態様では、入射X線ビームに直交する表面上にて、多層ターゲット表面上に光学マーカが食刻(エッチング)される。図2に、基板212の表面上にあり入射X線ビーム216に面するところに食刻された光学マーカ214及び215を示す。これら光学マーカは、エッチング、イオンミリング、レーザスクライビング等々により多層ターゲット上に食刻されうる。一般に、多層ターゲットの光学マーカは入射X線ビームに直交する表面上にあればよく、前側面上、後側面上又はその双方でかまわない。これら光学マーカは十分に高いコントラスト、即ち操縦用顕微鏡(例.高倍率カメラ、低倍率カメラ又はその双方)で以て得られた画像のパターン認識に十分なそれを呈するものとされるべきである。そのターゲットの縁に対する光学マーカ位置の正確度は1μm未満とされるべきである。幾つかの実施形態では、そのターゲットが多層標本から抜出される際に、集束イオンビーム(FIB)マシニングツール(例.FIBツール203)によってそれら光学マーカがイオンミリングされる。当該1個又は複数個の光学マーカにより、X線依拠スキャタロメトリツール上でのウェハ操縦にも用いられる1個又は複数個の光学顕微鏡で以て、多層ターゲットのアライメントを行うことが可能となる。こうして、光学操縦カメラシステムを基準とした入射X線ビームの位置も、光学的にマーク付けされた多層ターゲットの働きで校正される。
別の態様では、その多層ターゲットの諸層が入射X線ビームに対し平行となるよう多層ターゲットが姿勢決めされる。
図5に、基板233に実装された多層構造232を有する多層ターゲット上に入射しているX線ビーム234を示す。それにより生じた諸次回折光236、237A~B及び238A~Bが検出器235上で検出される。図5記載の通り、多層構造232の諸層は、入射X線ビーム234に対し平行(即ち入射X線ビーム伝搬方向に対し整列しているX線ビーム長手軸に対し平行)に姿勢決めされている。更に、諸層が、検出器235上に投射されたウェハ平面のX軸に対し平行に姿勢決めされている。入射X線ビーム234は回折されて0次ビーム、±1次ビーム及び±2次ビームとなり、計測スポット236、計測スポット237A~B及び計測スポット238A~Bのうち対応するところで検出器235上に入射する。図5記載の通り、多層構造232は、検出器235上に投射されたウェハ平面のY軸に沿い入射ビーム234を回折させる。
多層構造232は、所与光子エネルギの入射ビームに関し検出器上で所望の回折次数分離が達成される周期を呈するよう、設計されている。加えて、多層構造232は、所与光子エネルギの入射ビームに関し検出器にて所望の回折効率又は検出可能回折光子個数が達成される深さDを呈するよう、設計されている。回折効率はビーム沿い構造長の平方値に比例し、回折光子個数は入射ビーム波長の平方値に比例する。
幾つかの実施形態では、X線ビームをもたらすX線照明源としてそのビームエネルギ(即ち波長)を選択可能なものが採用される。ある例では多素子アノードX線照明源が採用される。この種の実施形態では、入射X線ビームの波長を適宜選択することで、所望の回折効率及び回折次数空間分離を達成することができ、ひいては校正及びアライメントを最高速度で以て実行することができる。例えば、軟寄り光子により生成される諸回折次数は検出器にて大き目の空間分離を呈する。
多層構造232のアスペクト比は大きい(例.50超のD/Λ、500未満のD/Λ)。結果として、多層構造232の回折効率が、入射X線ビーム234に対し垂直であり且つ諸構造層に対し平行な軸261周りでの多層構造232の姿勢に対し、非常に敏感となる。回折効率は、多層構造232の諸構造層が入射X線ビーム234に対し完全に平行なときに極大となる。僅かであれ完全整列状態からの軸261周り回動があると回折効率の急低下が引き起こされる。この敏感さを利用することで、多層構造232ひいてはウェハステージを、検出器235上に投射されたウェハ平面内のX軸に対し高い正確度で以て整列している回動軸周りで、入射X線ビームに対し迅速且つ正確に整列させることができる(例.入射X線ビームを基準とした多層構造の整列具合が1mrad以内)。こうして、ウェハ平面内X回動軸周りゼロ入射角が校正される。例えば、100なるアスペクト比(例.100nmなる多層周期及び10μmなるX線ビーム沿いターゲット深さ)を有する多層ターゲットでは、ターゲットが10mrad傾斜すると、計測される回折ビーム237A~B及び238A~Bの強度が何分の一にも低下する。
図6に、基板240に実装された多層構造239を有する多層ターゲット上に入射しているX線ビーム234を示す。それにより生じた諸次回折光243、244A~B及び245A~Bが検出器235上で検出される。図6記載の通り、多層構造239の諸層は入射X線ビーム234に対し平行に姿勢決めされている。更に、諸層が、検出器235上に投射されたウェハ平面のY軸に対し平行に姿勢決めされている。入射X線ビーム234は回折されて0次ビーム、±1次ビーム及び±2次ビームとなり、計測スポット243、計測スポット244A~B及び計測スポット245A~Bのうち対応するところで検出器235上に入射する。図6記載の通り、多層構造239は、検出器235上に投射されたウェハ平面のX軸に沿い入射ビーム234を回折させる。
図5記載の多層構造232を参照し記述した通り、多層構造239は、所与光子エネルギの入射ビームに関し検出器上で所望の回折次数分離が達成される周期を呈するよう、設計されている。加えて、多層構造239は、所与光子エネルギの入射ビームに関し検出器上で所望の回折効率又は検出可能回折光子個数が達成される深さDを呈するよう、設計されている。
同様に、多層構造239のアスペクト比も大きい(例.50超のD/Λ、500未満のD/Λ)。結果として、多層構造239の回折効率が、入射X線ビーム234に対し垂直であり且つ諸構造層に対し平行な軸253周りでの多層構造239の姿勢に対し、非常に敏感となる。回折効率は、多層構造239の諸構造層が入射X線ビーム234に対し完全に平行なときに極大となる。僅かであれ完全整列状態からの軸253周り回動があると回折効率の急低下が引き起こされる。この敏感さを利用することで、多層構造239ひいてはウェハステージを、検出器235上に投射されたウェハ平面内のY軸に対し高い正確度で以て整列している回動軸周りで、入射X線ビームに対し迅速且つ正確に整列させることができる(例.入射X線ビームを基準とした多層構造の整列具合が1mrad以内)。こうして、ウェハ平面内Y回動軸周りでゼロ入射角が校正される。例えば、100なるアスペクト比(例.100nmなる多層周期及び10μmなるX線ビーム沿いターゲット深さ)を有する多層ターゲットでは、ターゲットが10mrad傾斜すると、計測される回折ビーム244A~B及び245A~Bの強度が何分の一にも低下する。
図5及び図6記載の諸実施形態に従い、二通りの相直交する方向にて入射角を校正する際には、計量システムにて相異なる二通りの多層ターゲットを採用する。しかしながら、一般的には単一の多層ターゲットを採用すればよく、その多層ターゲットを各回校正間で単純に90°回動させることによって、二通りの相直交する方向にて入射角を校正することができる。
別の態様では、多層ターゲット・検出器間距離が回折次数間空間分離を踏まえ計測される。
図5への参照により多層構造232を参照して記述した通り、多層構造239は空間周期Λを呈するよう設計されており、またある具体的な波長λを特徴とするX線輻射で以て照明されている。小角散乱想定下では、各非0次回折が0次回折から角度nθに亘り角度変位する;但しnは回折次数である。この角度変位は空間周期及び照明波長の関数であり、sin(θ)=λ/Λとなる。更に、ターゲットから検出器までの距離Lは検出器における1次回折・0次回折間空間分離量Sの関数であり、L=S/sin(θ)となる。故に、ターゲットから検出器までの距離Lを、検出器にて計測されたS及び非常に正確に判明しているシステムパラメタλ及びΛにより、L=S*Λ/λと表すことができる。
こうしたことから、図17記載の情報処理システム130は、検出器にて求まった回折次数間空間分離量と、λ及びΛに係る既知システムパラメタ値とに基づき、多層ターゲット・検出器間距離を推定するよう、構成されている。
別の態様では、多層ターゲットの諸層に対し平行又は垂直であり且つ入射X線ビームに対し垂直な方向に多層ターゲットを並進させることで、その入射X線ビームをその多層ターゲットの中心に対し一軸沿いで整列させる。
前掲の図5によれば、X線ビーム234が多層構造232上に入射され、多層構造232の諸層が入射X線ビーム234に対し平行に姿勢決めされる。図5記載の通り、ある例では、検出器235上に投射されたウェハ平面のX軸に対し平行な(例.軸261に沿った)方向に、その多層ターゲットが並進される。別の例では、検出器235上に投射されたウェハ平面のX軸に対し垂直な方向に、その多層ターゲットが並進される。多層構造(例.多層構造232)の物理寸法により、その多層構造をある方向に並進させるのが有利か、それとも別の方向に並進させるのが有利かが、決まってくる。もしその多層構造が、一方の方向においては入射X線ビームのスポットサイズに比し実質的に長く、他方の方向においては入射X線ビームのスポットサイズに比しほぼ同じか実質的に小さな長さであるなら、その多層構造の拡がりが入射X線ビームのスポットサイズに比しほぼ同じ長さとなる方向に多層構造を走査するのが有利である。これにより、その多層構造の位置変化に対する諸次回折光計測の感度が最大化される。図5記載の通り、入射X線ビーム234は回折されて0次ビーム、±1次ビーム及び±2次ビーム等々となり、計測スポット236、計測スポット237A~B及び計測スポット238A~Bのうち対応するところで検出器235上に入射する。図5記載の通り、多層構造232は、検出器235上に投射されたウェハ平面のY軸に沿い入射ビーム234を回折させる。回折効率は、入射X線ビーム234がX軸に沿い多層構造232の諸構造層上にセンタリングされているとき、極大となる(即ち計測回折強度が最高となる)。僅かであれ対X方向完全整列状態からの軸261沿い並進があると、回折効率の急低下が引き起こされる。この敏感さを利用することで、多層構造232ひいてはウェハステージを、検出器235上に投射されたウェハ平面内のX方向にて、入射X線ビームに対し迅速且つ正確に整列させることができる。
前掲の図6によれば、X線ビーム234が多層構造239上に入射され、多層構造239の諸層が入射X線ビーム234に対し平行に姿勢決めされる。図6記載の実施形態では、検出器235上に投射されたウェハ平面のY軸に対し平行な(例.軸253に沿った)方向に、その多層ターゲットが並進される。別の例では、検出器235上に投射されたウェハ平面のY軸に対し垂直な方向に、その多層ターゲットが並進される。この多層構造をある方向に並進させるのが有利か、それとも別の方向に並進させるのが有利かは、本願既述の通りその多層構造(例.多層構造239)の物理寸法により左右される。入射X線ビーム234は回折されて0次ビーム、±1次ビーム及び±2次ビーム等々となり、計測スポット243、計測スポット244A~B及び計測スポット245A~Bのうち対応するところで検出器235上に入射する。図6記載の通り、多層構造239は、検出器235上に投射されたウェハ平面のX軸に沿い入射ビーム234を回折させる。回折効率は、入射X線ビーム234がY軸に沿い多層構造239の諸構造層上にセンタリングされているとき、極大となる(即ち計測回折強度が最高となる)。僅かであれ対Y方向完全整列状態からの軸253沿い並進があると、回折効率の急低下が引き起こされる。この敏感さを利用することで、多層構造239ひいてはウェハステージを、検出器235上に投射されたウェハ平面内のY方向にて、入射X線ビームに対し迅速且つ正確に整列させることができる。
図5及び図6記載の諸実施形態に従い、入射X線ビームに対するウェハステージのXYアライメントを校正する際には、計量システムにて2個の相異なる多層ターゲットが採用される。しかしながら、一般には単一の多層ターゲットを採用すればよく、その多層ターゲットを各回校正間で単純に90°回動させることによってXYアライメントを校正することができる。
別の態様では、その多層ターゲットの諸層に対し垂直であり且つ入射X線ビームに対し垂直な回動軸周りで多層ターゲットを回動させることで、それら入射X線ビーム及び多層ターゲットを基準としてウェハステージの回動軸が所在決めされる。
図7に、基板247に実装された多層構造246を有する多層ターゲット上に入射しているX線ビーム234を示す。それにより生じた諸次回折光250、251A~B及び252A~Bが検出器235上で検出される。図7記載の通り、多層構造246の諸層が入射X線ビーム234に対し平行に姿勢決めされており、入射X線ビーム234が多層構造246を基準としX方向にてセンタリングされている。更に、多層構造246の諸層が、検出器235上に投射されたウェハ平面のX軸に対し平行に姿勢決めされている。入射X線ビーム234は回折されて0次ビーム、±1次ビーム及び±2次ビーム等々となり、計測スポット250、計測スポット251A~B及び計測スポット252A~Bのうち対応するところで検出器235上に入射する。図7記載の通り、多層構造246は、検出器235上に投射されたウェハ平面のY軸に沿い入射ビーム234を回折させる。
回動軸253が多層構造246及び入射X線234を基準として完全にセンタリングされているときは(即ちX軸及びZ軸に沿い多層構造246の中心にて入射X線ビーム234の長手軸と交差しているときは)、軸253周り回動が、計測される回折効率に影響しない。しかしながら、回動軸253がX方向及びZ方向にて多層ターゲット構造246上にセンタリングされていなければ、その多層ターゲット構造246がすりこぎ運動して入射X線ビームに出入りし、回折ビームの合計信号の低減が引き起こされる。こうして、多層ターゲットの軸253周り回動に伴う回折光束の変化を利用することで、入射X線ビーム234の軸及び多層ターゲット構造246に対する回動軸253のX方向及びZ方向ミスアライメントを校正することができる。
別の態様では、少なくとも2個の多層構造を有する複合多層ターゲットであり、それら多層構造が対入射X線ビーム整列方向に沿い相互隣接配列されたもの、対入射X線ビーム直交方向に沿い相互隣接配列されたもの、或いはその組合せであるものが提供される。こうして複数個の多層ターゲットを組み合わせることで、入射X線ビームの相異なる諸部分を相異なる回折角で以て且つ相異なる回折平面に亘り回折させる、特別な校正及びアライメントターゲットが形成される。
幾つかの実施形態では、複合多層ターゲットに備わるそれら多層構造が、実質的に同一な反復積層素材対で以て作成される。言い換えれば、複合多層ターゲットに備わる多層構造それぞれが、厚み及び素材組成の面で実質的に同一な素材対を有するものとされる。これに対し、他の幾つかの実施形態では、複合多層ターゲットに備わる多層構造のうち1個又は複数個が、その複合多層ターゲットに備わる他の多層構造とは異なる反復積層素材対で以て作成される。言い換えれば、複合多層ターゲットに備わる多層構造のうち1個又は複数個が、その複合多層ターゲットが備わる他の多層構造と比べ、厚み、素材組成又はその双方の面で異なる素材対を有するものとされる。
図8に、複合多層ターゲット上に入射しているX線ビーム234を示す。この複合多層ターゲットは多層構造263に実装された多層構造262を有しており、その多層構造263が更に基板264に実装されている。多層構造262及び263、並びに基板264は、対入射X線ビーム整列方向に沿い相互実装されている。多層構造262の諸層は、検出器235上に投射されたウェハ平面のX軸に対し平行に姿勢決めされている。多層構造263の諸層は、検出器235上に投射されたウェハ平面のY軸に対し平行に姿勢決めされている。入射X線ビーム234は多層構造262により回折されて0次ビーム、±1次ビーム及び±2次ビーム等々となり、計測スポット267、計測スポット270A~B及び計測スポット271A~Bのうち対応するところで検出器235上に入射する。入射X線ビーム234は多層構造263により回折されて0次ビーム、±1次ビーム及び±2次ビーム等々となり、計測スポット267、計測スポット268A~B及び計測スポット269A~Bのうち対応するところで検出器235上に入射する。図8記載の通り、多層構造262及び263の諸層は入射X線ビーム234に対し平行に姿勢決めされている。
更なる態様では、この複合多層ターゲットを、多層ターゲット構造262の諸層に対し平行な方向(即ちX方向)及び多層ターゲット構造263の諸層に対し平行な方向(即ちY方向)に並進させることで、その入射X線ビームをその複合多層ターゲットの中心に対し二軸沿いで整列させる。
Y軸沿い回折効率は、入射X線ビーム234がX軸に沿い多層構造262の諸構造層上にセンタリングされているとき、極大となる(即ち計測回折強度が最高となる)。X軸沿い回折効率は、入射X線ビーム234がY軸に沿い多層構造263の諸構造層上にセンタリングされているとき、極大となる(即ち計測回折強度が最高となる)。僅かであれ何れかの方向にて完全整列状態からの並進があると、それに対応する回折平面に沿い回折効率の急低下が引き起こされる。この敏感さを利用することで、この複合多層構造ひいてはウェハステージを、検出器235上に投射されたウェハ平面内のX方向及びY方向にて、入射X線ビームに対し迅速且つ正確に整列させることができる。
更なる態様では、この複合多層ターゲットを、多層構造262の諸層に対し平行な軸261周りで回動させること及び多層構造263の諸層に対し平行な軸253周りでも回動させることで、多層構造262及び263の諸層を入射X線ビーム234に対し整列させる。
多層構造262の回折効率は、入射X線ビーム234に対し垂直であり且つその構造層に対し平行な軸261周りでの多層構造262の姿勢に対し、非常に敏感である。回折効率は、多層構造262の諸構造層が入射X線ビーム234に対し完全に平行なときに、検出器235上に投射されたウェハ平面内のY軸沿いで極大となる。僅かであれ完全整列状態からの軸253周り回動があると回折効率の急低下が引き起こされる。この敏感さを利用することで、多層構造262ひいてはウェハステージを、検出器235上に投射されたウェハ平面内のX軸に対し整列している回動軸周りで、入射X線ビームに対し迅速且つ正確に整列させることができる。同様に、多層構造263の回折効率は、入射X線ビーム234に対し垂直であり且つその構造層に対し平行な軸253周りでの多層構造263の姿勢に対し、非常に敏感である。回折効率は、多層構造263の諸構造層が入射X線ビーム234に対し完全に平行なときに、検出器235上に投射されたウェハ平面内のX軸沿いで極大となる。僅かであれ完全整列状態からの軸253周り回動があると回折効率の急低下が引き起こされる。この敏感さを利用することで、多層構造263ひいてはウェハステージを、検出器235上に投射されたウェハ平面内のY軸に対し整列している回動軸周りで、入射X線ビームに対し迅速且つ正確に整列させることができる。こうして、ウェハ平面内X及びY回動軸周りゼロ入射角が校正される。
図9に、図8記載の複合多層ターゲットの端面外観の描像275を示す。図9記載の通り、この複合多層ターゲットは、互いに垂直に姿勢決めされた二組の多層化構造を有している。一方の組の多層化構造はX方向に対し整列されており、他方の組の多層化構造はY方向に対し整列されている。図8及び図9記載の複合多層ターゲットがひときわ適するのは、2個の直交する格子で構成された半導体ターゲットの計測向けにX線依拠スキャタロメータ(散乱計)システムを調製する際である。
図10に、対入射X線ビーム整列方向に沿いそれぞれ相互隣接配置された三組の多層化ターゲットを有する、別の複合多層ターゲットの端面外観の描像276を示す。更に、それら多層化ターゲット構造それぞれが互いに60°に姿勢決めされているので、六重対称回折像が生成される。図10記載の複合多層ターゲットがひときわ適するのは、VNANDチャネルホールエッチング構造を有する半導体ターゲットの計測向けにX線依拠スキャタロメータシステムを調製する際である。
一般に、2個以上の別個な多層ターゲット構造であり、同サイズ又は別サイズ、同周期又は別周期、或いはその双方であるものを、対入射X線ビーム整列方向に沿い相互隣接配列することができる。
図11に、複合多層ターゲット上に入射しているX線ビーム234を示す。この複合多層ターゲットは、基板279に実装された多層構造277と、やはり基板279に実装された多層構造278とを有している。多層構造277及び278は、入射X線ビーム234に対し垂直な共通平面内で基板279に実装されている。多層構造277及び278の諸層は、検出器235上に投射されたウェハ平面のX軸に対し平行に姿勢決めされており、且つ、入射X線ビーム234に対し平行に姿勢決めされている。加えて、多層構造277及び278は距離Gを以て相互分離されている。入射X線ビーム234は多層構造277及び278により回折されて0次ビーム、±1次ビーム及び±2次ビーム等々となり、計測スポット282、計測スポット283A~B及び計測スポット284A~Bのうち対応するところで検出器235上に入射する。
別の態様では、多層構造277及び278の諸層に対し平行な軸に沿いこの複合多層ターゲットを並進させることで、多層構造277及び278間の間隙を、その入射X線ビーム234に対し整列させる。回折の計測によって、多層構造277及び278間の間隙と同サイズの計測ボックス内の光子束、その計測ボックス外での光子漏れ、並びに入射X線ビーム234に対するその計測ボックスの整列状態が判明する。
入射X線ビーム234のうち多層構造277及び278間の間隙を通過した部分は、検出器235における非0次回折信号には寄与しない。即ち、0次光束の計測によって、その間隙内を通過した光子束が判明する。入射X線ビーム234のうち多層構造277及び278内を通過した部分は回折され、検出器235における非0次回折信号に寄与する。検出器235上での全回折信号(即ち非0次信号)の計測によって、その間隙外での光子汚染(即ち光子損失)の全体的度合いが判明する。
図12に、複合多層ターゲット上に入射しているX線ビーム234を示す。この複合多層ターゲットは、基板288に実装された多層構造285と、やはり基板288に実装された多層構造286とを有している。多層構造285及び286は、入射X線ビーム234に対し垂直な共通平面内で基板288に実装されている。多層構造285及び286の諸層は、検出器235上に投射されたウェハ平面のY軸に対し平行に姿勢決めされており、且つ、入射X線ビーム234に対し平行に姿勢決めされている。加えて、多層構造285及び286はY方向にて距離Gを以て相互分離されている。入射X線ビーム234は多層構造285及び286により回折されて0次ビーム、±1次ビーム及び±2次ビームとなり、計測スポット290、計測スポット291A~B及び計測スポット292A~Bのうち対応するところで検出器235上に入射する。
入射X線ビーム234のうち多層構造285及び286間の間隙を通過した部分は、検出器235における非0次回折信号には寄与しない。即ち、0次光束の計測によって、その間隙内を通過した光子束が判明する。入射X線ビーム234のうち多層構造285及び286内を通過した部分は回折され、検出器235における非0次回折信号に寄与する。検出器235上での全回折信号(即ち非0次信号)の計測によって、間隙外光子汚染(即ち光子損失)の全体的度合いが判明する。回折信号に対称性があるため、入射ビーム234を基準とした複合多層ターゲットのY方向沿い整列状態が判明する。
図13に、複合多層ターゲット上に入射しているX線ビーム234を示す。図13記載の複合多層ターゲットは、対入射X線ビーム整列方向に沿い図11及び図12記載の複合多層ターゲットを組み合わせたものである。この複合多層ターゲットは、基板293に実装された多層構造294と、やはり基板293に実装された多層構造295とを有している。多層構造294及び295は、入射X線ビーム234に対し垂直な共通平面内で基板293に実装されている。多層構造294及び295の諸層は、検出器235上に投射されたウェハ平面のY軸に対し平行に姿勢決めされており、且つ、入射X線ビーム234に対し平行に姿勢決めされている。加えて、多層構造294及び295はY方向にて距離Gを以て相互分離されている。入射X線ビーム234は多層構造294及び295により回折されて0次ビーム、±1次ビーム及び±2次ビームとなり、計測スポット300、計測スポット303A~B及び計測スポット304A~Bのうち対応するところで検出器235上に入射する。
加えて、この複合多層ターゲットは、多層構造294及び295に実装された多層構造296及び297を有している。多層構造296及び297は、入射X線ビーム234に対し垂直な共通平面内で多層構造294及び295に実装されている。多層構造296及び297の諸層は、検出器235上に投射されたウェハ平面のX軸に対し平行に姿勢決めされており、且つ、入射X線ビーム234に対し平行に姿勢決めされている。加えて、多層構造296及び297はX方向にて距離Gを以て相互分離されている。入射X線ビーム234は多層構造296及び297により回折されて0次ビーム、±1次ビーム及び±2次ビームとなり、計測スポット300、計測スポット301A~B及び計測スポット302A~Bのうち対応するところで検出器235上に入射する。
入射X線ビーム234のうち多層構造294~297間の間隙を通過した部分は、検出器235における非0次回折信号には寄与しない。即ち、0次光束の計測により、その間隙内を通過した光子束が判明する。入射X線ビーム234のうち多層構造294~297内を通過した部分は回折され、検出器235における非0次回折信号に寄与する。検出器235上での全回折信号(即ち非0次信号)の計測により、その間隙外での光子汚染(即ち光子損失)の全体的度合いが判明する。アライメントを実行することで、X方向、Y方向又はその双方における光子汚染の余地を最小化することができる。
一般に、間隙サイズを望ましい計測ボックスサイズに設定することで、特定の計測システム構成にてどの程度の光子汚染が見込まれるかについて、見識を集めることができる。ある例では、ビーム整形スリット位置を最適化することで、光子汚染を所定レベル未満に留めつつ光子束が最大化される。
一般に、相異なる周期、姿勢、配列又はそれらの組合せを採る多層構造群から複合多層ターゲットを作成することで、入射ビームの相異なる諸部分(例.左部、右部、上部、下部)から光子汚染への寄与の計測が可能となる。図13記載の複合多層ターゲットにより、入射X線ビームに対するターゲットのX方向及びY方向沿いアライメントを、(例.ビーム整形スリットのアライメント及びサイズを最適化することで)光子汚染を最小にしつつ、同時に行うことが可能となる。更に、全体的な光子汚染の水準がそれと同じ計測で以て定量される。
一般に、他にも多くの複合多層ターゲットを考えることができる。図14に、8個の多層構造を有する複合多層ターゲット305であり、計測対象半導体ターゲットの計測ボックスサイズに等しく空漠な長方形エリアがそれら多層構造により囲まれるものの端面外観を示す。このターゲットを採用することで、そのターゲットを入射X線ビームに対し整列させて、そのボックスサイズ周辺における全体的な光子汚染を計測することができる。この種のターゲットは、互いに同じ又は異なる周期、同じ又は異なる姿勢、或いはそれらの組合せを有する多層構造群から作成することができる。
図15に、8個の多層構造を有する複合多層ターゲット306であり、計測対象半導体ターゲットの計測ボックスサイズに等しく空漠な長方形エリアがそれら多層構造により囲まれるものの端面外観を示す。このターゲットを採用することで、そのターゲットを入射X線ビームに対し整列させて、そのボックスサイズ周辺での全体的な光子汚染を最小化すること、並びにその入射X線ビームの水平、垂直、上方及び下方部分における対光子汚染寄与を同時に個別分解することができる。
図16に、12個の多層構造を有する複合多層ターゲット307の端面外観を示す。各多層構造がユニークな角度配列及び周期を有している。これにより、X線ビームに対するターゲットの最適アライメントを、そのボックスサイズ周辺で達成可能な最小の光子汚染で以て行うことが可能となる。加えて、各多層構造がユニークな角度配列及び周期を有しひいては輻射を検出器の相異なる部分へと回折させるため、それらを個別に分解することができるので、複合多層ターゲット307により、入射ビームの各部分から光子汚染への寄与の同時計測が可能となる。
図17に、少なくとも1個の新規態様に従い試料の特性を計測するT-SAXS計量ツール100の実施形態を記す。図17記載の通り、本システム100を用いることで、試料101のうち照明ビームスポットにより照明されている検査エリア102に亘り、T-SAXS計測を実行することができる。
図示実施形態では計量ツール100がX線照明サブシステム125を有しており、それがX線照明源110、集束光学系111、ビーム発散制御スリット112、中間スリット113及びビーム整形スリット機構120を有している。X線照明源110は、T-SAXS計測に適したX線輻射を生成するよう構成されている。幾つかの実施形態では、0.01nm~1nmの波長を生成するようそのX線照明源110が構成される。一般に、高スループットインライン計量を実現するのに十分な光束レベルで高輝度X線を生成しうる好適な高輝度X線照明源は全て、T-SAXS計測向けX線照明の供給用に想定することができる。幾つかの実施形態では、そのX線源からX線輻射を送給するにあたり波長を様々に選べるようにする可調モノクロメータが、そのX線源に組み込まれる。
幾つかの実施形態では、15keV超の光子エネルギで以て輻射を発する1個又は複数個のX線源を採用することで、そのX線源により送給される光の波長を、確と、デバイス全体及びウェハ基板を通じた十分な透過が可能な波長にする。非限定的な例によれば、粒子加速器線源、液体アノード線源、回動アノード線源、静止固体アノード線源、マイクロフォーカス線源、マイクロフォーカス回動アノード線源、プラズマ式線源及び逆コンプトン線源の何れも、X線照明源110として採用することができる。ある例では、米国カリフォルニア州パロアルト所在のLyncean Technologies,Inc.から入手可能な逆コンプトン線源が想定されうる。逆コンプトン線源には、ある光子エネルギ域に亘りX線を生成可能であるので、そのX線源からX線輻射を送給するにあたり波長を様々に選べる、という付加的長所がある。
X線源の例には、固体又は液体ターゲットを砲撃してX線輻射を誘起するよう構成された電子ビーム式線源がある。高輝度液体金属X線照明生成方法及びシステムが、KLA-Tencor Corp.に対し2011年4月19日付で発行された特許文献1にて記述されているので、参照によりその全容を本願に繰り入れることにする。
X線照明源110によりもたらされるX線輻射は、有限な横方向寸法(即ち非ゼロのビーム軸直交方向寸法)を有する照明源エリアに亘っている。集束光学系111は、試料101上に配置された計量ターゲット上へと照明源輻射を集束させる。照明源の横方向寸法が有限であることでターゲット上のスポット102が有限サイズとなり、またそのサイズがその照明源の縁からの到来光線117により定まる。幾つかの実施形態では集束光学系111が楕円形集束光学素子を有する。
ビーム発散制御スリット112は、集束光学系111・ビーム整形スリット機構120間ビーム路上に所在している。ビーム発散制御スリット112は、計測下試料に供給される照明の発散を制限する。付加的な中間スリット113は、ビーム発散制御スリット112・ビーム整形スリット機構120間ビーム路上に所在している。中間スリット113は更なるビーム整形を施す。とはいえ、概して中間スリット113はオプション的である。ビーム整形スリット機構例についての付加的な記述が特許文献12にて提供されているので、参照によりその主題の全容を本願に繰り入れることにする。
ビーム整形スリット機構120は、試料101直前のビーム路上に所在している。ある態様では、ビーム整形スリット機構120のブレード(刃)を試料101に至近配置することで、ビーム発散が有限な照明源サイズで規定されることによる入射ビームスポットサイズの拡張が最小化される。ある例では、X線源サイズが10μm、ビーム整形スリット・試料101間距離が25mmである場合に、有限な照明源サイズに端を発しビーム整形スリット120により生成される幾何学的陰影内へのビームスポットサイズの拡がりが、約1μmとなる。
幾つかの実施形態では、ビーム整形スリット機構120内に複数個の独立駆動型ビーム整形スリットが設けられる。ある実施形態では、ビーム整形スリット機構120内に4個の独立駆動型ビーム整形スリットが設けられる。それら4個のビーム整形スリットにより入来ビーム115の一部分が効果的に阻止され、箱形照明断面を有する照明ビーム116が生成される。
図18及び図19に、図17記載のビーム整形スリット機構120の端面外観を二通りの相異なる構成にて示す。図18及び図19描出の通り、ビーム軸は紙面に対し垂直である。図18記載の通り、入来ビーム115は大きな断面を有している。幾つかの実施形態では入来ビーム115の直径が約1mmとされる。更に、ビーム整形スリット126~129内入来ビーム115所在個所には、ビーム指向誤差による約3mmの不確定性が現れうる。入来ビームのサイズ及びそのビーム所在個所の不確定性を容れるため、各スリットの長さLが約6mmとされている。図18記載の通り、各スリットは対ビーム軸直交方向に動かせる。図18の描写では、スリット126~129がビーム軸から最大距離のところに所在している(即ちそれらスリットが全開でありビーム整形スリット機構120内光通過を制限していない)。
図19に、ビーム整形スリット機構120のスリット126~129であり、計測下試料に送給される出退ビーム116が小サイズ且つ明定形状となるよう、入来ビーム115のうち一部分を阻止する位置を採っているものを示す。図19記載の通り、スリット126~129それぞれが、ビーム軸に向かい内方に動かされ、それにより所望の出退ビーム形状が達成されている。
スリット126~129は、散乱が小さく入射輻射を効果的に阻止する素材で構成されている。素材例には単結晶素材、例えばゲルマニウム、ヒ化ガリウム、燐化インジウム等々がある。通常は、構造境界越しの散乱を小さくするため、スリット素材を鋸断するのではなく結晶方向に沿って劈開させる。加えて、入来輻射・スリット素材内部構造間相互作用によりもたらされる散乱が最小量になるよう、その入来ビームを基準としてスリットが姿勢決めされる。結晶を高密度素材(例.タングステン)製のスリットホルダそれぞれに取り付けることで、そのスリットの片側でのX線ビームの完全な阻止が図られている。幾つかの実施形態では各スリットの断面が長方形断面とされ、その幅が約0.5mm、高さが約1~2mmとされる。図18記載の通り、スリットの長さLは約6mmである。
大略、X線光学系はX線輻射を整形して試料101へと差し向ける。幾つかの例では、その試料101上に入射するX線ビームを単色化するX線モノクロメータがそのX線光学系に組み込まれる。幾つかの例では、そのX線光学系にて、多層X線光学系を用いX線ビームが平行化され、或いは試料101の計測エリア102上へと1mrad未満発散で以て集束される。これらの例では、その多層X線光学系がビームモノクロメータとしても機能する。幾つかの実施形態では、そのX線光学系に、1個又は複数個のX線平行化鏡、X線アパーチャ、X線ビームストップ、屈折性X線光学系、回折光学系例えばゾーンプレート、モンテル光学系、鏡面反射X線光学系例えばかすめ入射楕円体鏡、ポリキャピラリ光学系例えば中空キャピラリX線導波路、多層光学系又はシステム或いはそれらの何らかの組合せが組み込まれる。更なる詳細が特許文献13にて記述されているので、参照によりその全容を本願に繰り入れることにする。
X線検出器119は、試料101から散乱されてきたX線輻射114を集め、試料101の特性のうち入射X線輻射に対し感応的な特性を示す出力信号135を、T-SAXS計測方式に従い生成する。幾つかの実施形態では、散乱X線114をX線検出器119により集める際に、試料位置決めシステム140により試料101を所在決め及び姿勢決めすることで、散乱X線の角度分解が行われるようにする。
幾つかの実施形態では、T-SAXSシステムが、広いダイナミックレンジ(例.10超)を呈する1個又は複数個の光子計数型検出器を有する。幾つかの実施形態では、単一の光子計数型検出器により検出光子の位置及び個数が検出される。
幾つかの実施形態では、X線検出器により一通り又は複数通りのX線光子エネルギが分解され、その試料の特性を示す信号がX線エネルギ成分毎にもたらされる。幾つかの実施形態では、そのX線検出器119に、CCDアレイ、マイクロチャネルプレート、フォトダイオードアレイ、マイクロストリップ型比例計数器、ガス充填式比例計数管、シンチレータ及び蛍光素材のうち何れかが備わる。
こうして、その検出器におけるX線光子相互作用が、画素所在個所及び計数値に加えエネルギにより弁別される。幾つかの実施形態では、それらX線光子相互作用が、そのX線光子相互作用のエネルギを所定の上閾値及び所定の下閾値と比較することで弁別される。ある実施形態では、この情報が出力信号135を媒介にして情報処理システム130に送られ更なる処理及び格納に供される。幾つかの例では、X線照明源110、集束光学系111、スリット112、113、またはこれらの組み合わせは、試料101と同一の雰囲気環境に維持される(例えばガスパージ環境)。しかし、幾つかの例では、これらの素子間及びこれらの素子内の光路長は長く、空気中でのX線散乱は検出器上の画像にノイズを及ぼす。従って、幾つかの例では、X線照明源110、集束光学系111、スリット112、113は、局所的な真空環境に維持される。図1に示された例では、集束光学系111、スリット112、113、及びビーム整形スリット機構120は、排気されたチューブ118内の制御された環境(例えば真空)に維持される。照明ビーム116は、試料101の入射前に、チューブ118の端部の窓121を通過する。同様に、幾つかの例では、試料101と検出器109の間の光路長(すなわち、収集ビーム光路)は長く、空気中でのX線散乱は検出器上の画像にノイズを及ぼす。従って、幾つかの例では、試料101と検出器109の間の収集ビーム光路の重要な部分は、真空窓(すなわち真空窓124)によって試料(すなわち試料101)から分離された局所的な真空環境に維持される。幾つかの例では、X線検出器119は、試料101と検出器119との間のビーム光路と同様に局所的で真空環境に維持される。例えば、図17に示されるように、真空チャンバ123は、検出器119、及び試料101と検出器119との間のビーム光路の重要な部分を囲む局所的な真空環境を維持する。
更なる態様では、T-SAXSシステムを利用して、散乱光の一通り又は複数通りの回折次数に基づき試料の特性(例.構造パラメタ値)が判別される。図17記載の通り、計量ツール100は情報処理システム130を有しており、それを利用することで、検出器119により生成された信号135を獲得し、その獲得信号に少なくとも部分的に依拠して試料の特性を判別している。
幾つかの例では、T-SAXS依拠計量に際し、計測データで以てする所定計測モデルの逆解により、その標本の寸法が判別される。その計測モデルは、少数(例.10個オーダ)の可調パラメタを含み、試料の幾何特性及び光学特性と、計測システムの光学特性とを、表すものとする。逆解の方法には、これに限られるものではないがモデル依拠回帰、トモグラフィ、機械学習並びにそれらのあらゆる組合せがある。こうして、計測散乱X線強度・モデル化結果間誤差が最小になるパラメタ表現(パラメタ化)計測モデル値に関し解くことで、ターゲットプロファイルパラメタが推定される。
望ましくは、広範囲の入射角及びアジマス角にて計測を実行することで、計測パラメタ値の精度及び正確度を向上させる。この手法では、分析に利用可能なデータセットの個数及び多様性を増大させて様々な大角度の面外れ姿勢を含めることで、パラメタ間相関が低減される。例えば垂直姿勢では、T-SAXSはフィーチャの限界寸法を解明することができるが、フィーチャの側壁角及び高さに対してはほとんど不感である。しかしながら、広範囲の面外れ角度姿勢に亘り計測データを集めることで、フィーチャの側壁角及び高さを解明することができる。他の諸例では、広範囲の入射角及びアジマス角に亘る計測により十分な分解能及び浸透深度が提供され、それらの全深度を通じ高アスペクト比構造群が解明される。
回折輻射強度の計測結果は、ウェハ表面法線に対するX線入射角に関連付けて収集される。それら複数通りの回折次数に含まれる情報は、通常、個々の考慮下モデルパラメタ間でユニークである。即ち、X線散乱により注目パラメタの値に関し、推定結果が、小さな誤差及び少ないパラメタ相関で以てもたらされる。
半導体ウェハ101の表面法線に対する照明X線ビーム116の個々の向きは、X線照明ビームを基準としてウェハ101の何れか二通りの角度回動により記述されるものであり、またその逆も成り立つ。ある例では、その向きを、ウェハに対し固定された座標系を基準にして記述することができる。図20に、入射角θ及びアジマス角φにより記述される特定の向きにてウェハ101上に入射するX線照明ビーム116を示す。座標系XYZは計量システム(例.照明ビーム116)に対し固定され、座標系X’Y’Z’はウェハ101に対し固定されている。Y軸はウェハ101の表面に対し面内整列されている。X及びZはウェハ101の表面に対し整列していない。Z’はウェハ101の表面に直交する軸に対し整列しており、X’及びY’はウェハ101の表面に対し整列している平面内にある。図20記載の通り、X線照明ビーム116はZ軸に対し整列しており、従ってXZ平面に内在している。入射角θは、ウェハの表面法線を基準としたX線照明ビーム116の向きを、XZ平面内で記述したものである。更に、アジマス角φは、X’Z’平面を基準としてXZ平面の姿勢を記述したものである。θ及びφが相俟ち、ウェハ101の表面を基準としたX線照明ビーム116の向きがユニークに定まる。この例では、ウェハ101の表面を基準としたX線照明ビームの向きが、ウェハ101の表面に直交する軸(即ちZ’軸)周りでの回動と、ウェハ101の表面に対し整列している軸(即ちY軸)周りでの回動とにより、記述されている。他の幾つかの例では、ウェハ101の表面を基準としたX線照明ビームの向きが、ウェハ101の表面に対し整列している第1軸、並びにその第1軸に対し垂直でウェハ101の表面に対し整列している別の軸、の周りでの回動により記述される。
幾つかの実施形態では、計量ツール100に試料位置決めシステム140が組み込まれ、垂直的に(即ちウェハ表面に備わり重力ベクトルに対しほぼ整列している平面にて)ウェハを所在決めするよう、また照明ビーム116を基準にして6自由度に亘り試料101を能動位置決めするよう、それが構成される。加えて、試料位置決めシステム140が、その照明ビーム116を基準として広範囲の入射角(例.少なくとも70°)及びアジマス角(例.少なくとも190°)に亘り、試料101を整列させ且つ試料101を姿勢決めするよう、構成される。幾つかの実施形態では、試料位置決めシステム140が、試料101の表面に対し面内整列している広範囲の回動角(例.少なくとも70°)に亘り試料101を回動させるよう、構成される。こうして、試料101の角度分解計測結果が、計量システム100によって、試料101表面上にて任意個数の個所及び姿勢に亘り収集される。ある例では、情報処理システム130から試料位置決めシステム140へと、試料101の所望位置を指示するコマンド信号(図示せず)が送られる。これに応じ、試料位置決めシステム140が、試料位置決めシステム140の様々なアクチュエータに対するコマンド信号を生成することで、試料101の所望位置決めが達成される。
図21に、ある実施形態における試料位置決めシステム140を示す。試料位置決めシステム140は、重力ベクトルを基準とし垂直的に(即ち重力ベクトルがウェハ表面とほぼ同一面内となるよう)ウェハ101を支持しつつ、照明ビーム116を基準としたウェハ101の位置の能動制御を全6自由度に亘り行う。試料位置決めシステム140がウェハ101をウェハ101の縁にて支持するので、ウェハ101を再実装することなく、ウェハ101の能動エリアの任意部分に亘りウェハ101内に照明ビーム116を送ることができる。ウェハ101をその縁にて垂直的に支持することで、ウェハ101の重力誘起サグが効果的に軽減される。
図21記載の通り、試料位置決めシステム140は、ベースフレーム141、横アライメントステージ142、ステージ参照フレーム143、並びにステージ参照フレーム143に実装されたウェハステージ144を有している。参照を目的とし、{XBF,YBF,ZBF}座標系をベースフレーム141に、{XNF,YNF,ZNF}座標系を横アライメントステージ142に、{XRF,YRF,ZRF}座標系をステージ参照フレーム143に、そして{XSF,YSF,ZSF}座標系をウェハステージ144に付してある。ウェハ101は、アクチュエータ150A~Cを有するティップティルトZステージ156によりウェハステージ144上で支持されている。ティップティルトZステージ156に実装されたロータリステージ158により、照明ビーム116を基準としある範囲のアジマス角φに亘り、ウェハ101が姿勢決めされる。図示実施形態では3個のリニアアクチュエータ150A~Cがウェハステージ144に実装されており、それによりロータリステージ158が支持されひいてはウェハ101が支持されている。
アクチュエータ145は、横アライメントステージ142を、ベースフレーム141を基準としXBF軸に沿い並進させる。ロータリアクチュエータ146は、YNF軸に対し整列している回動軸153周りで、横アライメントステージ142を基準としてステージ参照フレーム143を回動させる。ロータリアクチュエータ146は、照明ビーム116を基準として、ある範囲の入射角θに亘りウェハ101を姿勢決めする。ウェハステージアクチュエータ147及び148は、ステージ参照フレーム143を基準としそれぞれXRF軸,YRF軸に沿い、ウェハステージ144を並進させる。
幾つかの実施形態では、ウェハステージ144が開放開口二軸(XY)リニア積層ステージとされる。開放開口であるので、ウェハ(例.300mmウェハ)全体の任意部分内に計測ビームを通すことができる。ウェハステージ144は、回動軸153に対しほぼ平行な方向にY軸ステージが延びるよう配列されている。更に、そのY軸ステージが、重力ベクトルに対しほぼ整列した方向に延びている。
アクチュエータ150A~Cは、協調動作することによって、ウェハステージ144を基準としZSF方向にロータリステージ158及びウェハ101を並進させ、またウェハステージ144を基準とし、XSF-YSF平面に対し共平面的な諸軸周りでロータリステージ158及びウェハ101をティップ及びティルトさせる。ロータリステージ158のアクチュエータ149は、ウェハ101の表面に直交する軸周りでウェハ101を回動させる。更なる態様では、ロータリステージ158のフレームが、運動学的実装要素157A~Cを有する運動学的実装システムによりアクチュエータ150A~Cにそれぞれ結合される。ある例では、各運動学的実装要素157A~Cが、対応するアクチュエータに装着された球と、ロータリステージ158に装着されたV字形スリットとを、有するものとされる。各球は、対応するV字形スリットに対し二点接触をなす。各運動学的実装要素により、アクチュエータ150A~Cを基準としたロータリステージ158の運動が2自由度に亘り規制されるので、3個の運動学的実装要素157A~Cの合計では、アクチュエータ150A~Cを基準としたロータリステージ158の運動が6自由度に亘り規制されることとなる。各運動学的結合要素を予負荷することで、対応するV字形スリットに対しその球を確と、常時接触させ続けることができる。幾つかの実施形態では、その予負荷が重力、機械式ばね機構又はそれらの組合せにより行われる。
別の更なる態様では、ロータリステージ158が開放開口ロータリステージとされる。開放開口であるので、ウェハ(例.300mmウェハ)全体の任意部分内に計測ビームを通すことができる。ロータリステージ158は、その回動軸が回動軸153に対しほぼ垂直となるよう配列される。更に、ロータリステージ158の回動軸は、重力ベクトルに対しほぼ垂直である。ウェハ101がエッジグリッパの働きでロータリステージ158により固持されるので、縁部での除外を最小限にしつつフルウェハカバレージを実現することができる。
まとめると、試料位置決めシステム140により、照明ビーム116を基準として6自由度に亘りウェハ101の位置を能動制御することができ、ひいてはウェハ101の表面上(即ちXRF方向及びYRF方向に沿い少なくとも300mm範囲)の何処にも照明ビーム116を入射させることができる。ロータリアクチュエータ146により、照明ビーム116を基準としてステージ参照フレーム143を回動させることができ、ひいては広範囲(例.2°超)に亘る入射角の何れでもウェハ101の表面に照明ビーム116を入射させることができる。ある実施形態では、ロータリアクチュエータ146が、少なくとも60°の範囲に亘りステージ参照フレーム143を回動させるよう構成される。ウェハステージ144に実装されたロータリアクチュエータ149により、照明ビーム116を基準としてウェハ101を回動させることができ、ひいては広範囲(例.少なくとも90°回動範囲)に亘るアジマス角の何れでもウェハ101の表面に照明ビーム116を入射させることができる。幾つかの実施形態では、そのアジマス角範囲が少なくとも190°回動範囲とされる。
他の幾つかの実施形態では、横アライメントステージ142が除去され、ステージ参照フレーム143がロータリアクチュエータ146によりベースフレーム141を基準として回動される。これらの実施形態では、そのX線照明システム内に設けた1個又は複数個のアクチュエータにより、そのX線照明システムに備わる1個又は複数個の光学素子が動かされ、ひいてはベースフレーム141を基準とし例えばXBF方向にX線照明ビーム116が動かされる。これらの実施形態では、本願記載の校正を目的としたステージ参照フレーム143の運動が、X線照明システムに備わる1個又は複数個の光学素子の運動であり、例えば回動軸153を基準として所望位置までX線照明ビームを動かすものに、置き換わっている。図17及び図24記載の諸実施形態では、情報処理システム130からアクチュエータサブシステム111’へと、ベースフレーム141に比したX線放射の方向を変えさせるコマンド信号138を送ることで、X線照明サブシステム125に備わる1個又は複数個の素子を動かし所望ビーム方向を達成している。図示実施形態では、アクチュエータサブシステム111’により集束光学系111を動かすことで、ベースフレーム141に比したX線放射の方向を変えさせること、ひいては回動軸153に比したX線放射の所在個所を変えさせることができる。
大略、試料位置決めシステムにより、半導体ウェハの自動位置決めが6自由度に亘り行われる。加えて、その試料位置決めシステムが縁把持部材及びアクチュエータをロータリステージ上に有しているので、ウェハハンドリングロボットとの協働で、ウェハをその垂直位置に効果的にロードしまたアンロードすることができる。
幾つかの実施形態では、3個のセンサが試料位置決めシステム上に配置され、それらによりその試料位置決めシステムを基準としてウェハ背面の距離が計測される。こうして、ウェハのたわみが、ティップティルトZステージを用いたウェハの運動により計測及び補償される。
別の態様では、SAXS計量システムにて少なくとも1個の高回折効率多層ターゲットが採用され、それによりX線照明ビームが試料位置決めシステムを基準として所在決めされる。この多層ターゲットは少なくとも1個のマーカ及び少なくとも1個の多層構造を有する。試料位置決めシステムの座標系内でそのマーカを所在決めするため、アライメントカメラが採用される。その多層構造を基準としたそのマーカの所在個所は、アプリオリに(例.200nm未満の正確度で以て)既知である。故に、試料位置決めシステムの座標系における多層構造の所在個所が、直截的な座標変換により容易に求まる。その多層構造は、透過光束の検出強度が計測されている間に、照明ビームにより横断走査される。その照明ビームの中心が、本願既述の通り計測強度に基づき、その多層構造を基準として精密に所在決めされる。多層構造の所在個所が試料位置決めシステムの座標系にて既知であるため、試料位置決めシステムの座標系における照明ビームの中心の所在個所が、単純な座標変換により精密に所在決めされる。
幾つかの例では、試料位置決めシステムを基準とした照明ビームの入射個所を校正するため、多層ターゲットが採用される。他の幾つかの例では、ウェハに対する照明ビームの入射点にて、照明ビームを基準としてステージ参照フレームの回動軸を整列させるため、多層ターゲットが採用される。
図23に、ある実施形態における多層ターゲット152を示す。図23記載の実施形態では、多層ターゲット152が多層構造152A及びマーカ151を有している。幾つかの実施形態では、多層ターゲット152が試料位置決めシステム例えば試料位置決めシステム140に実装される。それらの実施形態では、多層ターゲット152が校正ウェハではなく試料位置決めシステム140に実装される。他の幾つかの実施形態では、多層ターゲット152が、1個又は複数個の多層ターゲットを有する特殊な校正ウェハに実装されること、或いはその一体部分として作成されることがある。多層ターゲット152は、試料位置決めシステムに実装された光学顕微鏡により読み取れる1個又は複数個のマーカ(例.マーカ151)をも有している。多層構造152Aを基準としたマーカ151の所在個所は精密に判明している。こうして、多層構造152Aの所在個所が、マーカ151の所在個所からの単純な座標変換により判別される。
図23記載の実施形態では、多層構造152Aの中心がウェハ101の表面に対しほぼ共平面的になるよう、多層ターゲット152がロータリステージ158のフレームに実装されている。図23記載の通り、多層構造152Aの中心が、YNF軸及びXRF軸に対し平行にほぼ整列されている。
試料位置決めシステム140は、ステージ参照フレーム143に実装されたアライメントカメラ154をも有している。図示実施形態では、そのアライメントカメラがステージ参照フレームに実装されており、従ってそのステージ参照フレームと共に回動する。アライメントカメラ154は、その視野内にある物体例えばウェハ101の高分解能画像を生成するよう、構成されている。幾つかの実施形態では、アライメントカメラ154がオートフォーカス機構をも有しているので、計測距離分だけカメラの焦点を精密に動かすことで鮮明な画像焦点が保たれる。これらの実施形態のうち幾つかでは、アライメントカメラ154を用い、そのカメラの焦点のz変位を監視することにより、カメラ本体の実装先たるステージ参照フレームと、そのカメラにより撮像されるウェハ101又はマーカ151と、の間の相対距離を計測することができる。
他の幾つかの実施形態では、アライメントカメラが横アライメントステージ142に実装される。これらの実施形態のうち幾つかでは、アライメントカメラを用い、そのアライメントカメラの視野内にあるマーカ151又はウェハ101に実装された光学マーカの所在個所を監視することにより、カメラ本体の実装先たる{XNF,YNF,ZNF}座標系と、そのカメラにより撮像されるウェハ101又はマーカ151と、の間の相対距離を計測することができる。
ある更なる態様では、ウェハ表面の平面内のある一次元における照明ビームの精密な入射個所が、その照明ビームと多層ターゲットとの相互作用を踏まえ判別される。
図23は試料位置決めシステム140の描写図であり、多層ターゲット152の多層構造152A上に照明ビーム116が入射する位置までそのウェハステージが動かされている。多層構造152Aを基準とした照明ビームの精密な入射個所が、検出器119により計測された透過光束と、照明ビーム116(即ちベースフレーム141)を基準とした多層構造152AのX位置との関係に基づき、判別される。図23記載の通り、多層構造152AがX方向に動かされるにつれ、計測光束とX位置との関係を示す信号155が検出器119により生成され、その結果が分析されることで、照明ビーム116の中心に対応する多層構造152Aの位置が識別される。
Y方向アライメントその他、本願記載のアライメントは、本願既述の諸技術に従い他の多層ターゲットを計測すること、多層ターゲット152の姿勢を変えること、或いはそれらの組合せにより達成される。一般に、複数個の多層ターゲットを採用することで、冗長性を発生させること、並びにビームの所在及び姿勢決めの校正正確度を高めることができる。
図23記載の通り、照明ビーム116の中心が本願既述の通り多層ターゲット152に対し整列している。図23記載の実施形態では、基準マーク(フィドゥーシャルマーク)151が多層ターゲット152の表面上に所在しており、多層構造152Aを基準としたその所在個所が既知である。多層ターゲット152に対するビーム中心アライメント個所では、多層ターゲット152又は基準151を基準とした照明ビーム116の位置が、アライメントカメラ154により記録される。これにより、照明ビームの相対位置が、アライメントカメラの視野内における精密な所在個所を基準として登録・定位される(焦点位置変化はないと仮定する)。図21記載の通り、ウェハ101はアライメントカメラ154の視野内で動かされる。ウェハ101が動かされ、ひいてはアライメントカメラ154の視野内でそのウェハ上の所望個所(例.基準マーク)が撮像される。その所望個所を基準とした照明ビーム116の位置が、先の登録を踏まえアライメントカメラ154により判別される。こうして、ウェハ101上における照明ビーム116のX方向及びY方向位置が、アライメントカメラ154により収集された画像に基づき速やかに推定される。幾つかの実施形態では、多層ターゲット152のZ方向所在個所を基準としたウェハのZ方向位置が、ウェハ101の表面上のリソグラフィックフィーチャが精密合焦に至るまでアライメントカメラ154の焦点位置を変化させることで、計測される。その焦点位置変化分が、多層ターゲット152とウェハ上の撮像個所との間のZ位置差を示している。他の幾つかの実施形態では、多層ターゲット152のZ方向所在個所を基準としたウェハのZ方向位置が、1個又は複数個の光学式接近センサ、静電容量式接近センサ、インタフェロメトリ(干渉計測)依拠センサその他、好適な接近センサによって計測される。アクチュエータ150A~Cを利用し、Z方向に沿いウェハ101を再位置決めすることで、多層ターゲット152と同一平面内になるよう撮像個所を再配置することができる。
更なる態様では、照明ビームの入射位置がウェハステージ座標に基づきウェハ上の任意個所にて判別される。照明ビーム中心が多層ターゲット152に対し整列され、多層ターゲット152を基準とした照明ビームの位置が本願既述の通りアライメントカメラにより記録された暁には、その照明ビーム入射個所をステージ座標へと移し替えることができる。図21記載の通り、ウェハ101がアライメントカメラ154の視野内で動かされる。ウェハ101のその動きが、ウェハステージ144に備わる位置計測システム(例.リニアエンコーダ等々)により計測される。アライメントカメラ154の視野内で撮像されたウェハ上の3個以上の所望個所(例.基準マーク)までウェハ101を動かすことで、ステージ座標におけるウェハの位置と併せ、当該所望個所を基準とした照明ビームの位置が各所望個所にて判別される。それら3個以上の個所における既知な照明ビーム個所及びステージ座標に基づき、照明ビーム入射個所にステージ座標を関連付けるマップが生成される。
多層ターゲット152が(X方向にて)照明ビーム116の中心に所在決めされた後、多層ターゲット152上に所在する基準マーク151の所在個所をアライメントカメラ154により撮像することで、アライメントカメラ154の視野内におけるビーム個所・画像個所間関係を確定することができる。アライメントカメラ154が、ステージ参照フレーム143を基準として固定的又は再現可能な位置に所在決めされるので、ステージ参照フレーム143を基準とした照明ビームの所在個所がその画像により登録・定位され、ひいてはその画像がX方向におけるビーム所在個所に関する基準として振る舞うこととなる。更に、アライメントカメラ154により基準マークについての精密な焦点位置を確定することで、ステージ参照フレーム143を基準とした多層ターゲット152の精密なZ方向所在個所を確定することができる。アライメントカメラ154がステージ参照フレームと共に回動する諸実施形態では、そのアライメントカメラ154の焦点位置が、ステージ参照フレームを基準とした多層ターゲット152のZ位置に関する基準として働く。
別の態様では、ウェハ表面の平面における回動軸153の対照明ビーム精密アライメントについて、X線検出器119により計測された照明ビーム・多層ターゲット152相互作用を踏まえ判別される。
計測無欠性を確保するためには、ウェハ101の表面上における照明ビーム116の入射個所を、広範囲の入射角及びアジマス角に亘る計測の間、静止保持させるべきである。この目的を達成するには、ステージ参照フレーム143の回動軸153を、その計測個所にてウェハ101の表面に対しほぼ共平面的にしなければならない。更に、その計測個所におけるウェハ101への照明ビーム116の入射点にて回動軸153が照明ビーム116と交差するよう、その回動軸153をXBF方向にて照明ビーム116に対して整列させねばならない。
図22Aに、図21記載のウェハ101上に入射している照明ビーム116の頂面外観を示す。図22Aに示す端面外観によれば、回動軸153の整列状態が、ウェハ101上の個所103におけるウェハ101への照明ビーム116の入射点にて、回動軸153が照明ビーム116と交差する状態となっている。図22A記載の通り、ウェハ101が回動軸153周りで大きな入射角θに亘り回動されても、照明ビーム116が個所103に入射し続ける。即ち、この状況では、ウェハ101の表面上における照明ビーム116の入射個所が、ある広範囲な入射角に亘る計測の間、静止し続ける。
図22Bに、図21記載のウェハ101上に入射している照明ビーム116の頂面外観を示す。図22Bに示す端面外観によれば、回動軸153の整列状態が、回動軸153がウェハ101の表面に対し距離∂z分、誤整列した状態となっている。図22B記載の通り、ウェハ101が回動軸153周りで大きな入射角θに亘り回動されると、個所103のうち一部分がもはや照明されなくなる(即ちウェハ101のうち他の何らかの部分が代わりに照明される)。即ち、この状況では、ウェハ101の表面上における照明ビーム116の入射個所が、非常に望ましくないことに、広範囲の入射角に亘る計測の間にドリフトする。
図22Cに、図21記載のウェハ101上に入射している照明ビーム116の頂面外観を示す。図22Cに示す端面外観によれば、回動軸153の整列状態が、回動軸153がウェハ101の表面に対し共平面的であるものの、照明ビーム116から距離∂x分だけオフセットした状態となっている。図22C記載の通り、ウェハ101が回動軸153周りで大きな入射角θに亘り回動されると、個所103のうち一部分がもはや照明されなくなる(即ちウェハ101のうち他の何らかの部分が代わりに照明される)。即ち、この状況では、ウェハ101の表面上における照明ビーム116の入射個所が、非常に望ましくないことに、広範囲の入射角に亘る計測の間にドリフトする。
幾つかの実施形態では、ステージ参照フレームの回動軸の校正が、照明ビームの中心を多層ターゲット152に対し整列させ、複数通りの相異なるステージ参照フレーム回動位置θにて光束を計測することで、達成される。
一般に、透過光束を相異なる入射角にて計測することで、回動軸153をX方向及びZ方向にて多層ターゲット152に対し整列させるのに必要な変位値を、判別することができる。
別の更なる態様では、試料の被計測構造の構造モデル(例.幾何モデル、素材モデル又は幾何素材複合モデル)を生成し、その構造モデルに由来する少なくとも1個の幾何パラメタを含むT-SAXS応答モデルを生成し、そしてそのT-SAXS応答モデルで以てT-SAXS計測データの当て嵌め分析を実行することで少なくとも1個の試料パラメタ値を解明するよう、情報処理システム130が構成される。その分析エンジンを用いそれら模擬T-SAXS信号を計測データと比較することで、その標本の幾何特性及び素材特性例えば電子密度の判別を行うことができる。図17記載の実施形態では、情報処理システム130がモデル構築兼分析エンジンとして構成されており、本願記載のモデル構築兼分析機能が実現されるようそのエンジンが構成されている。
図24は、情報処理システム130により実現されるモデル構築兼分析エンジン例180の描写図である。図24記載の通り、モデル構築兼分析エンジン180は、試料の被計測構造の構造モデル182を生成する構造モデル構築モジュール181を有している。幾つかの実施形態では、構造モデル182にその試料の素材特性も組み込まれる。その構造モデル182はT-SAXS応答関数構築モジュール183への入力として受け取られる。T-SAXS応答関数構築モジュール183は、その構造モデル182に少なくとも部分的に依拠してT-SAXS応答関数モデル184を生成する。幾つかの例では、そのT-SAXS応答関数モデル184がX線フォームファクタ
Figure 0007133030000001
に基づくものとされる。但しFはフォームファクタ、qは散乱ベクトル、ρ(r)は球座標による試料の電子密度である。次いで、X線散乱強度が
Figure 0007133030000002
により与えられる。T-SAXS応答関数モデル184は当て嵌め分析モジュール185への入力として受け取られる。当て嵌め分析モジュール185は、そのモデル表現(モデル化)T-SAXS応答をそれに対応する計測データと比較することで、その試料の幾何特性及び素材特性を求める。
幾つかの例では、実験データへのモデル化データの当て嵌めが、χ二乗値を最小化することで達成される。例えば、T-SAXS計測に関しては、χ二乗値を
Figure 0007133030000003
と定義することができる。
但し、S SAXS experimentは「チャネル」jにおける計測T-SAXS信号126、指数jは一組のシステムパラメタ、例えば回折次数、エネルギ、角度座標等々を記述するものである。S SAXS model(v,…,v)は、「チャネル」jに係るモデル化T-SAXS信号Sを一組の構造(ターゲット)パラメタv,…,vに関し評価したものであり、それらのパラメタは幾何(CD、側壁角、オーバレイ等々)及び素材(電子密度等々)を記述するものである。σSAXS,jは第jチャネルに係る不確定性である。NSAXSはそのX線計量におけるチャネルの総数である。Lはその計量ターゲットを特徴付けるパラメタの個数である。
等式(3)では、別々のチャネルに係る不確定性が相関しないことが仮定されている。別々のチャネルに係る不確定性が相関する諸例では、それら不確定性間の共分散を計算することができる。それらの例では、X線スキャタロメトリ計測に係るχ二乗値を
Figure 0007133030000004
と表すことができる。
但し、VSAXSはSAXSチャネル不確定性の共分散行列であり、Tは転置を表している。
幾つかの例では、当て嵌め分析モジュール185にて、T-SAXS応答モデル184で以てT-SAXS計測データ135に対する当て嵌め分析を実行することで、少なくとも1個の試料パラメタ値が解明される。幾つかの例ではχSAXS が最適化される。
本願既述の通り、T-SAXSデータの当て嵌めはχ二乗値の最小化により達成される。とはいえ、一般に、T-SAXSデータの当て嵌めを他の関数により達成してもよい。
T-SAXS計量データの当て嵌めは、注目幾何及び/又は素材パラメタに対する感応性を提供するあらゆる種類のT-SAXSテクノロジにて有利なことである。試料パラメタは、試料とのT-SAXSビーム相互作用を記述する適正なモデルが用いられる限り、決定論的(例.CD、SWA等々)とも統計的(例.側壁粗さのrms高、粗さ相関長等々)ともすることができる。
大略、情報処理システム130は、リアルタイム限界寸法決め(RTCD)を利用しモデルパラメタにリアルタイムアクセスするよう構成されるが、事前算出モデルのライブラリにアクセスして試料101に係る少なくとも1個の試料パラメタ値を判別するのでもよい。一般に、ある種の形態のCDエンジンを用いることで、試料に割り当てられているCDパラメタと、計測された試料に係るCDパラメタとの間の差異を、評価することができる。試料パラメタ値計算方法及びシステムの例が、KLA-Tencor Corp.に対し2010年11月2日付で発行された特許文献14にて記述されているので、参照によりその全容を本願に繰り入れることにする。
幾つかの例では、モデル構築兼分析エンジン180にて、フィードサイドウェイ分析、フィードフォワード分析及びパラレル分析の何らかの組合せにより、計測パラメタの正確度が改善される。フィードサイドウェイ分析とは、同じ試料の別エリア上で複数個のデータセットを採取し、第1データセットから求まった共通パラメタを第2データセット側に引き渡して分析に供することである。フィードフォワード分析とは、別々の試料上でデータセットを採取し、ステップ的コピーイグザクトパラメタフィードフォワード手法を用い爾後の分析に共通パラメタを先渡しすることである。パラレル分析とは、複数個のデータセットに対する非線形当て嵌め方法論の並列的又は同時的適用であり、その当て嵌め中に少なくとも1個の共通パラメタが結合されるもののことである。
複数ツール兼構造分析とは、回帰、ルックアップテーブル(即ち「ライブラリ」マッチング)又は他の複数データセット当て嵌め手順に依拠するフィードフォワード、フィードサイドウェイ又はパラレル分析のことである。複数ツール兼構造分析方法及びシステムの例が、KLA-Tencor Corp.に対し2009年1月13日付で発行された特許文献15にて記述されているので、参照によりその全容を本願に繰り入れることにする。
別の更なる態様では、計測ターゲットを基準とした入射X線ビームの向き一通りにて実行されたT-SAXS計測を踏まえ、1個又は複数個の注目パラメタの初期推定値が決定される。それら初期推定値が注目パラメタの開始値として実装され、複数通りの向きでのT-SAXS計測で集めた計測データによる計測モデルの回帰に供される。こうして、注目パラメタの密推定値が相対的に少量の情報処理労力で以て決定され、またその密推定値を開始点として実装してずっと大きなデータセットに亘る回帰に供することで、その注目パラメタの精細推定値が些少な総情報処理労力で以て得られる。
別の態様では、計量ツール100に備わる情報処理システム(例.情報処理システム130)が、本願記載の通りビーム制御機能を実現するよう構成される。図17記載の実施形態では情報処理システム130がビームコントローラとして構成されており、それを動作させることで何らかの照明特性、例えば入射照明ビーム116の強度、発散、スポットサイズ、偏向、スペクトル及び位置決めを制御することができる。
図17描出の通り、情報処理システム130は検出器119に可通信結合されている。情報処理システム130は、検出器119から計測データ135を受領するよう構成されている。一例に係る計測データ135は、計測された試料応答(即ち諸次回折強度)についての指示情報を含むものである。検出器119の表面上で計測された応答の分布に基づき、試料101上における照明ビーム116の入射個所及びエリアが、情報処理システム130によって判別される。ある例では、パターン認識技術が情報処理システム130により適用され、それにより試料101上における照明ビーム116の入射個所及びエリアが計測データ135に基づき判別される。幾つかの例では、情報処理システム130から照明源110へとコマンド信号137を送ることで、所望の照明波長が選択される。幾つかの例では、情報処理システム130からアクチュエータサブシステム111’へとコマンド信号138を送ることで、ベースフレーム141に比したX線放射の方向を変化させ、それにより所望ビーム方向が達成される。幾つかの例では、情報処理システム130からビーム整形スリット機構120へとコマンド信号136を送ることで、入射照明ビーム116が所望のビームスポットサイズ及び向きで以て試料101に到来するよう、ビームスポットサイズを変化させる。ある例では、コマンド信号136に応じ、図21記載のロータリアクチュエータ122が、試料101を基準とし所望の向きまでビーム整形スリット機構120を回動させる。別の例では、コマンド信号136に応じ、スリット126~129それぞれに係るアクチュエータの位置を変化させることで、所望の形状及びサイズへと入射ビーム116を再整形させる。他の幾つかの例では、情報処理システム130からウェハ位置決めシステム140へとコマンド信号を送ることで、試料101を基準とし所望の個所及び角度方位にて入射照明ビーム116が到来するよう、試料101を位置決め及び姿勢決めさせる。
更なる態様では、T-SAXS計測データを用い、被検出回折次数の計測強度に基づき被計測構造の画像が生成される。幾つかの実施形態では、包括電子密度メッシュからの散乱を記述すべくT-SAXS応答関数モデルが一般化される。そのメッシュにおけるモデル化電子密度に制約を加えることで連続性及び疎エッジを強調しつつ、そのモデルを計測信号にマッチングさせることで、その標本の三次元画像がもたらされる。
幾何学的でモデルに依拠したパラメトリック逆変換が、T-SAXS計測に依拠した限界寸法(CD)計量には望ましいけれども、被計測試料がその幾何モデルの仮定事項から逸脱しているときには、それと同じT-SAXSデータから生成された試料マップが、モデル誤差を識別して補正するのに役立つ。
幾つかの例では、同じスキャタロメトリ計測データの幾何学的モデル依拠パラメトリック逆変換により推定された構造特性に対し、その画像が比較される。食い違いを用い、その被計測構造の幾何モデルを更新して、計測性能を改善することができる。正確なパラメトリック計測モデルへと集束するこの能力は、集積回路を計測してそれらの製造プロセスを制御、監視及びトラブルシュートする際に、ひときわ重要である。
幾つかの例では、その画像が、電子密度、吸光率、複素屈折率又はそれら素材特性の組合せの二次元(2D)マップとなる。幾つかの例では、その画像が、電子密度、吸光率、複素屈折率又はそれら素材特性の組合せの三次元(3D)マップとなる。そのマップは比較的少数の物理的制約を用い生成される。幾つかの例では、得られたマップから直に、1個又は複数個の注目パラメタ例えば限界寸法(CD)、側壁角(SWA)、オーバレイ、エッジ配置誤差、ピッチウォーク等々が推定される。他の幾つかの例では、モデル依拠CD計測向けに採用されたパラメトリック構造モデルにより考慮される期待範囲外に標本の幾何又は素材が外れているとき、そのウェハプロセスをデバッグするのにそのマップが役立つ。ある例では、そのマップと、その被計測パラメタ群に従いそのパラメトリック構造モデルにより予測された構造の描像と、の間の差異を用い、そのパラメトリック構造モデルが更新されてその計測性能が改善される。更なる詳細が特許文献16にて記述されているので、参照によりその全容を本願に繰り入れることにする。付加的な詳細が特許文献3にて記述されているので、参照によりその全容を本願に繰り入れることにする。
更なる態様では、X線計測光学計測複合分析用のモデルを生成すべくモデル構築兼分析エンジン180が採用される。幾つかの例では、例えば厳密結合波分析(RWCA)に依拠した光学シミュレーションによりマクスウェルの方程式が解かれ、光学信号例えば反射率が、様々な偏向、エリプソメトリパラメタ、位相変化等々に関し算出される。
1個又は複数個の注目パラメタの値が、複数通りの相異なる入射角における諸X線回折次数の検出強度並びに光学検出強度の結合当て嵌め分析であり、結合幾何パラメタ化応答モデルによるものを踏まえ、判別される。その光学強度を計測する光学計量ツールは、X線計量システム例えば図17記載のシステム100と機械的に一体化されていてもよいし、一体化されていなくてもよい。更なる詳細が特許文献2及び17にて記述されているので、参照によりそれぞれの全容を本願に繰り入れることにする。
一般に、計量ターゲットはアスペクト比、即ちその計量ターゲットの最大高さ寸法(即ちウェハ表面に直交する寸法)を最大横方向寸法(即ちウェハ表面に対し整列された寸法)により除したものとして定義される比により、特徴付けられる。幾つかの実施形態では、計測下計量ターゲットのアスペクト比が少なくとも20とされる。幾つかの実施形態では、計量ターゲットのアスペクト比が少なくとも40とされる。
認識されるべきことに、本件開示の随所に記載されている様々なステップを、単一コンピュータシステム130により実行してもよいし、それに代え複数コンピュータシステム130により実行してもよい。更に、システム100に備わる様々なサブシステム例えば試料位置決めシステム140が、本願記載の諸ステップのうち少なくとも一部分を実行するのに適したコンピュータシステムを有していてもよい。従って、これまでの記述は本発明に対する限定事項としてではなく、単なる例証として解されるべきである。更に、1個又は複数個の情報処理システム130を、本願記載の何れの方法実施形態の何れの他ステップ(群)を実行するよう構成してもよい。
加えて、コンピュータシステム130を、X線照明源110、ビーム整形スリット機構120、試料位置決めシステム140及び検出器119に、本件技術分野で既知な何れの要領で可通信結合させてもよい。例えば、1個又は複数個の情報処理システム130を、X線照明源110、ビーム整形スリット機構120、試料位置決めシステム140及び検出器119に係る情報処理システムそれぞれに結合させてもよい。また例えば、コンピュータシステム130に結合された単一コンピュータシステムにより、X線照明源110、ビーム整形スリット機構120、試料位置決めシステム140及び検出器119のうち何れかを直に制御してもよい。
コンピュータシステム130を、本システムの諸サブシステム(例.X線照明源110、ビーム整形スリット機構120、試料位置決めシステム140、検出器119等)からのデータ又は情報を伝送媒体、例えば有線及び/又は無線区間を有するそれにより受領及び/又は獲得するよう、構成してもよい。こうして、その伝送媒体を、コンピュータシステム130とシステム100の他サブシステムとの間のデータリンクとして働かせてもよい。
計量システム100のコンピュータシステム130を、他システムからのデータ又は情報(例.計測結果、モデル化入力、モデル化結果等々)を伝送媒体、例えば有線及び/又は無線区間を有するそれにより受領及び/又は獲得するよう、構成してもよい。こうして、その伝送媒体を、コンピュータシステム130と他システム(例.計量システム100のオンボードメモリ、外部メモリ又は外部システム)との間のデータリンクとして働かせてもよい。例えば、データリンクを介し格納媒体(即ちメモリ132又は190)から計測データ(例.信号135)を受け取るよう、情報処理システム130を構成してもよい。例えば、検出器119を用いて得た分光結果を、恒久的又は半恒久的記憶デバイス(例.メモリ132又は190)内に格納してもよい。この場合には、オンボードメモリから或いは外部メモリシステムから、それら計測結果をインポートすることができる。更に、コンピュータシステム130が伝送媒体を介し他システムにデータを送るようにしてもよい。例えば、コンピュータシステム130により判別された試料パラメタ値186を、恒久的又は半恒久的記憶デバイス(例.メモリ190)内に格納してもよい。この場合には、計測結果を他システムにエキスポートすることができる。
情報処理システム130には、これに限られるものではないが、パーソナルコンピュータシステム、メインフレームコンピュータシステム、ワークステーション、イメージコンピュータ、並列プロセッサその他、本件技術分野で既知なあらゆる装置が包含されうる。一般に、語「情報処理システム」は、記憶媒体から得た命令を実行するプロセッサを1個又は複数個有するデバイス全てが包含されるよう、広く定義することができる。
諸方法例えば本願記載のそれらを実現するプログラム命令134を、伝送媒体例えばワイヤ、ケーブル又は無線伝送リンク上で伝送してもよい。例えば、図1描出の通り、メモリ132内に格納されているプログラム命令が、バス133上でプロセッサ131へと伝送される。プログラム命令134が、コンピュータ可読媒体(例.メモリ132)内に格納される。コンピュータ可読媒体の例にはリードオンリメモリ、ランダムアクセスメモリ、磁気ディスク、光ディスク及び磁気テープが含まれる。
図25に、本発明の計量システム100による実施に適した方法400のフローチャートを示す。ある態様によれば、認識頂けるように、事前にプログラミングされているアルゴリズムを情報処理システム130に備わる1個又は複数個のプロセッサにより実行することで、方法400の諸データ処理ブロックを実行することができる。以下の記述は計量システム100の文脈で提示されているが、本願での認識によれば、計量システム100の具体的な構造的諸側面で限定事項が表されるわけではなく、それは専ら例証として解されるべきである。
ブロック401では、X線照明ビームがX線照明サブシステムによって生成される。
ブロック402では、多層校正ターゲットが、試料位置決めシステムによってそのX線ビームの経路上に位置決めされる。その多層校正ターゲットは、X線透過素材及びX線吸収素材の反復層が備わる第1多層構造を、有するものである。その多層校正ターゲットが、それら反復層がその入射X線ビームに対しほぼ平行に姿勢決めされるよう、そのX線ビームの経路上に配置される。
ブロック403では、その入射X線ビームに応じその多層校正ターゲットにより回折されたX線光子が、その試料位置決めシステムのある位置範囲に亘り検出される。
ブロック404では、その多層校正ターゲットを基準としたそのX線ビームの入射個所が、それら検出された回折X線光子に基づき判別される。
幾つかの実施形態では、本願記載のスキャタロメトリ計測が製造プロセスツールの一部分として実施される。製造プロセスツールの例には、これに限られるものではないが、リソグラフィック露出ツール、膜堆積ツール、インプランテーションツール及びエッチングツールがある。この形態では、T-SAXS分析の結果を用い製造プロセスを制御することができる。ある例では、1個又は複数個のターゲットから収集されたT-SAXS計測データが製造プロセスツールへと送られる。そのT-SAXS計測データが本願記載の如く分析され、その結果を用いその製造プロセスツールの動作が調整される。
本願記載のスキャタロメトリ計測を用い、様々な半導体構造の特性を判別することができる。構造の例には、これに限られるものではないがFinFET、低次元構造例えばナノワイヤやグラフェン、サブ10nm構造、リソグラフィック構造、スルー基板ビア(TSV)、メモリ構造例えばDRAM、DRAM4F2、FLASH(登録商標)、MRAM及び高アスペクト比メモリ構造がある。構造特性の例には、これに限られるものではないが幾何パラメタ例えばラインエッジ粗さ、ライン幅粗さ、孔(ポア)サイズ、孔密度、側壁角、プロファイル、限界寸法、ピッチ、厚み、オーバレイと、素材パラメタ例えば電子密度、組成、グレイン構造、モルホロジ、応力、歪み及び元素種別とがある。幾つかの実施形態では計量ターゲットが周期的な構造とされる。他の幾つかの実施形態では計量ターゲットが非周期的なものとされる。
幾つかの例では、これに限られるものではないがスピン注入磁化反転ランダムアクセスメモリ(STT-RAM)、三次元NANDメモリ(3D-NAND)又は垂直NANDメモリ(V-NAND(登録商標))、ダイナミックランダムアクセスメモリ(DRAM)、三次元FLASHメモリ(3D-FLASH(登録商標))、抵抗変化型ランダムアクセスメモリ(Re-RAM)及び相変化ランダムアクセスメモリ(PC-RAM)を初めとする高アスペクト比半導体構造の限界寸法、厚み、オーバレイ及び素材特性の計測が、本願記載の通りT-SAXS計測システムで以て実行される。
本願記載の通り、語「限界寸法」には、構造のあらゆる限界寸法(例.下部限界寸法、中部限界寸法、上部限界寸法、側壁角、格子高さ等々)、あらゆる2個以上の構造間の限界寸法(例.2個の構造間の距離)、並びに2個以上の構造間のずれ(例.重なり合う格子構造間のオーバレイ位置ずれ等々)が包含される。構造には三次元構造、パターン化構造、オーバレイ構造等々が含まれうる。
本願記載の通り、語「限界寸法アプリケーション」又は「限界寸法計測アプリケーション」にはあらゆる限界寸法計測が包含される。
本願記載の通り、語「計量システム」には、限界寸法アプリケーション及びオーバレイ計量アプリケーションを初め、その態様を問わず試料の特性解明に少なくとも部分的に採用されるシステム全てが包含される。とはいえ、これらの技術用語により本願記載の語「計量システム」の範囲が制限されるわけではない。加えて、本願記載の計量システムをパターニング済ウェハの計測向けに構成しても、及び/又は、未パターニングウェハの計測向けに構成してもよい。その計量システムを、LED検査ツール、エッジ検査ツール、背面検査ツール、マクロ検査ツール又はマルチモード検査ツール(1個又は複数個のプラットフォームから同時にデータを得るもの)その他、本願記載の計測技術から利を受けるどのような計量又は検査ツールとして構成してもよい。
本願には、試料の処理に使用されうる半導体処理システム(例.検査システムやリソグラフィシステム)に関し様々な実施形態が記載されている。本願では、語「試料」が、ウェハ、レティクルその他、本件技術分野で既知な手段により処理(例.印刷又は欠陥検査)されうるあらゆる標本のことを指すべく用いられている。
本願にて用いられている通り、語「ウェハ」は、一般に、半導体又は非半導体素材で形成された基板のことを指している。その例には、これに限られるものではないが、単結晶シリコン、ヒ化ガリウム及び燐化インジウムがある。そうした基板は半導体製造設備にて普通に見いだされ及び/又は処理されうる。場合によっては、ウェハが基板のみで構成されることがある(いわゆるベアウェハ)。そうではなく、ウェハが、基板上に形成された1個又は複数個の異種素材層を有することもある。ウェハ上に形成された1個又は複数個の層が「パターニング」されていることも「未パターニング」なこともありうる。例えば、ウェハ内に複数個のダイがありそれらが可反復パターンフィーチャを有していることがある。
「レティクル」は、レティクル製造プロセスのどの段階にあるレティクルでもよいし、レティクルの完成品でもよいし、また半導体製造設備での使用向けにリリースされていてもいなくてもよい。レティクル或いは「マスク」は、一般に、その上にほぼ不透明な領域が形成されておりその領域がパターンをなしているほぼ透明な基板として定義される。その基板は、例えば、ガラス素材例えばアモルファスSiOを含有する。レジストで覆われたウェハの上方にレティクルを配してリソグラフィプロセスのうち露出工程を行うことで、そのレティクル上のパターンをそのレジストへと転写することができる。
ウェハ上に形成された1個又は複数個の層がパターンをなしていてもいなくてもよい。例えば、ウェハ内に複数個のダイがあり、それらがそれぞれ可反復パターンフィーチャを有していてもよい。そうした素材層の形成及び処理によって、最終的にはデバイスの完成品を得ることができる。ウェハ上には多種多様な種類のデバイスが形成されうるところ、本願にて用いられる語ウェハには、本件技術分野で既知な何らかの種類のデバイスがその上に作成されるウェハを包括する意図がある。
1個又は複数個の例示的実施形態によれば、上述の諸機能をハードウェア、ソフトウェア、ファームウェア又はそれらの何らかの組合せの態で実現することができる。ソフトウェアにて実現するのであれば、それらの機能を1個又は複数個の命令又はコードとしてコンピュータ可読媒体上に格納し又はその媒体上で伝送することができる。コンピュータ可読媒体にはコンピュータ格納媒体及び通信媒体の双方、例えばある場所から別の場所へのコンピュータプログラムの転送を容易化する媒体全てが包含される。格納媒体は、汎用又は専用コンピュータによりアクセスできる何れの入手可能媒体でもよい。例えば、限定ではないが、そうしたコンピュータ可読媒体を、RAM、ROM、EEPROM、CD-ROMその他の光ディスクストレージ、磁気ディスクストレージその他の磁気格納装置、或いは他の何らかの媒体であり、命令又はデータ構造の形態を採る所望のプログラムコード手段を搬送又は格納するのに用いることができ、且つ汎用又は専用コンピュータ或いは汎用又は専用プロセッサによりアクセスできる媒体を、備えるものとすることができる。また、どのような接続であれコンピュータ可読媒体と称して差し支えない。例えば、ソフトウェアをウェブサイト、サーバその他のリモートソースから送信するに当たり同軸ケーブル、光ファイバケーブル、ツイストペア(撚り線対)、ディジタル加入者線(DSL)又は無線テクノロジ例えば赤外線、無線周波数若しくはマイクロ波が用いられるのであれば、それら同軸ケーブル、光ファイバケーブル、ツイストペア、DSL又は無線テクノロジ例えば赤外線、無線周波数若しくはマイクロ波は媒体の定義に収まる。本願にて用いられるところのディスクには、コンパクトディスク(CD)、レーザディスク、XRF(商標)ディスク、ディジタルバーサタイルディスク(DVD(登録商標))、フロッピー(登録商標)ディスク及びブルーレイ(登録商標)ディスクを初め、通常はデータが磁気的に再生されるディスク(disk)及びレーザで以てデータが光学的に再生されるディスク(disc)が包含される。上掲のものの組合せもまたコンピュータ可読媒体の範囲内に包含されるべきである。
ある特定の諸実施形態を教示目的で上述したが、本特許出願の教示は一般的な適用可能性を有するものであり、上述の具体的諸実施形態に限定されるものではない。従って、上述の諸実施形態の様々な特徴については、特許請求の範囲中で説明されている発明の技術的範囲から離隔することなく、様々な修正、適合化及び組合せを実施することができる。

Claims (28)

  1. X線ビームを生成するよう構成されたX線照明サブシステムと、
    そのX線ビームを基準として試料を位置決めするよう、ひいては試料表面上の任意個所にてそのX線ビームを試料表面に入射させるよう、構成された試料位置決めシステムと、
    その試料位置決めシステム上に配置された多層校正ターゲットであり、X線透過素材及びX線吸収素材の反復層が備わる第1多層構造を有し、それら反復層が前記入射X線ビームに対しほぼ平行に姿勢決めされるようX線ビーム経路上に配置された多層校正ターゲットと、
    入射X線ビームに応じその多層校正ターゲットにより回折されたX線光子を前記試料位置決めシステムのある位置範囲に亘り検出するよう構成されたX線検出器と、
    それら検出されたX線光子に基づき前記多層校正ターゲットを基準にしてX線ビーム入射個所を判別するよう構成された情報処理システムと、
    を備えるX線依拠計測システム。
  2. 請求項1に記載のX線依拠計測システムであって、前記位置範囲が入射角範囲を含み、前記情報処理システムが、更に、前記多層校正ターゲットを基準としたX線ビームの向きをそれら検出されたX線光子に基づき判別するよう構成されたX線依拠計測システム。
  3. 請求項1に記載のX線依拠計測システムであって、前記試料位置決めシステムが、ある回動軸周りでX線ビームを基準に試料を回動させるよう、ひいては複数通りの入射角で以て任意個所にてそのX線ビームを試料表面に入射させるよう構成されており、前記情報処理システムが、更に、検出されたX線光子に基づきそのX線ビームを基準に、前記回動軸の整列状態が、前記試料への前記X線ビームの入射点において前記回動軸が前記X線ビームと交差していない誤整列状態であることを判別するよう構成されたX線依拠計測システム。
  4. 請求項1に記載のX線依拠計測システムであって、前記情報処理システムが、更に、
    二通りの相異なる次数に回折され検出されたX線光子間の前記検出器での空間分離量に基づき前記多層ターゲット・検出器間の距離を推定するよう、構成されたX線依拠計測システム。
  5. 請求項1に記載のX線依拠計測システムであって、前記多層校正ターゲットが、更に、
    X線透過素材及びX線吸収素材の反復層が備わる第2多層構造を備え、その第2多層構造が対入射X線ビーム整列方向に沿い第1多層構造に隣接配置されており、その第2多層構造の反復層が入射X線ビームに対しほぼ平行に姿勢決めされ、第1多層構造の反復層が入射X線ビーム軸を基準とし第2多層構造の反復層とは異なる角度に姿勢決めされたX線依拠計測システム。
  6. 請求項1に記載のX線依拠計測システムであって、前記多層校正ターゲットが、更に、
    X線透過素材及びX線吸収素材の反復層が備わる第2多層構造を備え、その第2多層構造が対入射X線ビーム軸直交方向に沿い第1多層構造に隣接配置されたX線依拠計測システム。
  7. 請求項6に記載のX線依拠計測システムであって、第2多層構造の反復層が入射X線ビームに対しほぼ平行に姿勢決めされ、第1多層構造の反復層が入射X線ビーム長手軸に対し垂直な軸を基準とし第2多層構造の反復層とは異なる角度に姿勢決めされたX線依拠計測システム。
  8. 請求項6に記載のX線依拠計測システムであって、第2多層構造の反復層が入射X線ビームに対しほぼ平行に姿勢決めされ、第1多層構造が対入射X線ビーム直交方向に沿いある間隙距離で以て第2多層構造から空間分離されたX線依拠計測システム。
  9. 請求項8に記載のX線依拠計測システムであって、前記情報処理システムが、検出されたX線光子に基づき第1・第2多層構造間間隙外光子汚染量を計測するよう構成されたX線依拠計測システム。
  10. 請求項8に記載のX線依拠計測システムであって、前記情報処理システムが、検出されたX線光子に基づき第1・第2多層構造間間隙内通過光子束量を計測するよう構成されたX線依拠計測システム。
  11. 請求項1に記載のX線依拠計測システムであって、前記多層校正ターゲットが、更に、
    前記多層校正ターゲットの表面上に配置された1個又は複数個の光学基準マークを備えるX線依拠計測システム。
  12. 請求項11に記載のX線依拠計測システムであって、更に、
    前記1個又は複数個の基準マークのうち少なくとも一部分の画像を生成するアライメントカメラを備え、前記情報処理システムが、更に、その画像に基づき前記試料位置決めシステムの座標系に従い当該1個又は複数個の基準マークを所在特定し、当該1個又は複数個の基準マークの所在個所と、当該1個又は複数個の基準マークと前記多層校正ターゲットとの間の既知な距離とに基づき、その試料位置決めシステムの座標系に従いX線ビーム入射個所を推定するよう、構成されたX線依拠計測システム。
  13. 請求項1に記載のX線依拠計測システムであって、対入射X線ビーム整列方向における第1多層構造の深さが少なくとも3μmであるX線依拠計測システム。
  14. X線照明サブシステムによりX線照明ビームを生成し、
    試料位置決めシステムにより前記X線ビームの経路上に、X線透過素材及びX線吸収素材の反復層が備わる第1多層構造を有する多層校正ターゲットを位置決めすることで、それら反復層がその入射X線ビームに対しほぼ平行に姿勢決めされるようその多層校正ターゲットをそのX線ビームの経路上に配置し、
    入射X線ビームに応じ前記多層校正ターゲットにより回折されたX線光子を、前記試料位置決めシステムのある位置範囲に亘り検出し、且つ
    それら検出されたX線光子に基づきその多層校正ターゲットを基準としてX線ビーム入射個所を判別する、
    方法。
  15. 請求項14に記載の方法であって、更に、
    二通りの相異なる次数に回折され検出されたX線光子間の検出器での空間分離量に基づき、前記多層ターゲット・検出器間の距離を推定する方法。
  16. 請求項14に記載の方法であり、前記多層校正ターゲットが、X線透過素材及びX線吸収素材の反復層が備わる第2多層構造をも有する方法であって、その第2多層構造を対入射X線ビーム整列方向に沿い第1多層構造に隣接配置し、その第2多層構造の反復層を入射X線ビームに対しほぼ平行に姿勢決めし、第1多層構造の反復層を入射X線ビーム長手軸に対し垂直な軸を基準とし第2多層構造の反復層とは異なる角度に姿勢決めする方法。
  17. 請求項14に記載の方法であり、前記多層校正ターゲットが、X線透過素材及びX線吸収素材の反復層が備わる第2多層構造をも有する方法であって、その第2多層構造を対入射X線ビーム軸直交方向に沿い第1多層構造に隣接配置する方法。
  18. 請求項17に記載の方法であって、第2多層構造の反復層を入射X線ビームに対しほぼ平行に姿勢決めし、第1多層構造の反復層を入射X線ビーム軸を基準とし第2多層構造の反復層とは異なる角度に姿勢決めする方法。
  19. 請求項17に記載の方法であって、第2多層構造の反復層を入射X線ビームに対しほぼ平行に姿勢決めし、対入射X線ビーム直交方向に沿いある間隙距離で以て第1多層構造を第2多層構造から空間分離する方法。
  20. 請求項19に記載の方法であって、更に、
    検出されたX線光子に基づき第1・第2多層構造間間隙外光子汚染量を計測する方法。
  21. 請求項19に記載の方法であって、更に、
    検出されたX線光子に基づき第1・第2多層構造間間隙内通過光子束量を計測する方法。
  22. 多層校正ターゲットであって、
    X線透過及びX線吸収素材の交番反復層が備わりそれら反復層がほぼ同じ厚みを有する第1多層構造を備え、X線依拠計測システムの試料位置決めシステムに実装される多層校正ターゲットであり、それら反復層が入射X線ビームに対しほぼ平行に姿勢決めされるようそのX線ビームの経路上にその多層構造が配置され、前記多層校正ターゲットを基準としたX線ビーム入射個所が、その入射X線ビームに応じ前記多層校正ターゲットにより回折され検出されたX線光子に基づき判別される多層校正ターゲット。
  23. 請求項22に記載の多層校正ターゲットであって、対入射X線ビーム整列方向における第1多層構造の深さが少なくとも3μmである多層校正ターゲット。
  24. 請求項22に記載の多層校正ターゲットであって、更に、
    前記多層校正ターゲットの表面上に配置された1個又は複数個の光学基準マークを備える多層校正ターゲット。
  25. 請求項22に記載の多層校正ターゲットであって、更に、
    X線透過素材及びX線吸収素材の反復層が備わる第2多層構造を備え、対入射X線ビーム整列方向に沿い第2多層構造が第1多層構造に隣接配置されており、第2多層構造の反復層が入射X線ビームに対しほぼ平行に姿勢決めされ、第1多層構造の反復層が入射X線ビーム長手軸に対し垂直な軸を基準とし第2多層構造の反復層とは異なる角度に姿勢決めされる多層校正ターゲット。
  26. 請求項22に記載の多層校正ターゲットであって、更に、
    X線透過素材及びX線吸収素材の反復層が備わる第2多層構造を備え、対入射X線ビーム軸直交方向に沿い第2多層構造が第1多層構造に隣接配置された多層校正ターゲット。
  27. 請求項26に記載の多層校正ターゲットであって、第2多層構造の反復層が入射X線ビームに対しほぼ平行に姿勢決めされ、第1多層構造の反復層が入射X線ビーム長手軸に対し垂直な軸を基準とし第2多層構造の反復層とは異なる角度に姿勢決めされる多層校正ターゲット。
  28. 請求項26に記載の多層校正ターゲットであって、第2多層構造の反復層が入射X線ビームに対しほぼ平行に姿勢決めされ、入射X線ビーム長手軸に対し垂直な方向に沿いある間隙距離で以て第1多層構造が第2多層構造から空間分離された多層校正ターゲット。
JP2020551986A 2018-03-28 2019-03-27 X線依拠計測システムの校正及びアライメント用多層ターゲット Active JP7133030B2 (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201862649131P 2018-03-28 2018-03-28
US62/649,131 2018-03-28
US16/364,163 US10816486B2 (en) 2018-03-28 2019-03-25 Multilayer targets for calibration and alignment of X-ray based measurement systems
US16/364,163 2019-03-25
PCT/US2019/024437 WO2019191335A1 (en) 2018-03-28 2019-03-27 Multilayer targets for calibration and alignment of x-ray based measurement systems

Publications (3)

Publication Number Publication Date
JP2021519428A JP2021519428A (ja) 2021-08-10
JPWO2019191335A5 JPWO2019191335A5 (ja) 2022-04-04
JP7133030B2 true JP7133030B2 (ja) 2022-09-07

Family

ID=68055946

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2020551986A Active JP7133030B2 (ja) 2018-03-28 2019-03-27 X線依拠計測システムの校正及びアライメント用多層ターゲット

Country Status (6)

Country Link
US (1) US10816486B2 (ja)
JP (1) JP7133030B2 (ja)
KR (1) KR102416916B1 (ja)
CN (1) CN112105917B (ja)
TW (1) TWI803609B (ja)
WO (1) WO2019191335A1 (ja)

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11181489B2 (en) * 2018-07-31 2021-11-23 Lam Research Corporation Determining tilt angle in patterned arrays of high aspect-ratio structures by small-angle x-ray scattering
US11874240B2 (en) * 2018-10-04 2024-01-16 Decision Tree, Llc Systems and methods for interpreting high energy interactions
JP7168985B2 (ja) * 2019-04-22 2022-11-10 株式会社リガク 微細構造の解析方法、装置およびプログラム
US11367643B2 (en) * 2019-06-28 2022-06-21 Applied Materials, Inc. Method for substrate registration and anchoring in inkjet printing
FR3103897B1 (fr) * 2019-12-02 2022-04-01 Safran Dispositif et procédé de mesure des angles d’orientation d’un système d’imagerie x
US11761913B2 (en) * 2020-05-04 2023-09-19 Bruker Technologies Ltd. Transmission X-ray critical dimension (T-XCD) characterization of shift and tilt of stacks of high-aspect-ratio (HAR) structures
US11879854B2 (en) 2020-09-23 2024-01-23 Baker Hughes Oilfield Operations Llc Positioning of x-ray imaging system using an optical camera
JP7481238B2 (ja) 2020-11-24 2024-05-10 トヨタ自動車株式会社 層厚解析方法
US11573175B2 (en) * 2020-12-22 2023-02-07 Industrial Technology Research Institute Calibration assembly for scan device and calibration system
CN113030131B (zh) * 2021-03-01 2022-10-28 浙江双元科技股份有限公司 一种基于x射线成像的图像采集设备及方法
WO2023013036A1 (ja) * 2021-08-06 2023-02-09 川崎車両株式会社 構造物の製造方法、構造物製造用の識別子、構造物の製造システム及び機械加工プログラム
CN114202533A (zh) * 2021-12-14 2022-03-18 西安奕斯伟材料科技有限公司 检测单晶炉同轴度的方法、装置、设备及计算机存储介质

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002174515A (ja) 2000-12-08 2002-06-21 Orc Mfg Co Ltd X線光軸の傾補正機構
JP2002252471A (ja) 2001-02-26 2002-09-06 Matsushita Electric Works Ltd 多層積層板の基準マークのx線検出方法
US20100073690A1 (en) 2006-07-25 2010-03-25 Micron Technology, Inc. Parameter measurement using multi-layer structures
WO2010052840A1 (ja) 2008-11-05 2010-05-14 株式会社日立ハイテクノロジーズ 校正用標準部材およびその作製方法並びにそれを用いた走査電子顕微鏡
US20150300965A1 (en) 2014-04-21 2015-10-22 Kla-Tencor Corporation Scatterometry-Based Imaging and Critical Dimension Metrology

Family Cites Families (57)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4089740A (en) * 1976-01-30 1978-05-16 Conwed Corporation Apparatus for applying secondary layer on board surface
JPH01243518A (ja) * 1988-03-25 1989-09-28 Nippon Telegr & Teleph Corp <Ntt> X線露光装置及び該装置のx線源位置決め方法
US5241578A (en) * 1991-12-02 1993-08-31 Arch Development Corporation Optical grid alignment system for portable radiography and portable radiography apparatus incorporating same
US5608526A (en) 1995-01-19 1997-03-04 Tencor Instruments Focused beam spectroscopic ellipsometry method and system
US6023338A (en) 1996-07-12 2000-02-08 Bareket; Noah Overlay alignment measurement of wafers
US5859424A (en) 1997-04-08 1999-01-12 Kla-Tencor Corporation Apodizing filter system useful for reducing spot size in optical measurements and other applications
US6389100B1 (en) 1999-04-09 2002-05-14 Osmic, Inc. X-ray lens system
US6438210B1 (en) * 2000-03-28 2002-08-20 General Electric Company Anti-scatter grid, method, and apparatus for forming same
US6429943B1 (en) 2000-03-29 2002-08-06 Therma-Wave, Inc. Critical dimension analysis with simultaneous multiple angle of incidence measurements
US6787773B1 (en) 2000-06-07 2004-09-07 Kla-Tencor Corporation Film thickness measurement using electron-beam induced x-ray microanalysis
US7541201B2 (en) 2000-08-30 2009-06-02 Kla-Tencor Technologies Corporation Apparatus and methods for determining overlay of structures having rotational or mirror symmetry
US7068833B1 (en) 2000-08-30 2006-06-27 Kla-Tencor Corporation Overlay marks, methods of overlay mark design and methods of overlay measurements
US7317531B2 (en) 2002-12-05 2008-01-08 Kla-Tencor Technologies Corporation Apparatus and methods for detecting overlay errors using scatterometry
US20030002043A1 (en) 2001-04-10 2003-01-02 Kla-Tencor Corporation Periodic patterns and technique to control misalignment
US6716646B1 (en) 2001-07-16 2004-04-06 Advanced Micro Devices, Inc. Method and apparatus for performing overlay measurements using scatterometry
DE10147947C1 (de) * 2001-09-28 2003-04-24 Siemens Ag Verfahren zur Herstellung eines Streustrahlenrasters oder Kollimators
FR2830976B1 (fr) * 2001-10-17 2004-01-09 Ge Med Sys Global Tech Co Llc Grilles anti-diffusantes a faible attenuation et procede de fabrication de telles grilles
JP4938219B2 (ja) 2001-12-19 2012-05-23 ケーエルエー−テンカー コーポレイション 光学分光システムを使用するパラメトリック・プロフィーリング
US6778275B2 (en) 2002-02-20 2004-08-17 Micron Technology, Inc. Aberration mark and method for estimating overlay error and optical aberrations
US6992764B1 (en) 2002-09-30 2006-01-31 Nanometrics Incorporated Measuring an alignment target with a single polarization state
US7842933B2 (en) 2003-10-22 2010-11-30 Applied Materials Israel, Ltd. System and method for measuring overlay errors
US6937337B2 (en) 2003-11-19 2005-08-30 International Business Machines Corporation Overlay target and measurement method using reference and sub-grids
US7321426B1 (en) 2004-06-02 2008-01-22 Kla-Tencor Technologies Corporation Optical metrology on patterned samples
CN2758762Y (zh) * 2004-12-20 2006-02-15 赵久 自动化x射线定向仪
US7478019B2 (en) 2005-01-26 2009-01-13 Kla-Tencor Corporation Multiple tool and structure analysis
JP4585926B2 (ja) 2005-06-17 2010-11-24 株式会社日立ハイテクノロジーズ パターンレイヤーデータ生成装置、それを用いたパターンレイヤーデータ生成システム、半導体パターン表示装置、パターンレイヤーデータ生成方法、及びコンピュータプログラム
CA2623927A1 (en) 2005-10-04 2007-04-12 Thermo Niton Analyzers Llc Analysis of elemental composition and thickness in multilayered materials
US7567351B2 (en) 2006-02-02 2009-07-28 Kla-Tencor Corporation High resolution monitoring of CD variations
JP4887062B2 (ja) 2006-03-14 2012-02-29 株式会社日立ハイテクノロジーズ 試料寸法測定方法、及び試料寸法測定装置
WO2008013909A2 (en) 2006-07-27 2008-01-31 Rudolph Technologies, Inc. Multiple measurement techniques including focused beam scatterometry for characterization of samples
US7406153B2 (en) 2006-08-15 2008-07-29 Jordan Valley Semiconductors Ltd. Control of X-ray beam spot size
US7440546B2 (en) 2006-12-06 2008-10-21 Uchicago Argonne, Llc Method of making and structure of multilayer laue lens for focusing hard x-rays
US7873585B2 (en) 2007-08-31 2011-01-18 Kla-Tencor Technologies Corporation Apparatus and methods for predicting a semiconductor parameter across an area of a wafer
WO2010016211A1 (ja) * 2008-08-06 2010-02-11 株式会社日立ハイテクノロジーズ 校正用標準部材及びそれを用いた走査電子顕微鏡並びに走査電子顕微鏡の校正方法
US7929667B1 (en) 2008-10-02 2011-04-19 Kla-Tencor Corporation High brightness X-ray metrology
US8068662B2 (en) 2009-03-30 2011-11-29 Hermes Microvision, Inc. Method and system for determining a defect during charged particle beam inspection of a sample
JP5764380B2 (ja) 2010-04-29 2015-08-19 エフ イー アイ カンパニFei Company Sem画像化法
US9046475B2 (en) 2011-05-19 2015-06-02 Applied Materials Israel, Ltd. High electron energy based overlay error measurement methods and systems
US10107621B2 (en) 2012-02-15 2018-10-23 Nanometrics Incorporated Image based overlay measurement with finite gratings
US10801975B2 (en) 2012-05-08 2020-10-13 Kla-Tencor Corporation Metrology tool with combined X-ray and optical scatterometers
US10013518B2 (en) 2012-07-10 2018-07-03 Kla-Tencor Corporation Model building and analysis engine for combined X-ray and optical metrology
WO2014062972A1 (en) 2012-10-18 2014-04-24 Kla-Tencor Corporation Symmetric target design in scatterometry overlay metrology
US9581430B2 (en) 2012-10-19 2017-02-28 Kla-Tencor Corporation Phase characterization of targets
US10769320B2 (en) 2012-12-18 2020-09-08 Kla-Tencor Corporation Integrated use of model-based metrology and a process model
US9291554B2 (en) 2013-02-05 2016-03-22 Kla-Tencor Corporation Method of electromagnetic modeling of finite structures and finite illumination for metrology and inspection
US10101670B2 (en) 2013-03-27 2018-10-16 Kla-Tencor Corporation Statistical model-based metrology
EP3013233B1 (en) * 2013-06-28 2017-11-15 Koninklijke Philips N.V. Correction in slit-scanning phase contrast imaging
US9846132B2 (en) 2013-10-21 2017-12-19 Kla-Tencor Corporation Small-angle scattering X-ray metrology systems and methods
US9885962B2 (en) 2013-10-28 2018-02-06 Kla-Tencor Corporation Methods and apparatus for measuring semiconductor device overlay using X-ray metrology
US10324050B2 (en) 2015-01-14 2019-06-18 Kla-Tencor Corporation Measurement system optimization for X-ray based metrology
AT14686U1 (de) * 2015-01-27 2016-04-15 Plansee Se Streustrahlenraster
KR102512180B1 (ko) 2015-04-28 2023-03-20 케이엘에이 코포레이션 계산 효율적인 x 선 기반의 오버레이 측정
US10352695B2 (en) 2015-12-11 2019-07-16 Kla-Tencor Corporation X-ray scatterometry metrology for high aspect ratio structures
CN109073902B (zh) 2016-04-22 2020-12-29 科磊股份有限公司 用于小光斑大小透射小角x射线散射术的光束整形狭缝
US10775323B2 (en) 2016-10-18 2020-09-15 Kla-Tencor Corporation Full beam metrology for X-ray scatterometry systems
US10481111B2 (en) 2016-10-21 2019-11-19 Kla-Tencor Corporation Calibration of a small angle X-ray scatterometry based metrology system
US11073487B2 (en) 2017-05-11 2021-07-27 Kla-Tencor Corporation Methods and systems for characterization of an x-ray beam with high spatial resolution

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002174515A (ja) 2000-12-08 2002-06-21 Orc Mfg Co Ltd X線光軸の傾補正機構
JP2002252471A (ja) 2001-02-26 2002-09-06 Matsushita Electric Works Ltd 多層積層板の基準マークのx線検出方法
US20100073690A1 (en) 2006-07-25 2010-03-25 Micron Technology, Inc. Parameter measurement using multi-layer structures
WO2010052840A1 (ja) 2008-11-05 2010-05-14 株式会社日立ハイテクノロジーズ 校正用標準部材およびその作製方法並びにそれを用いた走査電子顕微鏡
US20150300965A1 (en) 2014-04-21 2015-10-22 Kla-Tencor Corporation Scatterometry-Based Imaging and Critical Dimension Metrology

Also Published As

Publication number Publication date
KR102416916B1 (ko) 2022-07-05
TW201945690A (zh) 2019-12-01
KR20200127048A (ko) 2020-11-09
WO2019191335A1 (en) 2019-10-03
US20190302039A1 (en) 2019-10-03
TWI803609B (zh) 2023-06-01
CN112105917A (zh) 2020-12-18
CN112105917B (zh) 2022-09-16
US10816486B2 (en) 2020-10-27
JP2021519428A (ja) 2021-08-10

Similar Documents

Publication Publication Date Title
JP7133030B2 (ja) X線依拠計測システムの校正及びアライメント用多層ターゲット
JP7379620B2 (ja) 高空間分解能を有するx線ビームの特性評価のための方法及びシステム
KR102548653B1 (ko) 작은 각도 엑스선 스캐터로메트리 기반 계측 시스템의 캘리브레이션
KR102184603B1 (ko) 송신, 소형 각도 x선 스캐터로메트리의 작은 스폿 크기를 위한 빔 성형 슬릿
JP7376666B2 (ja) 透過型小角x線散乱計量システム
EP3548878B1 (en) X-ray zoom lens for small angle x-ray scatterometry

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20220325

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20220325

A871 Explanation of circumstances concerning accelerated examination

Free format text: JAPANESE INTERMEDIATE CODE: A871

Effective date: 20220325

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20220426

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20220721

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20220802

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20220826

R150 Certificate of patent or registration of utility model

Ref document number: 7133030

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150