KR102416916B1 - X-선 기반 측정 시스템의 교정 및 정렬을 위한 다층 타깃 - Google Patents

X-선 기반 측정 시스템의 교정 및 정렬을 위한 다층 타깃 Download PDF

Info

Publication number
KR102416916B1
KR102416916B1 KR1020207031171A KR20207031171A KR102416916B1 KR 102416916 B1 KR102416916 B1 KR 102416916B1 KR 1020207031171 A KR1020207031171 A KR 1020207031171A KR 20207031171 A KR20207031171 A KR 20207031171A KR 102416916 B1 KR102416916 B1 KR 102416916B1
Authority
KR
South Korea
Prior art keywords
ray
incident
layer
multilayer
ray beam
Prior art date
Application number
KR1020207031171A
Other languages
English (en)
Other versions
KR20200127048A (ko
Inventor
니콜라이 아르테미에프
안토니오 젤리노
알렉산더 바이카노프
알렉산더 쿠즈네초프
Original Assignee
케이엘에이 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 케이엘에이 코포레이션 filed Critical 케이엘에이 코포레이션
Publication of KR20200127048A publication Critical patent/KR20200127048A/ko
Application granted granted Critical
Publication of KR102416916B1 publication Critical patent/KR102416916B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N23/00Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00
    • G01N23/20Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by using diffraction of the radiation by the materials, e.g. for investigating crystal structure; by using scattering of the radiation by the materials, e.g. for investigating non-crystalline materials; by using reflection of the radiation by the materials
    • G01N23/20008Constructional details of analysers, e.g. characterised by X-ray source, detector or optical system; Accessories therefor; Preparing specimens therefor
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N23/00Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00
    • G01N23/20Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by using diffraction of the radiation by the materials, e.g. for investigating crystal structure; by using scattering of the radiation by the materials, e.g. for investigating non-crystalline materials; by using reflection of the radiation by the materials
    • G01N23/201Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by using diffraction of the radiation by the materials, e.g. for investigating crystal structure; by using scattering of the radiation by the materials, e.g. for investigating non-crystalline materials; by using reflection of the radiation by the materials by measuring small-angle scattering
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B15/00Measuring arrangements characterised by the use of electromagnetic waves or particle radiation, e.g. by the use of microwaves, X-rays, gamma rays or electrons
    • G01B15/02Measuring arrangements characterised by the use of electromagnetic waves or particle radiation, e.g. by the use of microwaves, X-rays, gamma rays or electrons for measuring thickness
    • G01B15/025Measuring arrangements characterised by the use of electromagnetic waves or particle radiation, e.g. by the use of microwaves, X-rays, gamma rays or electrons for measuring thickness by measuring absorption
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N23/00Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00
    • G01N23/20Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by using diffraction of the radiation by the materials, e.g. for investigating crystal structure; by using scattering of the radiation by the materials, e.g. for investigating non-crystalline materials; by using reflection of the radiation by the materials
    • G01N23/205Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by using diffraction of the radiation by the materials, e.g. for investigating crystal structure; by using scattering of the radiation by the materials, e.g. for investigating non-crystalline materials; by using reflection of the radiation by the materials using diffraction cameras
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N23/00Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00
    • G01N23/20Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by using diffraction of the radiation by the materials, e.g. for investigating crystal structure; by using scattering of the radiation by the materials, e.g. for investigating non-crystalline materials; by using reflection of the radiation by the materials
    • G01N23/207Diffractometry using detectors, e.g. using a probe in a central position and one or more displaceable detectors in circumferential positions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67259Position monitoring, e.g. misposition detection or presence detection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67282Marking devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • H01L21/681Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment using optical controlling means
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N2223/00Investigating materials by wave or particle radiation
    • G01N2223/10Different kinds of radiation or particles
    • G01N2223/1003Different kinds of radiation or particles monochromatic
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N2223/00Investigating materials by wave or particle radiation
    • G01N2223/30Accessories, mechanical or electrical features
    • G01N2223/303Accessories, mechanical or electrical features calibrating, standardising
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N2223/00Investigating materials by wave or particle radiation
    • G01N2223/60Specific applications or type of materials
    • G01N2223/611Specific applications or type of materials patterned objects; electronic devices
    • G01N2223/6116Specific applications or type of materials patterned objects; electronic devices semiconductor wafer

Landscapes

  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Pathology (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Biochemistry (AREA)
  • General Health & Medical Sciences (AREA)
  • Health & Medical Sciences (AREA)
  • Immunology (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Engineering & Computer Science (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Electromagnetism (AREA)
  • Analysing Materials By The Use Of Radiation (AREA)
  • Length-Measuring Devices Using Wave Or Particle Radiation (AREA)
  • Measurement Of Radiation (AREA)

Abstract

X-선 기반 측정 시스템의 빠르고 정확한 절대 교정 및 정렬을 가능하게 하는 다층 타깃이 본 명세서에서 설명된다. 다층 교정 타깃은 매우 높은 회절 효율을 가지며, 빠르고 저렴한 생산 기술을 사용하여 제조된다. 각각의 타깃은 X-선 투과성 물질과 X-선 흡수성 물질의 쌍으로 구성된 다층 구조물을 포함한다. 다층 타깃 구조물의 층은 입사 X-선 빔에 평행하게 배향된다. 측정된 회절 패턴은 입사 X-선 빔과 다층 타깃 사이의 위치 및 배향의 오정렬을 나타낸다. 다른 양태에서, 복합 다층 타깃은 입사 X-선 빔과 정렬된 방향을 따라 서로 인접하게, 입사 X-선 빔에 수직인 방향을 따라 서로 인접하게, 또는 이들의 조합으로 배열된 적어도 2개의 다층 구조물을 포함한다. 일부 실시예에서, 다층 구조물은 갭 거리만큼 서로 공간적으로 분리된다.

Description

X-선 기반 측정 시스템의 교정 및 정렬을 위한 다층 타깃
[관련 출원의 상호 참조]
본 특허 출원은 2018년 3월 28일자로 출원된 미국 가특허 출원 제62/649,131호로부터 35 U.S.C. §119에 따른 우선권을 주장하며, 이 미국 가특허 출원의 주제는 참조에 의해 그 전체가 본 명세서에 포함된다.
[기술분야]
설명된 실시예는 X-선 계측 시스템 및 방법에 관한 것이며, 더 상세하게는 개선된 측정 정확도를 위한 방법 및 시스템에 관한 것이다.
로직 및 메모리 디바이스와 같은 반도체 디바이스는 전형적으로 시편에 적용되는 프로세싱 단계의 시퀀스에 의해 제조된다. 반도체 디바이스의 다양한 피처 및 다수의 구조적 레벨은 이러한 프로세싱 단계에 의해 형성된다. 예를 들어, 그 중에서도, 리소그래피는 반도체 웨이퍼 상에 패턴을 생성하는 것을 수반하는 하나의 반도체 제조 프로세스이다. 반도체 제조 프로세스의 추가 예는 화학적 기계적 폴리싱, 에칭, 퇴적, 및 이온 주입을 포함하지만, 이에 제한되지 않는다. 다수의 반도체 디바이스가 단일 반도체 웨이퍼 상에 제조될 수 있고 이어서 개별 반도체 디바이스로 분리될 수 있다.
더 높은 수율을 도모하기 위해 반도체 제조 프로세스 동안 다양한 단계에서 웨이퍼 상의 결함을 검출하기 위해 계측 프로세스가 사용된다. 산란측정법(scatterometry) 및 반사측정법(reflectometry) 구현 및 관련 분석 알고리즘을 포함한 다수의 계측 기반 기술은 통상적으로 나노스케일 구조물의 임계 치수, 막 두께, 조성 및 다른 파라미터를 특성 묘사하는 데 사용된다.
전통적으로, 산란측정법 임계 치수 측정은 박막 및/또는 반복된 주기적인 구조물로 구성된 타깃에 대해 수행된다. 디바이스 제조 동안, 이러한 막 및 주기적인 구조물은 전형적으로 실제 디바이스 기하학적 형태 및 물질 구조 또는 중간 설계를 나타낸다. 디바이스(예를 들면, 로직 및 메모리 디바이스)가 더 작은 나노미터-스케일 치수를 향해 감에 따라, 특성 묘사가 더 어려워진다. 복잡한 3차원 기하학적 형태 및 다양한 물리적 속성을 가진 물질을 포함하는 디바이스가 특성 묘사 어려움의 원인이 된다. 예를 들어, 현대의 메모리 구조물은, 종종, 광학 방사선이 하부 층까지 침투하는 것을 어렵게 만드는 고 애스팩트비의 3차원 구조물이다. 적외선 내지 가시 광을 이용하는 광학 계측 툴은 반투명 물질의 많은 층을 통과할 수 있지만, 그러나 양호한 침투 깊이를 제공하는 더 긴 파장은 작은 이상(anomaly)에 대해 충분한 민감도를 제공하지 못한다. 추가적으로, 복잡한 구조물(예를 들면, FinFET)을 특성 묘사하는 데 요구되는 파라미터의 증가하는 수는 증가하는 파라미터 상관성으로 이어진다. 결과적으로, 타깃을 특성 묘사하는 파라미터가, 종종, 이용 가능한 측정에 의해 신뢰성 있게 분리(decouple)될 수 없다.
일 예에서, 스택에서의 교호(alternating) 물질 중 하나로서 폴리실리콘을 이용하는 3D FLASH 디바이스에 대한 침투 이슈를 극복하기 위해 더 긴 파장(예를 들면, 근적외선)이 이용되었다. 그렇지만, 3D FLASH의 미러형 구조물은 본질적으로 조명이 막 스택 안으로 더 깊숙이 전파함에 따라 광 강도의 감소를 야기한다. 이것은 깊은 곳에서의 민감도 손실 및 상관성 이슈를 야기한다. 이러한 시나리오에서, SCD는 높은 민감도 및 낮은 상관성을 갖는 축소된 계측 치수 세트만을 성공적으로 추출할 수 있다.
다른 예에서, 불투명한 하이-k 물질이 현대의 반도체 구조물에서 점점 더 많이 이용되고 있다. 광학 방사선은 종종 이러한 물질로 구성되는 층을 통과할 수 없다. 결과적으로, 타원편광 해석기(ellipsometer) 또는 반사계(reflectometer)와 같은 박막 산란측정법 툴을 사용한 측정은 점점 더 어려워지고 있다.
이러한 도전 과제에 응답하여, 더 복잡한 광학 계측 툴이 개발되었다. 예를 들어, 다수의 조명 각도, 더 짧은 조명 파장, 더 넓은 범위의 조명 파장, 및 반사된 신호로부터의 더 많은 완전한 정보 취득을 갖춘 (예를 들면, 더 전통적인 반사율 또는 타원편광 해석 신호(ellipsometric signal) 외에 다수의 뮬러(Mueller) 매트릭스 요소를 측정하는) 툴이 개발되었다. 그렇지만, 이러한 접근법은 많은 고급 타깃(예를 들면, 복잡한 3D 구조물, 10 nm보다 작은 구조물, 불투명한 물질을 이용하는 구조물) 및 측정 애플리케이션(예를 들면, 라인 에지 조도(line edge roughness) 및 라인 폭 조도(line width roughness) 측정)과 연관된 근본적인 도전과제를 신뢰성 있게 극복하지 못하였다.
원자력 현미경(atomic force microscopes; AFM)과 스캐닝 터널링 현미경(scanning-tunneling microscopes; STM)은 원자 분해능(atomic resolution)을 달성할 수 있지만, 이들은 시편의 표면만을 프로빙(probe)할 수 있다. 추가적으로, AFM 현미경 및 STM 현미경은 긴 스캐닝 시간을 요구한다. 스캐닝 전자 현미경(scanning electron microscope; SEM)은 중간 분해능 레벨을 달성하지만, 충분한 깊이까지 구조물을 침투할 수 없다. 따라서, 고 애스팩트비의 홀은 잘 특성 묘사되지 않는다. 추가적으로, 시편의 요구된 대전(charging)은 이미징 성능에 악영향을 미친다. X-선 반사계는 또한 고 애스펙트비의 구조물을 측정할 때 그의 효율성을 제한하는 침투 이슈로 인해 어려움을 겪는다.
침투 깊이 이슈를 극복하기 위해, TEM, SEM 등과 같은 전통적인 이미징 기술은 집속 이온 빔(focused ion beam; FIB) 머시닝, 이온 밀링, 블랭킷 또는 선택적 에칭 등과 같은 파괴적인 샘플 준비(destructive sample preparation) 기술과 함께 이용된다. 예를 들어, 투과 전자 현미경(transmission electron microscope; TEM)은 높은 분해능 레벨을 달성하고 임의의 깊이를 프로빙할 수 있지만, TEM은 시편의 파괴적인 절단(destructive sectioning)을 요구한다. 물질 제거 및 측정의 여러 번의 반복은 일반적으로 3차원 구조물 전반에 걸쳐 중요한 계측 파라미터를 측정하는 데 요구되는 정보를 제공한다. 그러나, 이러한 기술은 샘플 파괴 및 긴 프로세스 시간을 요구한다. 이러한 유형의 측정을 완료하기 위한 시간 및 복잡성은 에칭 및 계측 단계의 드리프트로 인해 큰 부정확성을 유입시킨다. 추가적으로, 이러한 기술은 레지스트레이션 에러(registration error)를 유입시키는 수많은 반복을 요구한다.
하드 X-선 에너지 레벨(hard X-ray energy level)(>15keV)의 광자를 이용하는 T-SAXS(Transmission, Small-Angle X-Ray Scatterometry) 시스템은 어려운 측정 애플리케이션을 해결할 가능성을 보여주었다. 임계 치수의 측정에 대한 SAXS 기술(CD-SAXS) 및 오버레이의 측정에 대한 SAXS 기술(OVL-SAXS)의 적용의 다양한 양태가 1) 발명의 명칭이 "High-brightness X-ray metrology"인, Zhuang 및 Fielden의 미국 특허 제7,929,667호, 2) 발명의 명칭이 "Model Building And Analysis Engine For Combined X-ray And Optical Metrology"인, Bakeman, Shchegrov, Zhao, 및 Tan의 미국 특허 공보 제2014/0019097호, 3) 발명의 명칭이 "Methods and Apparatus For Measuring Semiconductor Device Overlay Using X-ray Metrology"인, Veldman, Bakeman, Shchegrov, 및 Mieher의 미국 특허 공보 제2015/0117610호, 4) 발명의 명칭이 "Measurement System Optimization For X-ray Based Metrology"인, Hench, Shchegrov, 및 Bakeman의 미국 특허 공보 제2016/0202193호, 5) 발명의 명칭이 "X-ray Metrology For High Aspect Ratio Structures"인, Dziura, Gellineau, 및 Shchegrov의 미국 특허 공보 제2017/0167862호, 및 6) 발명의 명칭이 "Full Beam Metrology for X-ray Scatterometry Systems"인, Gellineau, Dziura, Hench, Veldman, 및 Zalubovsky의 미국 특허 공보 제2018/0106735호에 설명되어 있다. 앞서 언급된 특허 문서는 미국 캘리포니아주 밀피타스 소재의 KLA-Tencor Corporation에 양도되었다.
SAXS는 물질의 특성 묘사 및 다른 비-반도체 관련 애플리케이션에 또한 적용되었다. 예시적인 시스템이, Xenocs SAS(www.xenocs.com), Bruker Corporation(www.bruker.com), 및 Rigaku Corporation(www.rigaku.com/en)을 포함하여, 여러 회사에 의해 상용화되었다.
반도체 구조물의 CD-SAXS 계측에 대한 연구는 과학 문헌에도 설명되어 있다. 대부분의 연구 그룹은 엄청난 크기, 비용 등으로 인해 반도체 제조 시설에 사용하기에는 적합하지 않은 고휘도 X-선 싱크로트론 소스(high-brightness X-ray synchrotron source)를 이용해 왔다. 그러한 시스템의 일 예는 [" Intercomparison between optical and x-ray scatterometry measurements of FinFET structures" by Lemaillet, Germer, Kline et al . , Proc. SPIE, v.8681, p. 86810Q(2013)]이라는 제하의 눈문에 설명되어 있다. 보다 최근에, NIST(National Institute of Standards and Technology)에 있는 한 그룹이 미국 특허 제7,929,667호에 설명된 것과 유사한 콤팩트하고 밝은 X-선 소스를 이용하여 연구를 개시하였다. 이 연구는 ["X-ray scattering critical dimensional metrology using a compact X-ray source for next generation semiconductor devices," J. Micro/Nanolith. MEMS MOEMS 16(1), 014001 (Jan-Mar 2017)]이라는 제하의 논문에 설명되어 있다.
효과적인 측정을 보장하기 위해 계측 시스템에서 X-선 빔과 타깃의 상호작용이 교정 및 정렬되어야 한다. 예시적인 특성 묘사는 X-선 빔의 피크 강도를 타깃에 정확하게 위치시키는 것 및 특정 비율의 빔 플럭스만이 경계 외부에 놓이도록 X-선 빔의 경계를 식별하는 것을 포함한다. 예시적인 정렬은 X-선 빔과 광학 비전 시스템의 정렬, X-선 빔과 툴의 특정 기계적 특징부(예를 들면, 웨이퍼 회전 축 등)의 정렬 등을 포함한다.
일반적으로, 광학 현미경에 의한 웨이퍼 전체에 배치된 정렬 마커의 광학적 측정에 기초하여 X-선 빔의 경로에서 웨이퍼가 탐색된다. 특정 타깃이 X-선 빔에 대해 정확하게 탐색되도록 보장하기 위해, 마커를 측정하는 데 이용되는 광학 현미경의 좌표로 빔 프로파일이 측정될 필요가 있다.
SAXS 툴의 교정 및 정렬을 위한 현재 기술은 매우 긴 측정 시간으로 인해 어려움을 겪고 그의 정확도는 준비된 타깃의 정확도에 크게 의존된다.
나이프 에지에 기초한 CD-SAXS 툴의 교정 및 정렬 방법은 미국 특허 공보 제2018/0113084호에 설명되어 있으며, 이 미국 특허 공보의 내용은 참조에 의해 그 전체가 본 명세서에 포함되는다. 나이프 에지에 기초한 교정 및 정렬은 샘플 스테이지와 프로브 빔의 본질적으로 간접적인 정렬 방법이다. 요구된 측정 반복 횟수가 과도해지면 정렬 시간이 길어질 수 있다. 추가적으로, 정확도는 나이프 에지의 반투명성에 의해 제한되며 또한 나이프 에지의 제조 정확도에 크게 의존한다.
일부 예에서, 광학 현미경은 나이프 에지와 정렬되고 나이프 에지는 X-선 빔과 정렬된다. 전통적인 나이프 에지를 사용한 X-선 빔의 특성 묘사는 나이프 에지의 가장자리 근방에 있는 X-선 방사선에 의해 조명되는 나이프 물질의 반투명성으로 인해 복잡하다. 예를 들어, 텅스텐은 20keV의 에너지 레벨을 갖는 광자에 의해 조명될 때 약 8.4 마이크로미터의 빔 감쇠 길이(beam attenuation length)를 갖는다. 이 길이에서, 투과는 ~1/e (e = 2.718)배만큼 저하된다(drop). 30도의 각도로 성형되는 나이프 에지의 경우, 8.4 마이크로미터의 높이에 대응하는 웨지(wedge)의 길이는 대략 14.5 마이크로미터이다. X-선 빔 스캔 동안 나이프 에지 위치의 불확실성의 이러한 간단한 추정치는, 요구된 정렬 정확도가 수 마이크로미터 미만(예를 들면, 10 마이크로미터 미만)일 때, 나이프 에지의 반투명성이 제한적이라는 것을 나타낸다.
일부 다른 예에서, X-선 빔 프로파일은 X-선 빔에 대해 어떤 지점(예를 들면, 집속 광학장치의 초점 스폿(focal spot))에 위치된 고분해능 X-선 카메라에 의해 특성 묘사된다. 이러한 예에서, 빔 프로파일은 고분해능 X-선 카메라로 측정되고, 빔의 측정된 좌표는 X-선 빔의 경로에서 웨이퍼를 탐색하는 데 이용되는 광학 현미경에게 전달된다. 불행히도, 측정된 좌표를 X-선 카메라로부터 광학 현미경에게 전송하는 것과 연관된 에러는 상당하며 탐색의 요구된 정확도를 초과한다.
게다가, X-선 카메라 또는 나이프 에지에 의한 X-선 빔의 특성 묘사는 본질적으로 간접적이며, 타깃에 입사하는 광자 플럭스는 물론 이웃하는 영역의 광자 오염에 대한 정량적 데이터를 제공하지 않는다.
회절 타깃에 기초한 CD-SAXS 툴의 교정 및 정렬 방법은 미국 특허 공보 제2018/0328868호에 설명되어 있으며, 이 미국 특허 공보의 내용은 참조에 의해 그 전체가 본 명세서에 포함되는다. 전통적인 반도체 제조 기술에 의해 제조된 회절 타깃은 낮은 콘트라스트로 인해 어려움을 겪는다. 추가적으로, 제조 리드 타임(fabrication lead time)은 보통 매우 길고 비용이 많이 든다. 많은 타깃을 포함하는 웨이퍼는 매우 비싸고, 타깃 설계 또는 타깃 파라미터 값의 임의의 변화는 다른 비용이 많이 들고 긴 리드 타임의 구매를 요구한다.
다층 구조물이 집속 X-선 광학 요소로서 이용되어 왔다. 하드 X-선 집속을 위한 다층 구조물의 제조 및 사용 방법은 미국 특허 공보 제2008/0137810호, 미국 특허 제6,389,100호, 독일 특허 제DE102013005845호 및 [T. Grap, F. Riederer, C. Gupta and J. Knoch, "Buried multi-gate InAs-nanowire FETs," 2017 47th European Solid-State Device Research Conference (ESSDERC), Leuven, 2017, pp. 82-85]에 설명되어 있으며, 이들 참고 문헌 각각의 내용은 참조에 의해 그 전체가 본 명세서에 포함된다.
독립형 다층 타깃이 또한 ["Binary pseudo-random patterned structures for modulation transfer function calibration and resolution characterization of a full-field transmission soft X-ray microscope," by V. V. Yashchuk et al., Review of Scientific Instruments 86, 123702 (2015)]이라는 제하의 논문에 설명된 바와 같이 소프트 X-선 현미경의 변조 전달 함수의 측정에 이용되어 왔으며, 이 논문의 내용은 참조에 의해 그 전체가 본 명세서에 포함된다.
산란 샘플 및 베헤산은(silver behenate) 분말 회절 샘플을 이용하는 SAXS 툴의 교정 방법은 ["X-ray Powder Diffraction analysis of Silver Behenate, a Possible Low-angle Diffraction Standard," by T.C. Huang et al., J. Appl. Cryst. 26, 180-184 (1993)] 및 ["The Absolute Calibration of a Small-Angle Scattering Instrument with a Laboratory X-ray Source," by Lixin Fan et al., Journal of Physics: Conference Series 247 (2010) 012005]에 설명되어 있으며, 이들 참조 문헌 각각의 내용은 그 전체가 여기에 참고로 포함된다.
불행히도, 베헤산은 타깃은 매우 긴 노출 시간을 필요로 하며 샘플 대 검출기 거리 측정을 수행하는 데만 사용될 수 있다. 노출 시간을 감소시키기 위해, 더 두꺼운 샘플이 사용되어야만 하며, 이는 거리 측정의 불확실성을 증가시킨다. 유리질 탄소 및 다른 확산 산란 타깃도 매우 긴 노출 시간을 필요로 한다. 게다가, 측정 결과는 샘플 준비 정확도(예를 들면, 샘플의 기하학적 치수) 및 샘플 순도에 크게 의존한다.
미래의 계측 애플리케이션은 점점 더 작은 분해능 요구사항, 다중 파라미터 상관성, 고 애스펙트비의 구조물을 포함한 점점 더 복잡한 기하학적 구조, 및 불투명한 물질의 사용의 증가로 인해 계측에 대한 도전 과제를 제기한다. 기존의 X-선 툴 정렬 및 타깃 탐색 방법은 대략 10 내지 20 마이크로미터의 정확도로 제한된다. 이러한 방법은 반도체 계측 애플리케이션의 경우 충분한 정확도로 X-선 빔으로 작은 크기(~50 마이크로미터)의 계측 타깃을 위치결정하고 측정할 수 없다. 따라서, X-선 기반 계측 시스템에서의 X-선 빔의 개선된 정렬 및 교정 방법 및 시스템은 고급 제조 노드의 배치 요구사항을 충족시키도록 요망된다.
X-선 기반 측정 시스템의 빠르고 정확한 절대 교정 및 정렬을 가능하게 하는 다층 타깃이 본 명세서에서 설명된다. 다층 교정 타깃은 매우 높은 회절 효율을 가지며, 빠르고 저렴한 생산 기술을 사용하여 제조된다.
각각의 타깃은 X-선 투과성 물질과 X-선 흡수성 물질의 쌍으로 구성된 다층 구조물을 포함한다. 두꺼운 다층 타깃 구조물은 물질 층이 입사 X-선 빔에 평행하게 배향되도록 투과 배열(즉, Laue 기하학)로 설정된다. X-선은 타깃의 주기적인 구조에서 회절한다. 각각의 층에 수직인 방향에서의 다층 구조물의 총 두께(즉, 층 쌍의 수와 다층 주기를 곱한 것)는 타깃의 높이를 정의한다. 타깃의 폭은 다층 구조물이 제조되는 기판의 길이에 이르기까지의 임의의 크기(예를 들어, 수 센티미터)를 가질 수 있다.
본 명세서에서 기술된 바와 같은 다층 타깃의 정렬 및 교정 성능은 다층 타깃 기하학적 파라미터(즉, 높이, 폭, 깊이)의 정확도에 의존하지 않는다. 게다가, 본 명세서에서 설명된 바와 같은 다층 타깃의 정렬 및 교정 성능은 기판에 처음으로 도포된 다층 코팅으로부터의 타깃 추출을 위해 사용되는 방법의 정확도에 의존하지 않는다. 타깃의 주변에서의 가능한 잔해물(debris) 및 거칠기는 정렬 및 교정 정확도를 저하시키지 않는다.
일부 실시예에서, 다층 타깃은 T-SAXS(transmission, small angle X-ray scatterometry) 계측 시스템을 정렬 및 교정하는 데 이용된다. 반도체 제조 환경에서의 실제 T-SAXS 측정은 작은 빔 스폿 크기(예를 들면, 유효 조명 스폿의 직경(across)이 50 마이크로미터 미만임)로 시편(예를 들면, 반도체 웨이퍼)의 표면에 대한 큰 입사각 및 방위각 범위에 걸친 측정을 요구한다. 작은 측정 박스 크기를 달성하기 위해 웨이퍼의 정확한 위치결정 및 빔 크기와 형상의 특성 묘사가 요구된다. 추가적으로, 전체 입사각 및 방위각 범위에 걸쳐 반도체 웨이퍼의 표면 상의 원하는 타깃 영역 상에 프로브 빔을 정확하게 위치시키는 교정이 본 명세서에 제시된다. 이것은 작은 박스 크기의 계측 타깃(예를 들면, 50 마이크로미터 이하의 치수를 갖는 스크라이브 라인(scribe line)에 위치된 계측 타깃)을 측정하는 데 요구되는 웨이퍼의 정밀한 탐색을 가능하게 한다.
비제한적인 예로서, 본 명세서에서 설명된 다층 타깃은 SAXS 계측 툴에서 다음과 같은 정렬 및 교정 측정을 가능하게 한다: 1) 측정 박스를 통한 플럭스의 직접 측정; 2) 측정 박스 크기 주변의 광자 누출의 직접 측정; 3) 모든 자유도에서 계측 스테이지에 대한 샘플의 정렬; 4) 모든 자유도에서 입사 X-선 빔에 대한 샘플의 정렬; 5) 입사 X-선 빔 대 웨이퍼 스테이지 각도 정렬의 교정; 및 6) 타깃으로부터 검출기까지의 거리.
일부 실시예에서, 다층 교정 타깃은 표준 광학 다층 퇴적 기술과 다이싱 기술의 조합을 사용하여 제조된다. 이것은 광범위한 애플리케이션에서 빠르고 저렴한 생산을 가능하게 한다.
다른 실시예에서, 다층 교정 타깃은 표준 반도체 제조 기술을 사용하여 제조된다. 일부 실시예에서, 다층 스택은 반도체 웨이퍼 상에 제조된 수직 구조물의 측면에 퇴적된다.
추가 양태에서, 광학 마커는 입사 X-선 빔에 수직인 다층 타깃의 표면 상에 제작된다. 광학 마커는 에칭, 이온 밀링, 레이저 스크라이빙 등에 의해 다층 타깃 상에 제조될 수 있다. 광학 마커는 X-선 기반 산란측정법 툴 상의 웨이퍼 탐색을 위해 추가로 사용되는 하나 이상의 광학 현미경과 다층 타깃의 정렬을 가능하게 한다.
다른 양태에서, 다층 타깃의 층이 입사 X-선 빔에 평행하도록 다층 타깃이 배향된다.
다른 양태에서, 다층 타깃과 검출기 사이의 거리는 회절 차수 간의 공간 간격(spatial separation)에 기초하여 측정된다.
다른 양태에서, 입사 X-선 빔을 다층 타깃의 중심과 정렬시키기 위해 다층 타깃이 다층 타깃의 층에 평행하거나 수직이고 입사 X-선 빔에 수직인 방향으로 병진이동된다.
다른 양태에서, 입사 X-선 빔 및 다층 타깃에 대해 웨이퍼 스테이지의 회전 축을 위치시키기 위해 다층 타깃이 다층 타깃의 층에 수직이고 입사 X-선 빔에 수직인 회전 축을 중심으로 회전된다.
다른 양태에서, 입사 X-선 빔과 정렬된 방향을 따라 서로 인접하게, 입사 X-선 빔에 수직인 방향을 따라 서로 인접하게, 또는 이들의 조합으로 배열된 적어도 2개의 다층 구조물을 포함하는 복합 다층 타깃이 제공된다. 이러한 방식으로, 상이한 회절 각도로 그리고 상이한 회절 평면에 걸쳐 입사 X-선 빔의 상이한 부분을 회절시키는 특수 교정 및 정렬 타깃을 형성하기 위해 다수의 다층 타깃이 조합된다.
일부 실시예에서, 복합 다층 타깃은 입사 X-선 빔에 수직인 공통 평면에서 기판에 장착된 2개의 다층 구조물을 포함한다. 추가적으로, 다층 구조물은 갭 거리만큼 서로 공간적으로 분리된다. 이러한 복합 다층 타깃은 복합 다층 타깃의 갭을 통과하는 플럭스 및 갭 외부의 복합 다층 타깃에 입사하는 광자와 연관된 광자 손실을 측정하는 데 이용될 수 있다.
전술한 것은 요약이고 따라서, 필요에 따라, 세부 사항의 단순화, 일반화 및 생략을 포함하며; 결론적으로, 본 기술 분야의 통상의 기술자는 요약이 예시적인 것에 불과하고 어떠한 방식으로도 제한하는 것이 아님을 이해할 것이다. 본 명세서에서 설명된 디바이스 및/또는 프로세스의 다른 양태, 발명적 특징, 및 장점은 본 명세서에 기재된 비제한적인 상세한 설명에서 명백해질 것이다.
도 1은 초연마된(superpolished) 실리콘 기판 상에 퇴적된 다층 구조물을 예시하는 다이어그램이다.
도 2는 도 1에 묘사된 다층 샘플로부터 분리된 다층 세그먼트로부터 조립된 다층 타깃을 예시하는 다이어그램이다.
도 3은 수직으로 배향된 실리콘 필라의 측벽에 컨포멀하게 퇴적된 수직으로 배향된 다층 구조물 및 실리콘 기판 상에 컨포멀하게 퇴적된 수평으로 배향된 다층 구조물을 포함하는 다층 타깃을 예시하는 다이어그램이다.
도 4는 수직으로 배향된 실리콘 필라의 측벽에 컨포멀하게 퇴적된 수직으로 배향된 다층 구조물을 포함하는 다층 타깃을 예시하는 다이어그램이다.
도 5는 일 실시예에서 다층 타깃에 입사하는 X-선 빔을 예시하는 다이어그램이다.
도 6은 다른 실시예에서 다층 타깃에 입사하는 X-선 빔을 예시하는 다이어그램이다.
도 7은 다른 실시예에서 다층 타깃에 입사하는 X-선 빔을 예시하는 다이어그램이다.
도 8은 일 실시예에서 복합 다층 타깃에 입사하는 X-선 빔을 예시하는 다이어그램이다.
도 9는 도 8에 묘사된 복합 다층 타깃의 단부도를 예시하는 다이어그램이다.
도 10은 다른 실시예에서 복합 다층 타깃의 단면도를 예시하는 다이어그램이다.
도 11은 또 다른 실시예에서 복합 다층 타깃에 입사하는 X-선 빔을 예시하는 다이어그램이다.
도 12는 또 다른 실시예에서 복합 다층 타깃에 입사하는 X-선 빔을 예시하는 다이어그램이다.
도 13은 또 다른 실시예에서 복합 다층 타깃에 입사하는 X-선 빔을 예시하는 다이어그램이다. 도 13에 묘사된 복합 다층 타깃은 입사 X-선 빔과 정렬된 방향으로 도 11에 묘사된 복합 다층 타깃과 도 12에 묘사된 복합 다층 타깃을 조합한 것이다.
도 14는 측정될 반도체 타깃의 측정 박스 크기와 동일한 빈 직사각형 영역을 둘러싸는 8개의 다층 구조물을 포함하는 복합 다층 타깃의 단부도를 예시하는 다이어그램이다.
도 15는 다른 실시예에서 8개의 다층 구조물을 포함하는 복합 다층 타깃의 단부도를 예시하는 다이어그램이다.
도 16은 또 다른 실시예에서 복합 다층 타깃의 단부도를 예시하는 다이어그램이다.
도 17은 본 명세서에서 설명된 방법에 따라 다층 교정 타깃을 사용하여 다양한 시스템 파라미터의 교정을 수행하도록 구성된 계측 시스템(100)을 예시하는 다이어그램이다.
도 18은 하나의 구성에서 빔 성형 슬릿 메커니즘의 단부도를 묘사한다.
도 19는 다른 구성에서 빔 성형 슬릿 메커니즘의 단부도를 묘사한다.
도 20은 각도 Ф 및 각도 θ로 기술된 특정 방향으로 웨이퍼에 입사하는 X-선 조명 빔을 묘사한다.
도 21은 조명 빔이 웨이퍼에 입사하는 위치로 웨이퍼 스테이지가 이동된 상태의 시편 위치결정 시스템을 예시하는 다이어그램이다.
도 22a는 회전축(153)이 웨이퍼에 대한 조명 빔의 입사 지점에서 조명 빔과 교차하는, 도 21에 묘사된 바와 같은 웨이퍼에 입사하는 조명 빔의 평면도를 묘사한다.
도 22b는 회전 축(153)이 Z-방향으로 웨이퍼의 표면과 오정렬된, 도 21에 도시된 바와 같은 웨이퍼에 입사하는 조명 빔의 평면도를 묘사한다.
도 22c는 회전 축(153)이 X-방향으로 웨이퍼의 표면과 오정렬된, 도 21에 도시된 바와 같은 웨이퍼에 입사하는 조명 빔의 평면도를 묘사한다.
도 23은 X-선 빔이 다층 교정 타깃에 입사하는 위치로 웨이퍼 스테이지가 이동된 상태의 시편 위치결정 시스템을 예시하는 다이어그램이다.
도 24는 X-선 산란측정법 데이터에 기초하여 시편 파라미터 값을 분해(resolve)하도록 구성된 모델 구축 및 분석 엔진(180)을 예시하는 다이어그램이다.
도 25는 본 명세서에 설명된 바와 같은 다층 교정 타깃을 사용하여 X-선 기반 산란측정법 시스템을 교정하는 예시적인 방법을 예시하는 플로차트이다.
본 발명의 배경 예 및 일부 실시예에 대해 이제 상세히 언급될 것이고, 그의 예가 첨부 도면에 예시된다.
X-선 기반 계측 및 검사 툴의 빠르고 정확한 절대 교정 및 정렬을 가능하게 하는 다층 타깃이 본 명세서에서 설명된다. 다층 교정 타깃은 매우 높은 회절 효율을 가지며 표준 광학 다층 퇴적 기술과 다이싱 기술의 조합을 사용하여 제조되어, 광범위한 애플리케이션에서 빠르고 저렴한 생산을 가능하게 한다.
각각의 타깃은 X-선 투과성 물질과 X-선 흡수성 물질의 쌍(예를 들면, 실리콘-텅스텐 물질 쌍, 실리콘-몰리브덴 물질 쌍 등)으로 구성된 다층 구조물을 포함한다. 두꺼운 다층 타깃 구조물은 물질 층이 입사 X-선 빔에 평행하게 배향되도록 투과 배열(즉, Laue 기하학)로 설정된다. 이에 따라, 다층 타깃의 각각의 층은 웨이퍼 평면에 공칭적으로 직교하는 방향으로 배향된다. X-선은 타깃의 주기적인 구조에서 회절한다. 입사 X-선 빔에 평행하게 배향된 층의 길이(즉, 입사 X-선 빔이 통과하는 다층 구조물의 깊이)는 회절 효율을 정의한다. 원칙적으로, 입사 X-선 빔이 통과하는 다층 구조물의 깊이는 임의의 길이일 수 있다. 따라서, 이러한 방식으로 제조된 다층 타깃은 최대 100%의 임의의 원하는 회절 효율을 갖도록 설계될 수 있다. 실제로, 이 길이는 수 미크론(예를 들어, 3 미크론 이상) 내지 다층 구조물이 제조되는 기판의 길이(예를 들어, 몇 센티미터)의 범위에 있다. 다층 구조물의 주기는 회절 각도를 정의한다. 각각의 층에 수직인 방향에서의 다층 구조물의 총 두께(즉, 층 쌍의 수와 다층 주기를 곱한 것)는 타깃의 높이를 정의한다. 타깃의 폭은 다층 구조물이 제조되는 기판의 길이에 이르기까지의 임의의 크기(예를 들어, 수 센티미터)를 가질 수 있다.
X-선 기반 계측 또는 검사 툴의 정렬 및 교정의 정확도는, 매우 높은 정밀도(예를 들면, 1 나노미터의 수 분의 1(fraction of a nanometer))로 수행될 수 있는, 다층 구조물의 초기 특성 묘사의 정확도에만 의존한다. 결과적으로, 본 명세서에서 설명된 바와 같은 다층 타깃은 수 마이크로미터의 정확도(예를 들면, 5 미크론 미만의 정확도)로 X-선 기반 계측 및 검사 툴(예를 들면, CD-SAXS(critical dimension small angle X-ray scatterometry))의 빠른 초기 및 일상 교정 및 정렬을 가능하게 한다.
본 명세서에서 기술된 바와 같은 다층 타깃의 정렬 및 교정 성능은 다층 타깃 기하학적 파라미터(즉, 높이, 폭, 깊이)의 정확도에 의존하지 않는다. 게다가, 본 명세서에서 설명된 바와 같은 다층 타깃의 정렬 및 교정 성능은 기판에 처음으로 도포된 다층 코팅으로부터의 타깃 추출을 위해 사용되는 방법의 정확도에 의존하지 않는다. 타깃의 주변에서의 가능한 잔해물 및 거칠기는 정렬 및 교정 정확도를 저하시키지 않는다.
본 명세서에서 설명된 다층 타깃의 높은 회절 효율은 매우 짧은 측정 노출 시간을 가능하게 하며, 이는 차례로 X-선 기반 계측 및 검사 툴의 훨씬 더 빠른 교정 및 정렬을 가능하게 한다.
일부 실시예에서, 다층 타깃은 T-SAXS(transmission, small angle X-ray scatterometry) 계측 시스템을 정렬 및 교정하는 데 이용된다. 반도체 제조 환경에서의 실제 T-SAXS 측정은 작은 빔 스폿 크기(예를 들면, 유효 조명 스폿의 직경이 50 마이크로미터 미만임)로 시편(예를 들면, 반도체 웨이퍼)의 표면에 대한 큰 입사각 및 방위각 범위에 걸친 측정을 요구한다. 작은 측정 박스 크기를 달성하기 위해 웨이퍼의 정확한 위치결정 및 빔 크기와 형상의 특성 묘사가 요구된다. 추가적으로, 전체 입사각 및 방위각 범위에 걸쳐 반도체 웨이퍼의 표면 상의 원하는 타깃 영역 상에 프로브 빔을 정확하게 위치시키는 교정이 본 명세서에 제시된다. 이것은 작은 박스 크기의 계측 타깃(예를 들면, 50 마이크로미터 이하의 치수를 갖는 스크라이브 라인에 위치된 계측 타깃)을 측정하는 데 요구되는 웨이퍼의 정밀한 탐색을 가능하게 한다.
비제한적인 예로서, 본 명세서에서 설명된 다층 타깃은 SAXS 계측 툴에서 다음과 같은 정렬 및 교정 측정을 가능하게 한다: 1) 측정 박스를 통한 플럭스의 직접 측정; 2) 측정 박스 크기 주변의 광자 누출의 직접 측정; 3) 모든 자유도에서 계측 스테이지에 대한 샘플의 정렬; 4) 모든 자유도에서 입사 X-선 빔에 대한 샘플의 정렬; 5) 입사 X-선 빔 대 웨이퍼 스테이지 각도 정렬의 교정; 및 6) 타깃으로부터 검출기까지의 거리.
일 양태에서, 다층 교정 타깃은 표준 광학 다층 퇴적 기술과 다이싱 기술의 조합을 사용하여 제조된다. 이것은 광범위한 애플리케이션에서 빠르고 저렴한 생산을 가능하게 한다. 빠른 타깃 제조(예를 들면, 몇 주가 아닌 며칠)는 교정 타깃 설계의 신속한 개선과 교정 및 정렬 방법의 개발을 가능하게 한다. 게다가, 고객 특정 애플리케이션에 매칭하는 다양한 크기의 교정 및 정렬 타깃이 신속하게 실현될 수 있다. 다층 코팅 제조 기술은 아주 발전되어 있다. 다층 파라미터의 안정성과 정확도는 매우 높다. 결과적으로, 본 명세서에서 설명된 다층 구조물의 실제 성능은 예상과 잘 부합한다.
도 1은 실리콘 기판(201) 상에 다층 구조물(202)을 포함하는 다층 샘플(200)을 예시하는 다이어그램이다. 실리콘 기판(201)은 초연마된 평평한 기판이다. 다층 구조물(202)은 X-선 투과성 물질과 X-선 흡수성 물질(예를 들어, 실리콘-텅스텐 물질 쌍, 실리콘-몰리브덴 물질 쌍 등)의 교호 층을 포함한다. X-선 투과성 물질 층의 두께는 X-선 흡수성 물질 층의 두께와 동일하거나 상이할 수 있다. 그렇지만, 각각의 반복적으로 적층된 물질 쌍은 치수 및 물질 조성이 실질적으로 동일하다. 환언하면, 적층된 물질 쌍 각각은 동일한 두께의 X-선 투과성 물질과 동일한 두께의 X-선 흡수성 물질을 포함한다. 구조물의 결과적인 주기성은 단일 물질 쌍의 두께(Λ)에 의해 특징지워진다. 다층 코팅의 총 두께는 타깃의 높이와 동일하다. 다층 코팅의 주기는 원하는 회절 차수 간격(diffraction order separation)과 X-선 기반 계측 시스템에 의해 이용되는 입사 X-선 빔의 X-선 광자 에너지에 의해 정의된다. 일반적으로, 다층 코팅의 주기는 2.5 나노미터 정도로 작을 수 있다. 그렇지만, 실제로는, 전형적인 X-선 조명 빔 에너지에 대해 합리적으로 양호한 공간 간격을 갖는 검출기 상에서의 합리적인 수의 회절 차수는 10 나노미터 내지 100 나노미터 범위의 다층 주기로 달성된다. 더 작은 주기는 타깃의 애스펙트비(즉, 다층 타깃의 깊이와 주기 사이의 비)를 증가시킨다. 애스펙트비가 증가함에 따라 타깃과 입사 광선의 정렬이 점점 어려워진다. 예를 들어, 입사 X-선 빔의 축과 10 나노미터 주기 및 10 마이크로미터 깊이를 갖는 타깃의 회절 평면 사이의 1 밀리라디안 각도는 회절된 차수의 강도의 상당한 강도 손실을 결과할 것이다. 결과적으로, 입사 X-선 빔의 주어진 X-선 광자 에너지에 대해 원하는 회절 차수 간격을 여전히 달성하면서 가능한 한 큰 다층 주기를 선택하는 것이 유리할 수 있다.
도 1에 묘사된 바와 같이, 작은 다층 세그먼트(205)는 집속 이온 빔(FIB) 에칭에 의해 다층 샘플(200)로부터 절단된다. 집속 이온 빔 툴(203)은 집속 이온 빔(204)을 다층 샘플에 전달하고 원하는 크기(즉, 원하는 폭, 깊이 및 높이)로 다층 세그먼트(205)를 다층 샘플로부터 분리시킨다. 일반적으로, 타깃의 높이는, 전형적으로 100 마이크로미터 이하인, 다층 코팅의 높이에 의해 제한된다. 그렇지만, 일반적으로, 타깃의 깊이(빔을 따른 길이) 및 빔과 교차하는 타깃의 폭은 기판(201)의 직경보다 작은 임의의 크기일 수 있다.
도 2는 도 1에 묘사된 다층 샘플로부터 분리된 다층 세그먼트(205)로부터 조립된 다층 타깃(210)을 묘사한다. 입사 X-선 빔을 따른 다층 타깃(210)의 깊이(D)는 회절 효율을 정의한다. 다층 구조물(211)의 높이(H)는 다층 구조물(202)의 두께이다. 다층 타깃(210)의 폭(W)은 분리된 타깃(205)의 폭이다. 일 예에서, 다층 구조물은 50 마이크로미터의 높이(H), 50 마이크로미터의 폭(W), 5 마이크로미터의 깊이(D)를 갖는다.
도 2에 묘사된 실시예에서, 다층 타깃(210)은 다층 세그먼트(205)의 일부로서 다층 구조물(211)과 함께 추출된 마더 기판(212)에 의해 지지되는 다층 구조물(211)을 포함한다. 추가적으로, 다층 타깃(210)은 추가적인 구조적 지지를 제공하고 교정될 계측 시스템에의 장착을 용이하게 하기 위해 다층 구조물(211)에 부착된 기판(213)을 포함한다. 기판(212 및 213)은 X-선을 회절시키지 않는 물질(예를 들면, 실리콘)로 제조된다. 다층 타깃의 일부 다른 실시예에서, 기판(212 및 213) 중 하나 또는 둘 모두가 존재하지 않는다. 일 실시예에서, 다층 타깃은 다층 구조물(211)만을 포함한다. 이러한 실시예에서, 다층 타깃은 독립형 다층 구조물이다. 약 10의 애스펙트비(즉, H/D)를 갖는 다층 타깃은 안정적인 독립형 구조물일 것으로 예상된다. 독립형 다층 구조물은 다른 지지 구조물에 부착될 필요 없이 그의 형상을 유지한다. 이러한 방식으로, 다층 타깃은 다른 기판으로부터의 지지 없이 단독으로 다층 구조물(211)을 포함할 수 있다. 대안적으로, 다층 구조물(211)은, 도 2에 묘사된 바와 같이, X-선 투과 기판(213)에 부착될 수 있거나, 그의 마더 기판(즉, 도 1에 묘사된 기판(201))의 일 부분(212)에 부착된 채로 있을 수 있거나, 또는 둘 모두일 수 있다. 이러한 방식으로, 다층 구조물(211)은 그의 실리콘 기판으로부터 분리될 수 있거나 또는 취급의 용이성을 위해 부착된 채로 있을 수 있다.
도 2에 묘사된 바와 같이, 입사 X-선 빔(216)은 다층 구조물(211)의 50 마이크로미터 x 50 마이크로미터 벽에 입사된다. X-선 빔은 다층 구조물(211)을 통해 다층 구조물(211)의 5 마이크로미터 깊이에 걸쳐 전파된다. 일반적으로, 다층 타깃(210)의 크기는 임의의 적합한 크기일 수 있다. 일부 예에서, 다층 타깃(210)은 10 마이크로미터 정도로 작다. 일부 예에서, 다층 타깃(210)은 표준 스크라이브 라인 타깃 내에 들어맞는 크기로 되어 있다(예를 들어, 50 마이크로미터).
도 1에 묘사된 기판(201)과 같은, 전형적인 초연마된 실리콘 기판은 직경이 1 인치이고, 다층 구조물(202)이 전체 영역에 걸쳐 제조된다. 추출된 다층 타깃의 특성 치수가 50 마이크로미터 내지 100 마이크로미터라고 가정하면, 단일 기판은 타깃 추출을 위한 FIB 프로세스로 인한 각각의 타깃 주변의 손실된 영역을 고려한 후에도 수천개의 타깃을 생성할 것이다.
특정 제조 샘플로부터 추출된 모든 타깃은 주기, 물질 조성, 거칠기, 흡수체 대 주기 비(absorber to period ratio), 및 상호확산 층 두께와 같은 실질적으로 동일한 다층 파라미터를 가질 것이다. 이러한 속성의 초기 특성 묘사를 위해, 기판의 상이한 부분으로부터 추출된 몇 개의 타깃만이 철저하게 측정된다. 일반적으로, 실제 다층 주기 및 회절 효율을 결정하기 위해 하나의 기판으로부터 추출된 몇 개의(예를 들면, 대략 5개의) 타깃만이 철저하게 측정된다. 모든 측정된 타깃이 동일한 성능을 보이는 경우, 다층 파라미터가 기판 전체에서 안정적이고 샘플로부터 추출된 모든 다른 타깃이 실질적으로 동일할 것으로 예상된다. 각각의 추출된 타깃의 벽의 품질과 FIB 프로세스의 잔해물은 본 명세서에서 설명된 바와 같이 타깃 성능에 영향을 미치지 않는다.
다른 양태에서, 다층 교정 타깃은 표준 반도체 제조 기술을 사용하여 제조된다. 일부 실시예에서, 다층 스택은 반도체 웨이퍼 상에 제조된 수직 구조물의 측면에 퇴적된다.
도 3은 수직으로 배향된 실리콘 필라(225)의 측벽에 컨포멀하게 퇴적된 수직으로 배향된 다층 구조물(223) 및 실리콘 기판(221) 및 수직 필라(225)의 상단에 수평 방향으로 컨포멀하게 퇴적된 수평으로 배향된 다층 구조물(222)을 포함하는 다층 타깃(220)의 예시를 묘사한다. 타깃(220)은 수평 다층 및 수직 다층 둘 모두를 포함한다. 수평 다층 및 수직 다층 둘 모두는 본 명세서에서 설명된 바와 같이 회절 타깃 구조물로서 사용될 수 있다.
도 4는 수직으로 배향된 실리콘 필라(225)의 측벽에 컨포멀하게 퇴적된 수직으로 배향된 다층 구조물(223)을 포함하는 다층 타깃(224)의 예시를 묘사한다. 다층 타깃(224)은 실리콘 기판(221)으로부터 수평 다층 구조물(222)을 제거하는 추가적인 방향성 에칭 단계를 거친 다층 타깃(220)이다. 타깃(220)은 본 명세서에서 설명된 바와 같이 회절 타깃 구조물로서 사용될 수 있는 수직 다층을 포함한다.
타깃(220 및 224)과 같은 표준 반도체 제조 기술을 사용하여 제조된 다층 교정 타깃은 추가적인 절단, 다이싱 또는 장착 단계 없이 반도체 제조 웨이퍼 상에 직접적으로 제조될 수 있기 때문에 유리할 수 있다. 게다가, 이러한 타깃은 X-선 기반 산란측정법 시스템에 의해 측정되는 동일한 제조된 반도체 웨이퍼의 일체 부분이다. 이것은 정렬 및 교정 절차를 단순화한다. 특히, 다른 유형의 타깃(예를 들면, 툴 성능을 검증하는 데 사용되는 타깃)은 물론, 광학 정렬 마크 또는 툴 정렬 및 교정에 유용한 다른 구조물이 동일한 웨이퍼 상에 제작될 수 있다.
다른 추가 양태에서, 광학 마커는 입사 X-선 빔에 수직인 표면 상의 다층 타깃의 표면 상에 에칭된다. 도 2는 입사 X-선 빔(216)을 향해 있는 기판(212)의 표면 상에 에칭된 광학 마커(214 및 215)를 묘사한다. 광학 마커는 에칭, 이온 밀링, 레이저 스크라이빙 등에 의해 다층 타깃 상에 에칭될 수 있다. 일반적으로, 다층 타깃은 전면, 후면, 둘 모두에서 입사 X-선 빔에 수직인 표면에 광학 마커를 포함할 수 있다. 광학 마커는 탐색 현미경(navigation microscope)(예를 들면, 고배율 카메라, 저배율 카메라 또는 둘 모두)으로 획득된 이미지의 패턴 인식을 위해 충분히 높은 콘트라스트를 가져야 한다. 타깃의 가장자리에 대한 광학 마커의 위치의 정확도는 1 마이크로미터 미만이어야 한다. 일부 실시예에서, 광학 마커는 타깃이 다층 샘플로부터 추출될 때 집속 이온 빔(FIB) 머시닝 툴(예를 들어, FIB 툴(203))에 의해 이온 밀링된다. 하나 이상의 광학 마커는 X-선 기반 산란측정법 툴 상의 웨이퍼 탐색을 위해 추가로 사용되는 하나 이상의 광학 현미경과 다층 타깃의 정렬을 가능하게 한다. 이러한 방식으로, 광학 탐색 카메라 시스템에 대한 입사 X-선 빔의 위치가 또한 광학적으로 마킹된 다층 타깃을 통해 교정된다.
다른 양태에서, 다층 타깃의 층이 입사 X-선 빔에 평행하도록 다층 타깃이 배향된다.
도 5는 기판(233)에 장착된 다층 구조물(232)을 포함하는 다층 타깃에 입사하는 X-선 빔(234)을 묘사한다. 결과적인 회절된 차수(236, 237A와 237B 및 238A와 238B)가 검출기(235)에서 검출된다. 도 5에 묘사된 바와 같이, 다층 구조물(232)의 층은 입사 X-선 빔(234)에 평행하게(즉, 입사 X-선 빔의 전파 방향과 정렬된 X-선 빔의 종축에 평행하게) 배향된다. 게다가, 층은 검출기(235) 상에 투영된 웨이퍼 평면의 X-축과 평행하게 배향된다. 입사 X-선 빔(234)은 측정 스폿(236), 측정 스폿(237A 및 237B) 및 측정 스폿(238A 및 238B)에서, 제각기, 검출기(235)에 입사하는 0차 빔, +/-1차 빔, 및 +/-2차 빔으로 회절된다. 도 5에 묘사된 바와 같이, 다층 구조물(232)은 검출기(235) 상에 투영된 웨이퍼 평면의 Y-축을 따라 입사 빔(234)을 회절시킨다.
다층 구조물(232)은 입사 빔의 주어진 광자 에너지에 대해 검출기에서 회절 차수의 원하는 간격을 달성하기 위한 주기로 설계된다. 추가적으로, 다층 구조물(232)은 원하는 회절 효율 또는 입사 빔의 주어진 광자 에너지에 대해 검출기에서 검출 가능한 회절된 광자의 원하는 수를 달성하기 위한 깊이(D)로 설계된다. 회절 효율은 빔을 따른 구조물의 길이의 제곱에 비례하고, 회절된 광자의 수는 입사 빔의 파장의 제곱에 비례한다.
일부 실시예에서, 선택 가능한 빔 에너지(즉, 파장)를 갖는 X-선 빔을 제공하는 X-선 조명 소스가 이용된다. 일 예에서, 다중 요소 애노드 X-선 조명 소스가 이용된다. 이러한 실시예에서, 입사 X-선 빔의 파장은 최대 속도로 교정 및 정렬을 수행하기 위해 원하는 회절 효율 및 회절 차수의 원하는 공간 간격을 달성하도록 선택된다. 예를 들어, 더 저에너지의 광자(soft photon)는 검출기에서 더 큰 공간 간격을 갖는 회절 차수를 생성한다.
다층 구조물(232)의 애스펙트비는 크다(예를 들면, D/Λ가 50 초과, D/Λ가 500 미만). 결과적으로, 다층 구조물(232)의 회절 효율은 입사 X-선 빔(234)에 수직이고 구조 층에 평행한 축(261)을 중심으로 한 다층 구조물(232)의 배향에 매우 민감하다. 다층 구조물(232)의 구조 층이 입사 X-선 빔(234)과 완벽하게 평행할 때, 회절 효율은 최대이다. 완벽한 정렬로부터 축(261)을 중심으로 한 작은 회전은 회절 효율의 급격한 감소를 야기한다. 높은 정확도(예를 들면, 입사 X-선 빔에 대한 다층 구조물의 정렬이 1 밀리라디안 이하 내에 있음)로 다층 구조물(232), 결과적으로 웨이퍼 스테이지를, 검출기(235) 상에 투영된 웨이퍼 평면에서의 X-축과 정렬된 회전축을 중심으로 입사 X-선 빔과 빠르고 정확하게 정렬하기 위해 이러한 민감도가 이용된다. 이러한 방식으로, 웨이퍼의 평면에서 X-회전축을 중심으로 한 제로 입사각이 교정된다. 예를 들어, 100의 애스펙트비(예를 들면, 100 나노미터의 다층 주기 및 X-선 빔을 따른 10 마이크로미터의 타깃 깊이)를 갖는 다층 타깃의 경우, 타깃이 10 밀리라디안만큼 틸팅되어 있을 때 측정된 회절된 빔(237A와 237B 및 238A와 238B)의 강도가 몇 배 감소한다.
도 6은 기판(240)에 장착된 다층 구조물(239)을 포함하는 다층 타깃에 입사하는 X-선 빔(234)을 묘사한다. 결과적인 회절 차수(243, 244A 및 244B, 245A 및 245B)가 검출기(235)에서 검출된다. 도 6에 묘사된 바와 같이, 다층 구조물(239)의 층은 입사 X-선 빔(234)에 평행하게 배향된다. 게다가, 층은 검출기(235) 상에 투영된 웨이퍼 평면의 Y-축과 평행하게 배향된다. 입사 X-선 빔(234)은 측정 스폿(243), 측정 스폿(244A 및 244B) 및 측정 스폿(245A 및 245B)에서, 제각기, 검출기(235)에 입사하는 0차 빔, +/-1차 빔, 및 +/-2차 빔으로 회절된다. 도 6에 묘사된 바와 같이, 다층 구조물(239)은 검출기(235) 상에 투영된 웨이퍼 평면의 X-축을 따라 입사 빔(234)을 회절시킨다.
도 5를 참조하여 다층 구조물(232)을 참조하여 설명된 바와 같이, 다층 구조물(239)은 입사 빔의 주어진 광자 에너지에 대해 검출기에서 회절 차수의 원하는 간격을 달성하기 위한 주기로 설계된다. 추가적으로, 다층 구조물(239)은 원하는 회절 효율 또는 입사 빔의 주어진 광자 에너지에 대해 검출기에서 검출 가능한 회절된 광자의 원하는 수를 달성하기 위한 깊이(D)로 설계된다.
유사하게, 다층 구조물(239)의 애스펙트비는 크다(예를 들면, D/Λ가 50 초과, D/Λ가 500 미만). 결과적으로, 다층 구조물(239)의 회절 효율은 입사 X-선 빔(234)에 수직이고 구조 층에 평행한 축(253)을 중심으로 한 다층 구조물(239)의 배향에 매우 민감하다. 다층 구조물(239)의 구조 층이 입사 X-선 빔(234)과 완벽하게 평행할 때, 회절 효율은 최대이다. 완벽한 정렬로부터 축(253)을 중심으로 한 작은 회전은 회절 효율의 급격한 감소를 야기한다. 높은 정확도(예를 들면, 입사 X-선 빔에 대한 다층 구조물의 정렬이 1 밀리라디안 이하 내에 있음)로 다층 구조물(239), 결과적으로 웨이퍼 스테이지를, 검출기(235) 상에 투영된 웨이퍼 평면에서의 Y-축과 정렬된 회전축을 중심으로 입사 X-선 빔과 빠르고 정확하게 정렬하기 위해 이러한 민감도가 이용된다. 이러한 방식으로, 웨이퍼의 평면에서 Y-회전축을 중심으로 한 제로 입사각이 교정된다. 예를 들어, 100의 애스펙트비(예를 들면, 100 나노미터의 다층 주기 및 X-선 빔을 따른 10 마이크로미터의 타깃 깊이)를 갖는 다층 타깃의 경우, 타깃이 10 밀리라디안만큼 틸팅되어 있을 때 측정된 회절된 빔(244A와 244B 및 245A와 245B)의 강도가 몇 배 감소한다.
도 5 및 도 6에 묘사된 실시예에서, 2개의 직교 방향에서 입사각을 교정하기 위해 계측 시스템에 의해 2개의 상이한 다층 타깃이 이용된다. 그렇지만, 일반적으로, 각각의 교정 사이에서 다층 타깃을 90도만큼 간단히 회전시킴으로써 2개의 직교 방향에서 입사각을 교정하기 위해 단일 다층 타깃이 이용될 수 있다.
다른 양태에서, 다층 타깃과 검출기 사이의 거리는 회절 차수 간의 공간 간격에 기초하여 측정된다.
도 5를 참조하여 다층 구조물(232)을 참조하여 설명된 바와 같이, 다층 구조물(239)은 공간 주기(Λ)로 설계되고, 특정 파장(λ)에 의해 특징지어지는 X-선 방사로 조명된다. 소각 산란(small angle scattering)을 가정하면, 각각의 비-제로 회절 차수가 제로 회절 차수로부터 각도 nθ만큼 각도적으로 변위되며, 여기서 n은 회절 차수이다. 각도 변위는 다음과 같이 공간 주기와 조명 파장의 함수이다: sin(θ) = λ/Λ. 게다가, 타깃으로부터 검출기까지의 거리(L)는 다음과 같이 검출기에서의 첫 번째 회절 차수와 제로 회절 차수 사이의 공간 간격(S)의 함수이다: L = S/sin(θ). 따라서, 타깃으로부터 검출기까지의 거리(L)는 다음과 같이 표현될 수 있다: L = S*Λ/λ, 여기서 S는 검출기에서 측정되고, λ와 Λ는 매우 정확하게 알려진 시스템 파라미터이다.
이러한 방식으로, 도 17에 묘사된 컴퓨팅 시스템(130)은 검출기에서 결정된 회절 차수 간의 공간 간격 및 λ와 Λ에 대한 알려진 시스템 파라미터 값에 기초하여 다층 타깃과 검출기 사이의 거리를 추정하도록 구성된다.
다른 양태에서, 입사 X-선 빔을 하나의 축을 따른 다층 타깃의 중심과 정렬시키기 위해 다층 타깃이 다층 타깃의 층에 평행하거나 수직이고 입사 X-선 빔에 수직인 방향으로 병진이동된다.
도 5를 또다시 참조하면, X-선 빔(234)은 다층 구조물(232)에 입사하고, 다층 구조물(232)의 층은 입사 X-선 빔(234)에 평행하게 배향된다. 도 5에 묘사된 바와 같이, 예로서, 다층 타깃은 검출기(235) 상에 투영된 웨이퍼 평면의 X-축과 평행한 방향으로(예를 들어, 축(261)을 따라) 병진이동된다. 다른 예에서, 다층 타깃은 검출기(235) 상에 투영된 웨이퍼 평면의 X-축에 수직인 방향으로 병진이동된다. 다층 구조물(예를 들어, 다층 구조물(232))의 물리적 치수는 다층 구조물을 하나의 방향 또는 다른 방향으로 병진이동시키는 것이 유리한지 여부를 결정한다. 다층 구조물이 하나의 방향에서 입사 X-선 빔의 스폿 크기에 비해 실질적으로 더 길고 다른 방향에서 입사 X-선 빔의 스폿 크기에 비해 길이가 실질적으로 유사하거나 더 작은 경우, 다층 구조물의 크기(extent)가 입사 X-선 빔의 스폿 크기에 비해 길이가 실질적으로 유사한 방향으로 다층 구조물을 스캔하는 것이 유리하다. 이것은 다층 구조물의 위치 변화에 대한 측정된 회절 차수의 민감도를 최대화한다. 도 5에 묘사된 바와 같이, 입사 X-선 빔(234)은 측정 스폿(236), 측정 스폿(237A 및 237B), 및 측정 스폿(238A 및 238B)에서, 제각기, 검출기(235)에 입사하는 0차 빔, +/-1차 빔, 및 +/-2차 빔 등으로 회절된다. 도 5에 묘사된 바와 같이, 다층 구조물(232)은 검출기(235) 상에 투영된 웨이퍼 평면의 Y-축을 따라 입사 빔(234)을 회절시킨다. 입사 X-선 빔(234)이 X-축을 따라 다층 구조물(232)의 구조 층에 중심이 있을 때, 회절 효율이 최대이다(즉, 측정된 회절 강도가 가장 높다). X-방향에서의 완벽한 정렬로부터 축(261)을 따른 작은 병진이동은 회절 효율의 급격한 감소를 야기한다. 다층 구조물(232), 결과적으로 웨이퍼 스테이지를, 검출기(235) 상에 투영된 웨이퍼의 평면에서의 X-방향에서 입사 X-선 빔과 빠르고 정확하게 정렬시키기 위해 이러한 민감도가 이용된다.
도 6을 또다시 참조하면, X-선 빔(234)은 다층 구조물(239)에 입사하고, 다층 구조물(239)의 층은 입사 X-선 빔(234)에 평행하게 배향된다. 도 6에 묘사된 실시예에서, 다층 타깃은 검출기(235) 상에 투영된 웨이퍼 평면의 Y-축과 평행한 방향으로(예를 들면, 축(253)을 따라) 병진이동된다. 다른 예에서, 다층 타깃은 검출기(235) 상에 투영된 웨이퍼 평면의 Y-축에 수직인 방향으로 병진이동된다. 다층 구조물을 하나의 방향 또는 다른 방향으로 병진이동시키는 것이 유리한지 여부는 이전에 설명된 바와 같이 다층 구조물(예를 들면, 다층 구조물(239))의 물리적 치수에 의존한다. 입사 X-선 빔(234)은 측정 스폿(243), 측정 스폿(244A 및 244B), 및 측정 스폿(245A 및 245B)에서, 제각기, 검출기(235)에 입사하는 0차 빔, +/-1차 빔, 및 +/-2차 빔 등으로 회절된다. 도 6에 묘사된 바와 같이, 다층 구조물(239)은 검출기(235) 상에 투영된 웨이퍼 평면의 X-축을 따라 입사 빔(234)을 회절시킨다. 입사 X-선 빔(234)이 Y-축을 따라 다층 구조물(239)의 구조 층에 중심이 있을 때, 회절 효율이 최대이다(즉, 측정된 회절 강도가 가장 높다). Y-방향에서의 완벽한 정렬로부터 축(253)을 따른 작은 병진이동은 회절 효율의 급격한 감소를 야기한다. 다층 구조물(239), 결과적으로 웨이퍼 스테이지를, 검출기(235) 상에 투영된 웨이퍼의 평면에서의 Y-방향에서 입사 X-선 빔과 빠르고 정확하게 정렬시키기 위해 이러한 민감도가 이용된다.
도 5 및 도 6에 묘사된 실시예에서, 입사 X-선 빔에 대해 웨이퍼 스테이지의 X-Y 정렬을 교정하기 위해 계측 시스템에 의해 2개의 상이한 다층 타깃이 이용된다. 그렇지만, 일반적으로, 각각의 교정 사이에서 다층 타깃을 90도만큼 간단히 회전시킴으로써 X-Y 정렬을 교정하기 위해 단일 다층 타깃이 이용될 수 있다.
다른 양태에서, 입사 X-선 빔 및 다층 타깃에 대해 웨이퍼 스테이지의 회전 축을 위치시키기 위해 다층 타깃이 다층 타깃의 층에 수직이고 입사 X-선 빔에 수직인 회전 축을 중심으로 회전된다.
도 7은 기판(247)에 장착된 다층 구조물(246)을 포함하는 다층 타깃에 입사하는 X-선 빔(234)을 묘사한다. 결과적인 회절 차수(250, 251A와 251B 및 252A와 252B)가 검출기(235)에서 검출된다. 도 7에 묘사된 바와 같이, 다층 구조물(246)의 층은 입사 X-선 빔(234)에 평행하게 배향되고, 입사 X-선 빔(234)은 다층 구조물(246)에 대해 X-방향으로 중심이 있다. 게다가, 다층 구조물(246)의 층은 검출기(235) 상에 투영된 웨이퍼 평면의 X-축과 평행하게 배향된다. 입사 X-선 빔(234)은 측정 스폿(250), 측정 스폿(251A 및 251B), 및 측정 스폿(252A 및 252B)에서, 제각기, 검출기(235)에 입사하는 0차 빔, +/-1차 빔, 및 +/-2차 빔 등으로 회절된다. 도 7에 묘사된 바와 같이, 다층 구조물(246)은 검출기(235) 상에 투영된 웨이퍼 평면의 Y-축을 따라 입사 빔(234)을 회절시킨다.
회전축(253)이 다층 구조물(246) 및 입사 X-선(234)에 대해 완벽하게 중심에 있을 때(즉, X-축 및 Z-축을 따른 다층 구조물(246)의 중심에서 입사 X-선 빔(234)의 종축과 교차할 때), 축(253)을 중심으로 한 회전은 측정된 회절 효율에 영향을 미치지 않는다. 그렇지만, 회전축(253)이 X-방향 및 Z-방향에서 다층 타깃 구조물(246)에 중심이 있지 않으면, 다층 타깃 구조물(246)은 입사 X-선 빔에 들락달락하면서 세차운동하여 회절된 빔의 총 신호의 감소를 야기할 것이다. 이러한 방식으로, 축(253)을 중심으로 한 다층 타깃의 회전에 따른 회절된 플럭스의 변화는 X 방향 및 Z 방향에서 입사 X-선 빔(234) 및 다층 타깃 구조물(246)의 축과 축 회전(253)의 오정렬을 교정하기 위해 이용된다.
다른 양태에서, 입사 X-선 빔과 정렬된 방향을 따라 서로 인접하게, 입사 X-선 빔에 수직인 방향을 따라 서로 인접하게, 또는 이들의 조합으로 배열된 적어도 2개의 다층 구조물을 포함하는 복합 다층 타깃이 제공된다. 이러한 방식으로, 상이한 회절 각도로 그리고 상이한 회절 평면에 걸쳐 입사 X-선 빔의 상이한 부분을 회절시키는 특수 교정 및 정렬 타깃을 형성하기 위해 다수의 다층 타깃이 조합된다.
일부 실시예에서, 복합 다층 타깃을 포함하는 다층 구조물은 실질적으로 동일하고 반복적으로 적층된 물질 쌍으로 제조된다. 환언하면, 복합 다층 타깃을 포함하는 다층 구조물 각각은 두께 및 물질 조성이 실질적으로 동일한 물질 쌍을 포함한다. 그렇지만, 일부 다른 실시예에서, 복합 다층 타깃을 포함하는 다층 구조물 중 하나 이상은 복합 다층 타깃을 포함하는 다른 다층 구조물과 상이한 반복적으로 적층된 물질 쌍으로 제조된다. 환언하면, 복합 다층 타깃을 포함하는 다층 구조물 중 하나 이상은 복합 다층 타깃을 포함하는 다른 다층 구조물과 비교하여 두께, 물질 조성, 또는 둘 모두가 상이한 물질 쌍을 포함한다.
도 8은 복합 다층 타깃에 입사하는 X-선 빔(234)을 묘사한다. 복합 다층 타깃은 다층 구조물(263)에 장착된 다층 구조물(262)을 포함하고, 다층 구조물(263)은 차례로 기판(264)에 장착된다. 다층 구조물(262 및 263) 및 기판(264)은 입사 X-선 빔과 정렬된 방향으로 서로에 장착된다. 다층 구조물(262)의 층은 검출기(235) 상에 투영된 웨이퍼 평면의 X-축과 평행하게 배향된다. 다층 구조물(263)의 층은 검출기(235) 상에 투영된 웨이퍼 평면의 Y-축과 평행하게 배향된다. 입사 X-선 빔(234)은 측정 스폿(267), 측정 스폿(270A 및 270B), 및 측정 스폿(271A 및 271B)에서, 제각기, 검출기(235)에 입사하는 0차 빔, +/-1차 빔, 및 +/-2차 빔 등으로 다층 구조물(262)에 의해 회절된다. 입사 X-선 빔(234)은 측정 스폿(267), 측정 스폿(268A 및 268B), 및 측정 스폿(269A 및 269B)에서, 제각기, 검출기(235)에 입사하는 0차 빔, +/-1차 빔, 및 +/-2차 빔 등으로 다층 구조물(263)에 의해 회절된다. 도 8에 묘사된 바와 같이, 다층 구조물(262 및 263)의 층은 입사 X-선 빔(234)에 평행하게 배향된다.
추가의 양태에서, 복합 다층 타깃이 다층 타깃 구조물(262)의 층에 평행한 방향(즉, X-방향)으로 그리고 다층 타깃 구조물(263)의 층에 평행한 방향(즉, Y-방향)으로 병진이동되어 2개의 축을 따라 입사 X-선 빔을 복합 다층 타깃의 중심과 정렬시킨다.
입사 X-선 빔(234)이 X-축을 따라 다층 구조물(262)의 구조 층에 중심이 있을 때, Y-축을 따른 회절 효율이 최대이다(즉, 측정된 회절 강도가 가장 높다). 입사 X-선 빔(234)이 Y-축을 따라 다층 구조물(263)의 구조 층에 중심이 있을 때, X-축을 따른 회절 효율이 최대이다(즉, 측정된 회절 강도가 가장 높다). 어느 방향으로든 완벽한 정렬로부터의 작은 병진이동은 대응하는 회절 평면을 따른 회절 효율의 급격한 저하를 야기한다. 복합 다층 구조물, 결과적으로 웨이퍼 스테이지를, 검출기(235) 상에 투영된 웨이퍼의 평면에서의 X 방향 및 Y 방향에서 입사 X-선 빔과 빠르고 정확하게 정렬시키기 위해 이러한 민감도가 이용된다.
추가의 양태에서, 복합 다층 타깃은 다층 구조물(262)의 층에 평행한 축(261)을 중심으로 회전되고 또한 다층 구조물(263)의 층에 평행한 축(253)을 중심으로 회전되어 다층 구조물(262 및 263)의 층을 입사 X-선 빔(234)과 정렬시킨다.
다층 구조물(262)의 회절 효율은 입사 X-선 빔(234)에 수직이고 구조 층에 평행한 축(261)을 중심으로 한 다층 구조물(262)의 배향에 매우 민감하다. 다층 구조물(262)의 구조 층이 입사 X-선 빔(234)과 완벽하게 평행할 때, 회절 효율은 검출기(235)에 투영된 웨이퍼의 평면에서 Y-축을 따라 최대이다. 완벽한 정렬로부터 축(253)을 중심으로 한 작은 회전은 회절 효율의 급격한 감소를 야기한다. 다층 구조물(262), 결과적으로 웨이퍼 스테이지를, 검출기(235) 상에 투영된 웨이퍼의 평면에서의 X-방향과 정렬된 회전축을 중심으로 입사 X-선 빔과 빠르고 정확하게 정렬시키기 위해 이러한 민감도가 이용된다. 유사하게, 다층 구조물(263)의 회절 효율은 입사 X-선 빔(234)에 수직이고 구조 층에 평행한 축(253)을 중심으로 한 다층 구조물(263)의 배향에 매우 민감하다. 다층 구조물(263)의 구조 층이 입사 X-선 빔(234)과 완벽하게 평행할 때, 회절 효율은 검출기(235)에 투영된 웨이퍼의 평면에서 X-축을 따라 최대이다. 완벽한 정렬로부터 축(253)을 중심으로 한 작은 회전은 회절 효율의 급격한 감소를 야기한다. 다층 구조물(263), 결과적으로 웨이퍼 스테이지를, 검출기(235) 상에 투영된 웨이퍼의 평면에서의 Y-방향과 정렬된 회전축을 중심으로 입사 X-선 빔과 빠르고 정확하게 정렬시키기 위해 이러한 민감도가 이용된다. 이러한 방식으로, 웨이퍼의 평면에서 X 회전축 및 Y 회전축을 중심으로 한 제로 입사각이 교정된다.
도 9는 도 8에 묘사된 복합 다층 타깃의 단부도의 예시(275)를 묘사한다. 도 9에 묘사된 바와 같이, 복합 다층 타깃은 서로 수직으로 배향된 2개의 다층 구조물 세트를 포함한다. 하나의 다층 구조물 세트는 X-방향과 정렬되고, 다른 다층 구조물 세트는 Y-방향과 정렬된다. 2개의 직교 격자로 구성된 반도체 타깃의 측정을 위해 X-선 기반 산란측정법 시스템을 준비시킬 때 도 8 및 도 9에 묘사된 복합 다층 타깃이 특히 적합하다.
도 10은 입사 X-선 빔과 정렬된 방향을 따라 서로 인접하게 배치된 3개의 다층 타깃 세트를 포함하는 다른 복합 다층 타깃의 단부도의 예시(276)를 묘사한다. 게다가, 다층 타깃 구조물 각각은 서로에 대해 60도로 배향되고, 이는 6겹(6-fold) 대칭 회절 이미지를 생성한다. VNAND 채널-홀 에칭 구조물을 포함하는 반도체 타깃의 측정을 위해 X-선 기반 산란측정법 시스템을 준비시킬 때 도 10에 묘사된 복합 다층 타깃이 특히 적합하다.
일반적으로, 동일하거나 상이한 크기, 동일하거나 상이한 주기, 또는 둘 모두의 2개 이상의 별개의 다층 타깃 구조물이 입사 X-선 빔과 정렬된 방향을 따라 서로 인접하게 배열될 수 있다.
도 11은 복합 다층 타깃에 입사하는 X-선 빔(234)을 묘사한다. 복합 다층 타깃은 기판(279)에 장착된 다층 구조물(277) 및 기판(279)에 또한 장착된 다층 구조물(278)을 포함한다. 다층 구조물(277 및 278)은 입사 X-선 빔(234)에 수직인 공통 평면에서 기판(279)에 장착된다. 다층 구조물(277 및 278)의 층은 검출기(235)에 투영된 웨이퍼 평면의 X-축과 평행하게 배향되고, 입사 X-선 빔(234)에 평행하게 배향된다. 추가적으로, 다층 구조물(277 및 278)은 거리(G)만큼 서로 분리되어 있다. 입사 X-선 빔(234)은 측정 스폿(282), 측정 스폿(283A 및 283B), 및 측정 스폿(284A 및 284B)에서, 제각기, 검출기(235)에 입사하는 0차 빔, +/-1차 빔, 및 +/-2차 빔 등으로 다층 구조물(277 및 278)에 의해 회절된다.
다른 양태에서, 복합 다층 타깃은 다층 구조물(277 및 278)의 층에 평행한 축을 따라 병진이동되어 다층 구조물(277 및 278) 사이의 갭을 입사 X-선 빔(234)과 정렬시킨다. 측정된 회절은 다층 구조물(277 및 278) 사이의 갭과 동일한 크기의 측정 박스 내의 광자 플럭스, 측정 박스 외부의 광자 누출, 및 입사 X-선 빔(234)에 대한 측정 박스의 정렬을 나타낸다.
다층 구조물(277 및 278) 사이의 갭을 통과하는 입사 X-선 빔(234)의 부분은 검출기(235)에서의 비-제로 차수의 회절 신호에 기여하지 않는다. 따라서, 측정된 0차 플럭스는 갭을 통과하는 광자 플럭스를 나타낸다. 다층 구조물(277 및 278)을 통과하는 입사 X-선 빔(234)의 부분은 회절되고 검출기(235)에서의 비-제로 차수의 회절 신호에 기여한다. 검출기(235) 상의 전체적인 회절된 신호(즉, 비-제로 차수의 신호)의 측정은 갭 외부의 전체적인 광자 오염(즉, 광자 손실) 정도를 나타낸다.
도 12는 복합 다층 타깃에 입사하는 X-선 빔(234)을 묘사한다. 복합 다층 타깃은 기판(288)에 장착된 다층 구조물(285) 및 기판(288)에 또한 장착된 다층 구조물(286)을 포함한다. 다층 구조물(285 및 286)은 입사 X-선 빔(234)에 수직인 공통 평면에서 기판(288)에 장착된다. 다층 구조물(285 및 286)의 층은 검출기(235)에 투영된 웨이퍼 평면의 Y-축과 평행하게 배향되고, 입사 X-선 빔(234)에 평행하게 배향된다. 추가적으로, 다층 구조물(285 및 286)은 Y-방향에서 거리(G)만큼 서로 분리되어 있다. 입사 X-선 빔(234)은 측정 스폿(290), 측정 스폿(291A 및 291B), 및 측정 스폿(292A 및 292B)에서, 제각기, 검출기(235)에 입사하는 0차 빔, +/-1차 빔, 및 +/-2차 빔으로 다층 구조물(285 및 286)에 의해 회절된다.
다층 구조물(285 및 286) 사이의 갭을 통과하는 입사 X-선 빔(234)의 부분은 검출기(235)에서의 비-제로 차수의 회절 신호에 기여하지 않는다. 따라서, 측정된 0차 플럭스는 갭을 통과하는 광자 플럭스를 나타낸다. 다층 구조물(285 및 286)을 통과하는 입사 X-선 빔(234)의 부분은 회절되고 검출기(235)에서의 비-제로 차수의 회절 신호에 기여한다. 검출기(235) 상의 전체적인 회절된 신호(즉, 비-제로 차수의 신호)의 측정은 갭 외부의 전체적인 광자 오염(즉, 광자 손실) 정도를 나타낸다. 회절된 신호의 비대칭성은 Y-방향에서의 입사 빔(234)에 대한 복합 다층 타깃의 정렬을 나타낸다.
도 13은 복합 다층 타깃에 입사하는 X-선 빔(234)을 묘사한다. 도 13에 묘사된 복합 다층 타깃은 입사 X-선 빔과 정렬된 방향으로 도 11에 묘사된 복합 다층 타깃과 도 12에 묘사된 복합 다층 타깃을 조합한 것이다. 복합 다층 타깃은 기판(293)에 장착된 다층 구조물(294) 및 기판(293)에 또한 장착된 다층 구조물(295)을 포함한다. 다층 구조물(294 및 295)은 입사 X-선 빔(234)에 수직인 공통 평면에서 기판(293)에 장착된다. 다층 구조물(294 및 295)의 층은 검출기(235)에 투영된 웨이퍼 평면의 Y-축과 평행하게 배향되고, 입사 X-선 빔(234)에 평행하게 배향된다. 추가적으로, 다층 구조물(294 및 295)은 Y-방향에서 거리(G)만큼 서로 분리되어 있다. 입사 X-선 빔(234)은 측정 스폿(300), 측정 스폿(303A 및 303B), 및 측정 스폿(304A 및 304B)에서, 제각기, 검출기(235)에 입사하는 0차 빔, +/-1차 빔, 및 +/-2차 빔으로 다층 구조물(294 및 295)에 의해 회절된다.
추가적으로, 복합 다층 타깃은 다층 구조물(294 및 295)에 장착된 다층 구조물(296 및 297)을 포함한다. 다층 구조물(296 및 297)은 입사 X-선 빔(234)에 수직인 공통 평면에서 다층 구조물(294 및 295)에 장착된다. 다층 구조물(296 및 297)의 층은 검출기(235)에 투영된 웨이퍼 평면의 X-축과 평행하게 배향되고, 입사 X-선 빔(234)에 평행하게 배향된다. 추가적으로, 다층 구조물(296 및 297)은 X-방향에서 거리(G)만큼 서로 분리되어 있다. 입사 X-선 빔(234)은 측정 스폿(300), 측정 스폿(301A 및 301B), 및 측정 스폿(302A 및 302B)에서, 제각기, 검출기(235)에 입사하는 0차 빔, +/-1차 빔, 및 +/-2차 빔으로 다층 구조물(296 및 297)에 의해 회절된다.
다층 구조물(294 내지 297) 사이의 갭을 통과하는 입사 X-선 빔(234)의 부분은 검출기(235)에서의 비-제로 차수의 회절 신호에 기여하지 않는다. 따라서, 측정된 0차 플럭스는 갭을 통과하는 광자 플럭스를 나타낸다. 다층 구조물(294 내지 297)을 통과하는 입사 X-선 빔(234)의 부분은 회절되고 검출기(235)에서의 비-제로 차수의 회절 신호에 기여한다. 검출기(235) 상의 전체적인 회절된 신호(즉, 비-제로 차수의 신호)의 측정은 갭 외부의 전체적인 광자 오염(즉, 광자 손실) 정도를 나타낸다. X-방향, Y-방향, 또는 둘 모두에서 가능한 광자 오염을 최소화하기 위해 정렬이 수행된다.
일반적으로, 갭 크기는 특정 측정 시스템 구성에 대해 얼마만큼의 광자 오염이 예상되는지에 대한 통찰력을 수집하기 위해 원하는 측정 박스 크기로 설정된다. 일 예에서, 빔 성형 슬릿 위치는 광자 오염을 미리 결정된 레벨 아래로 유지하면서 광자 플럭스를 최대화하도록 최적화된다.
일반적으로, 상이한 주기, 배향, 배열, 또는 이들의 조합의 다층 구조물로부터 복합 다층 타깃을 제조하는 것은 광자 오염에 대한 입사 빔의 상이한 부분(예를 들면, 좌측, 우측, 상부, 하부)의 기여분의 측정을 가능하게 한다. 도 13에 묘사된 복합 다층 타깃은 (예를 들면, 빔 성형 슬릿의 정렬 및 크기를 최적화하는 것에 의한) 최소 광자 오염으로 X 방향과 Y 방향에서의 입사 X-선 빔에 대한 타깃의 정렬을, 동시에, 가능하게 한다. 게다가, 전체적인 광자 오염의 레벨은 동일한 측정으로 정량화된다.
일반적으로, 많은 다른 복합 다층 타깃이 고려될 수 있다. 도 14는 측정될 반도체 타깃의 측정 박스 크기와 동일한 빈 직사각형 영역을 둘러싸는 8개의 다층 구조물을 포함하는 복합 다층 타깃(305)의 단부도를 묘사한다. 이 타깃은 타깃을 입사 X-선 빔에 정렬시키고 박스 크기 주변의 전체적인 광자 오염을 측정하는 데 이용될 수 있다. 그러한 타깃은 동일하거나 상이한 주기, 서로에 대해 동일하거나 상이한 배향, 또는 이들의 조합을 갖는 다층 구조물로부터 제조될 수 있다.
도 15는 측정될 반도체 타깃의 측정 박스 크기와 동일한 빈 직사각형 영역을 둘러싸는 8개의 다층 구조물을 포함하는 복합 다층 타깃(306)의 단부도를 묘사한다. 이 타깃은 박스 크기 주변의 전체적인 광자 오염을 최소화하고 입사 X-선 빔의 수평 부분, 수직 부분, 상부 부분, 및 하부 부분에서의 광자 오염에 대한 기여를 개별적으로 해결하기 위해 타깃을 입사 X-선 빔과 정렬시키는 데 이용될 수 있다.
도 16은 12개의 다층 구조물을 포함하는 복합 다층 타깃(307)의 단부도를 묘사한다. 각각의 다층 구조물은 고유한 각도 배열과 주기를 갖는다. 이것은 박스 크기 주변에서의 가장 작은 달성 가능한 광자 오염으로 타깃과 X-선 빔의 최적의 정렬을 가능하게 한다. 추가적으로, 복합 다층 타깃(307)은 광자 오염에 대한 입사 빔의 각각의 부분의 기여분의 동시적인 측정을 가능하게 하는데, 그 이유는 각각의 다층 구조물이 고유한 각도 배열과 주기를 가지며, 따라서 방사선을 방사선이 개별적으로 분해될 수 있는 검출기의 상이한 부분으로 회절시키기 때문이다.
도 17은 적어도 하나의 신규 양태에서 시편의 특성을 측정하기 위한 T-SAXS 계측 툴(100)의 일 실시예를 예시한다. 도 17에 도시된 바와 같이, 시스템(100)은 조명 빔 스폿에 의해 조명되는 시편(101)의 검사 영역(102)에 걸쳐 T-SAXS 측정을 수행하는 데 사용될 수 있다.
묘사된 실시예에서, 계측 툴(100)은 x-선 조명 소스(110), 집속 광학장치(111), 빔 발산 제어 슬릿(112), 중간 슬릿(113), 및 빔 성형 슬릿 메커니즘(120)을 포함하는 x-선 조명 서브시스템(125)을 포함한다. x-선 조명 소스(110)는 T-SAXS 측정에 적합한 X-선 방사선을 생성하도록 구성된다. 일부 실시예에서, X-선 조명 소스(110)는 0.01 나노미터 내지 1 나노미터의 파장을 생성하도록 구성된다. 일반적으로, 고처리량 인라인 계측(high-throughput, inline metrology)을 가능하게 하기에 충분한 플럭스 레벨로 고휘도 x-선을 생성할 수 있는 임의의 적합한 고휘도 X-선 조명 소스가 T-SAXS 측정을 위한 X-선 조명을 공급하기 위해 고려될 수 있다. 일부 실시예에서, X-선 소스는 튜닝 가능한 모노크로메이터(monochromator)를 포함하고, 이 모노크로메이터는 X-선 소스가 상이한 선택 가능한 파장의 X-선 방사선을 전달할 수 있게 한다.
일부 실시예에서, X-선 소스가 전체 디바이스는 물론 웨이퍼 기판을 통한 충분한 투과를 가능하게 하는 파장의 광을 공급하도록 보장하기 위해 15keV보다 큰 광자 에너지를 갖는 방사선을 방출하는 하나 이상의 X-선 소스가 이용된다. 비제한적인 예로서, 입자 가속기 소스, 액체 애노드 소스, 회전 애노드 소스, 고정식 고체 애노드 소스, 마이크로포커스 소스, 마이크로포커스 회전 애노드 소스, 플라스마 기반 소스, 및 역 콤프턴 소스 중 임의의 것이 X-선 조명 소스(110)로서 이용될 수 있다. 일 예에서, 미국 캘리포니아주 팔로 알토 소재의 Lyncean Technologies, Inc.로부터 입수 가능한 역 콤프턴 소스가 고려될 수 있다. 역 콤프턴 소스는 일정 광자 에너지 범위에 걸쳐 X-선을 생성할 수 있고, 이에 의해 X-선 소스가 상이한 선택 가능한 파장의 X-선 방사선을 전달할 수 있게 하는 추가 장점을 갖는다.
예시적인 X-선 소스는 고체 또는 액체 타깃에 충격을 가하여 X-선 방사선을 자극하도록 구성된 전자 빔 소스를 포함한다. 고 휘도 액체 금속 X-선 조명을 생성하기 위한 방법 및 시스템은 2011년 4월 19일자로 KLA-Tencor Corp.에게 발행된 미국 특허 제7,929,667호에 설명되어 있으며, 이 미국 특허 전체는 참조에 의해 본 명세서에 포함된다.
X-선 조명 소스(110)는 유한한 측면 치수(즉, 빔 축에 직교하는 비-제로 치수)를 가지는 소스 영역에 걸쳐 X-선 방출을 생성한다. 집속 광학장치(111)는 소스 방사선을 시편(101) 상에 위치된 계측 타깃 상에 집속시킨다. 유한한 측면 소스 치수는 소스의 에지로부터 오는 광선(117)에 의해 정의되는 타깃 상의 유한한 스폿 크기(102)를 결과한다. 일부 실시예에서, 집속 광학장치(111)는 타원 형상의 집속 광학 요소를 포함한다.
빔 발산 제어 슬릿(112)은 집속 광학장치(111)와 빔 성형 슬릿 메커니즘(120) 사이의 빔 경로에 위치된다. 빔 발산 제어 슬릿(112)은 측정 중인 시편에 제공되는 조명의 발산을 제한한다. 추가적인 중간 슬릿(113)은 빔 발산 제어 슬릿(112)과 빔 성형 슬릿 메커니즘(120) 사이의 빔 경로에 위치된다. 중간 슬릿(113)은 추가적인 빔 성형을 제공한다. 그렇지만, 일반적으로, 중간 슬릿(113)은 임의적이다. 예시적인 빔 성형 슬릿 메커니즘에 대한 추가 설명은 미국 특허 공보 제2017/0307548호에서 제공되며, 이 미국 특허 공보의 주제는 참조에 의해 그 전체가 본 명세서에 포함된다.
빔 성형 슬릿 메커니즘(120)는 빔 경로에서 시편(101) 직전에 위치된다. 일 양태에서, 빔 성형 슬릿 메커니즘(120)의 블레이드는 유한한 소스 크기에 의해 정의되는 빔 발산으로 인한 입사 빔 스폿 크기의 확대를 최소화하기 위해 시편(101)에 가깝게 근접하여 위치된다. 일 예에서, 유한한 소스 크기로 인해 빔 성형 슬릿(120)에 의해 생성되는 기하학적 섀도(shadow)로 인한 빔 스폿 크기의 확장은 10 마이크로미터 X-선 소스 크기 및 빔 성형 슬릿과 시편(101) 사이의 25 밀리미터의 거리에 대해 대략 1 마이크로미터이다.
일부 실시예에서, 빔 성형 슬릿 메커니즘(120)은 다수의 독립적으로 작동되는 빔 성형 슬릿을 포함한다. 일 실시예에서, 빔 성형 슬릿 메커니즘(120)은 4개의 독립적으로 작동되는 빔 성형 슬릿을 포함한다. 이 4개의 빔 성형 슬릿은 들어오는 빔(incoming beam)(115)의 일 부분을 효과적으로 차단하고 박스 형상의 조명 단면을 가지는 조명 빔(116)을 생성한다.
도 18 및 도 19는 2개의 상이한 구성으로 된 도 17에 묘사된 빔 성형 슬릿 메커니즘(120)의 단부도를 묘사한다. 도 18 및 도 19에 예시된 바와 같이, 빔 축은 도면 지면에 수직이다. 도 18에 묘사된 바와 같이, 들어오는 빔(115)은 큰 단면을 갖는다. 일부 실시예에서, 들어오는 빔(115)은 대략 1 밀리미터의 직경을 갖는다. 게다가, 빔 성형 슬릿(126 내지 129) 내에서의 들어오는 빔(115)의 위치는 빔 포인팅 에러(beam pointing error)로 인해 대략 3 밀리미터의 불확실성을 가질 수 있다. 들어오는 빔의 크기 및 빔 위치의 불확실성을 수용하기 위해, 각각의 슬릿은 대략 6 밀리미터의 길이(L)를 갖는다. 도 18에 묘사된 바와 같이, 각각의 슬릿은 빔 축에 수직인 방향으로 이동 가능하다. 도 18의 예시에서, 슬릿(126 내지 129)은 빔 축으로부터 최대 거리에 위치된다(즉, 슬릿은 완전히 개방되어 있으며 빔 성형 슬릿 메커니즘(120)을 통과하는 광을 제한하지 않는다).
도 19는, 측정 중인 시편에 전달되는 나가는 빔(outgoing beam)(116)이 감소된 크기 및 잘 정의된 형상을 갖도록, 들어오는 빔(115)의 일 부분을 차단하는 위치에 있는 빔 성형 슬릿 메커니즘(120)의 슬릿(126 내지 129)을 묘사한다. 도 19에 묘사된 바와 같이, 슬릿(126 내지 129) 각각은 원하는 출력 빔 형상을 달성하기 위해 빔 축을 향해 안쪽으로 이동되었다.
슬릿(126 내지 129)은 산란을 최소화하고 입사 방사선을 효과적으로 차단하는 물질로 구성된다. 예시적인 물질은 게르마늄, 갈륨 비화물, 인듐 인화물 등과 같은 단결정 물질(single crystal material)을 포함한다. 전형적으로, 슬릿 물질은 구조 경계에서의 산란을 최소화하기 위해, 소잉(sawing)되기보다는, 결정학적 방향을 따라 클리빙(cleaving)된다. 추가적으로, 들어오는 방사선과 슬릿 물질의 내부 구조 사이의 상호작용이 최소 양의 산란을 야기하도록 슬릿이 들어오는 빔에 대해 배향된다. 결정은 슬릿의 한쪽 측면에서의 X-선 빔의 완전한 차단을 위해 고밀도 물질(예를 들어, 텅스텐)로 제조된 각각의 슬릿 홀더에 부착된다. 일부 실시예에서, 각각의 슬릿은 대략 0.5 밀리미터의 폭 및 대략 1 내지 2 밀리미터의 높이를 갖는 직사각형 단면을 갖는다. 도 18에 묘사된 바와 같이, 슬릿의 길이(L)는 대략 6 밀리미터이다.
일반적으로, X-선 광학장치는 X-선 방사선을 성형하여 시편(101) 쪽으로 지향시킨다. 일부 예에서, X-선 광학장치는 시편(101)에 입사하는 X-선 빔을 단색화(monochromatize)하기 위한 X-선 모노크로메이터를 포함한다. 일부 예에서, X-선 광학장치는 다층 X-선 광학장치를 사용하여 1 밀리라디안 미만의 발산으로 시편(101)의 측정 영역(102) 상으로 X-선 빔을 콜리메이팅 또는 집속시킨다. 이러한 예에서, 다층 X-선 광학장치는 빔 모노크로메이터로서도 기능한다. 일부 실시예에서, X-선 광학장치는 하나 이상의 X-선 콜리메이팅 미러, X-선 애퍼처(X-ray aperture), X-선 빔 스톱(X-ray beam stop), 굴절 X-선 광학장치, 존 플레이트(zone plate)와 같은 회절 광학장치, 몬텔 광학장치(Montel optics), 스침 입사 타원체 미러(grazing incidence ellipsoidal mirror)와 같은 거울반사 X-선 광학장치(specular X-ray optics), 중공 모세관 X-선 도파관(hollow capillary x-ray waveguide)과 같은 다중모세관 광학장치(polycapillary optics), 다층 광학장치 또는 시스템, 또는 이들의 임의의 조합을 포함한다. 추가 세부 사항은 미국 특허 공보 제2015/0110249호에 설명되어 있으며, 이 미국 특허 공보의 내용은 참조에 의해 그 전체가 본 명세서에 포함된다.
X-선 검출기(119)는 시편(101)으로부터 산란되는 X-선 방사선(114)을 수집하고 T-SAXS 측정 모달리티(measurement modality)에 따라 입사 X-선 방사선에 민감한 시편(101)의 속성을 나타내는 출력 신호들(135)을 생성한다. 일부 실시예에서, 시편 위치결정 시스템(140)이 각도 분해된(angularly resolved) 산란된 X-선을 생성하도록 시편(101)을 위치시키고 배향시키는 동안, 산란된 X-선(114)이 X-선 검출기(119)에 의해 수집된다.
일부 실시예에서, T-SAXS 시스템은 높은 다이내믹 레인지(예를 들면, 105 초과)를 갖는 하나 이상의 광자 계수 검출기를 포함한다. 일부 실시예에서, 단일 광자 계수 검출기는 검출된 광자의 위치 및 수를 검출한다.
일부 실시예에서, X-선 검출기는 하나 이상의 X-선 광자 에너지를 분해하고 시편의 속성을 나타내는 각각의 X-선 에너지 성분에 대한 신호를 생성한다. 일부 실시예에서, X-선 검출기(119)는 CCD 어레이, 마이크로채널 플레이트, 포토다이오드 어레이, 마이크로스트립 비례 계수기(microstrip proportional counter), 가스 충전 비례 계수기(gas filled proportional counter), 신틸레이터, 또는 형광 물질 중 임의의 것을 포함한다.
이러한 방식으로, 검출기 내에서의 X-선 광자 상호작용은 픽셀 위치 및 카운트 수 외에도 에너지에 의해 구별된다. 일부 실시예에서, X-선 광자 상호작용은 X-선 광자 상호작용의 에너지를 미리 결정된 상한 임계 값 및 미리 결정된 하한 임계 값과 비교함으로써 구별된다. 일 실시예에서, 이 정보는 추가 프로세싱 및 저장을 위해 출력 신호(135)를 통해 컴퓨팅 시스템(130)에 전달된다.
일부 실시예에서, x-선 조명 소스(110), 집속 광학장치(111), 슬릿(112 및 113), 또는 이들의 임의의 조합은 시편(101)과 동일한 대기 환경(예를 들면, 가스 퍼지 환경(gas purge environment))에서 유지된다. 그렇지만, 일부 실시예에서, 이러한 요소들 중 임의의 것 사이의 그리고 이러한 요소들 중 임의의 것 내에서의 광학 경로 길이는 길고, 공기 중에서의 x-선 산란은 검출기 상의 이미지에 노이즈를 제공한다. 따라서 일부 실시예에서, x-선 조명 소스(110), 집속 광학장치(111), 및 슬릿(112 및 113) 중 임의의 것은 국소화된 진공 환경에서 유지된다. 도 1에 묘사된 실시예에서, 집속 광학장치(111), 슬릿(112 및 113), 및 빔 성형 슬릿 메커니즘(120)은 배기된 비행 튜브(evacuated flight tube)(118) 내의 제어된 환경(예를 들면, 진공)에서 유지된다. 조명 빔(116)은 시편(101)에의 입사 이전에 비행 튜브(118)의 끝에 있는 창(121)을 통과한다. 유사하게, 일부 실시예에서, 시편(101)과 검출기(119) 사이의 광학 경로 길이(즉, 수집 빔 경로)는 길고, 공기 중에서의 x-선 산란은 검출기 상의 이미지에 노이즈를 제공한다. 따라서, 바람직한 실시예에서, 시편(101)과 검출기(119) 사이의 수집 빔 경로 길이의 상당 부분은 진공 창(예를 들면, 진공 창(124))에 의해 시편(예를 들면, 시편(101))으로부터 분리된 국소화된 진공 환경에서 유지된다. 일부 실시예에서, x-선 검출기(119)는 시편(101)과 검출기(119) 사이의 빔 경로 길이와 동일한 국소화된 진공 환경에서 유지된다. 예를 들어, 도 17에 묘사된 바와 같이, 진공 챔버(123)는 검출기(119) 및 시편(101)과 검출기(119) 사이의 빔 경로 길이의 상당 부분을 둘러싸는 국소화된 진공 환경을 유지한다.
추가의 양태에서, T-SAXS 시스템은 산란된 광의 하나 이상의 회절 차수에 기초하여 시편의 속성(예를 들면, 구조 파라미터 값)을 결정하는 데 이용된다. 도 17에 묘사된 바와 같이, 계측 툴(100)은 검출기(119)에 의해 생성되는 신호(135)를 취득하고 취득된 신호에 적어도 부분적으로 기초하여 시편의 속성을 결정하는 데 이용되는 컴퓨팅 시스템(130)을 포함한다.
일부 예에서, T-SAXS에 기초한 계측은 측정된 데이터를 이용하여 미리 결정된 측정 모델의 역해법(inverse solution)에 의해 샘플의 치수를 결정하는 것을 수반한다. 측정 모델은 몇 개의(10개 정도의) 조정 가능한 파라미터를 포함하고, 시편의 기하학적 형태 및 광학 속성과 측정 시스템의 광학 속성을 표현한다. 역해법(method of inverse solve)은 모델 기반 회귀, 단층 촬영, 머신 러닝, 또는 이들의 임의의 조합을 포함하지만, 이에 제한되지 않는다. 이러한 방식으로, 타깃 프로파일 파라미터는 측정된 산란된 X-선 강도와 모델링된 결과 사이의 에러를 최소화하는 파라미터화된 측정 모델의 값에 대해 푸는 것에 의해 추정된다.
측정된 파라미터 값의 정밀도 및 정확도를 증가시키기 위해 큰 입사각 및 방위각 범위에서 측정을 수행하는 것이 바람직하다. 이 접근법은 다양한 대각 평면외 배향(large-angle, out of plane orientation)을 포함하도록 분석에 이용 가능한 데이터 세트의 수 및 다양성을 확장함으로써 파라미터들 간의 상관성을 감소시킨다. 예를 들어, 법선 배향(normal orientation)에서, T-SAXS는 피처의 임계 치수를 분해할 수 있지만, 피처의 측벽 각도 및 높이에 대체로 둔감하다. 그렇지만, 넓은 범위의 평면외 각도 배향에 걸쳐 측정 데이터를 수집하는 것에 의해, 피처의 측벽 각도 및 높이가 분해될 수 있다. 다른 예에서, 큰 입사각 및 방위각 범위에서 수행되는 측정은 고 애스펙트비 구조물을 그의 전체 깊이에 걸쳐 특성 묘사하기에 충분한 분해능 및 침투 깊이를 제공한다.
웨이퍼 표면 법선에 대한 X-선 입사각의 함수인 회절된 방사선의 강도의 측정치가 수집된다. 다수의 회절 차수에 포함된 정보는 전형적으로 고려 중인 각각의 모델 파라미터 간에 고유하다. 따라서, X-선 산란은 작은 에러 및 감소된 파라미터 상관성을 갖는 관심 파라미터의 값에 대한 추정 결과를 산출한다.
반도체 웨이퍼(101)의 표면 법선에 대한 조명 X-선 빔(116)의 각각의 배향이 X-선 조명 빔(115)에 대한 웨이퍼(101)의 임의의 2개의 각도 회전에 의해 기술되거나, 그 반대도 마찬가지이다. 일 예에서, 배향은 웨이퍼에 고정된 좌표 시스템과 관련하여 기술될 수 있다. 도 20은 입사각(Θ) 및 방위각(φ)에 의해 기술되는 특정의 배향에서 웨이퍼(101)에 입사하는 X-선 조명 빔(116)을 묘사한다. 좌표 프레임 XYZ는 계측 시스템(예를 들면, 조명 빔(116))에 고정되고 좌표 프레임 X'Y'Z'은 웨이퍼(101)에 고정된다. Y 축은 웨이퍼(101)의 표면과 평면 내에(in plane) 정렬된다. X 및 Z는 웨이퍼(101)의 표면과 정렬되지 않는다. Z'은 웨이퍼(101)의 표면에 수직(normal)인 축과 정렬되고, X' 및 Y'은 웨이퍼(101)의 표면과 정렬된 평면에 있다. 도 4에 묘사된 바와 같이, X-선 조명 빔(116)은 Z-축과 정렬되며 따라서 XZ 평면 내에 놓인다. 입사각(Θ)은 XZ 평면에서의 웨이퍼의 표면 법선에 대한 X-선 조명 빔(116)의 배향을 기술한다. 게다가, 방위각(φ)은 X'Z' 평면에 대한 XZ 평면의 배향을 기술한다. Θ와 φ는, 함께, 웨이퍼(101)의 표면에 대한 X-선 조명 빔(116)의 배향을 고유하게 정의한다. 이 예에서, 웨이퍼(101)의 표면에 대한 X-선 조명 빔의 배향은 웨이퍼(101)의 표면에 수직인 축(즉, Z' 축)을 중심으로 한 회전 및 웨이퍼(101)의 표면과 정렬된 축(즉, Y 축)을 중심으로 한 회전에 의해 기술된다. 일부 다른 예에서, 웨이퍼(101)의 표면에 대한 X-선 조명 빔의 배향은 웨이퍼(101)의 표면과 정렬된 제1 축 및 웨이퍼(101)의 표면과 정렬되고 제1 축에 수직인 다른 축을 중심으로 한 회전에 의해 기술된다.
일부 실시예에서, 계측 툴(100)은 웨이퍼를 수직으로(즉, 웨이퍼 표면의 평면이 중력 벡터와 대략 정렬됨) 위치시키고 조명 빔(116)에 대해 6개의 자유도에서 시편(101)을 능동적으로 위치결정하도록 구성된 시편 위치결정 시스템(140)을 포함한다. 추가적으로, 시편 위치결정 시스템(140)은 시편(101)을 정렬시키고 조명 빔(116)에 대해 큰 입사각(예를 들면, 적어도 70도) 및 방위각(예를 들면, 적어도 190도) 범위에 걸쳐 시편(101)을 배향시키도록 구성된다. 일부 실시예에서, 시편 위치결정 시스템(140)은 시편(101)의 표면과 평면 내에 정렬된 큰 회전 각도 범위(예를 들면, 적어도 70도)에 걸쳐 시편(101)을 회전시키도록 구성된다. 이러한 방식으로, 시편(101)의 각도 분해된 측정치가 시편(101)의 표면 상의 임의의 수의 위치 및 배향에 걸쳐 계측 시스템(100)에 의해 수집된다. 일 예에서, 컴퓨팅 시스템(130)은 시편(101)의 원하는 위치를 나타내는 커맨드 신호(도시되지 않음)를 시편 위치결정 시스템(140)에 전달한다. 이에 응답하여, 시편 위치결정 시스템(140)은 시편(101)의 원하는 위치결정을 달성하기 위해 시편 위치결정 시스템(140)의 다양한 액추에이터에 대한 커맨드 신호를 생성한다.
도 21은 일 실시예에서의 시편 위치결정 시스템(140)을 묘사한다. 시편 위치결정 시스템(140)은 웨이퍼(101)를 중력 벡터(즉, 중력 벡터는 웨이퍼 표면과 대략 평면 내에 있음)에 대해 수직으로 지지하면서 6개의 자유도 전부에서 조명 빔(116)에 대한 웨이퍼(101)의 위치의 능동 제어를 제공한다. 시편 위치결정 시스템(140)은 웨이퍼(101)의 에지에서 웨이퍼(101)를 지지하여, 웨이퍼(101)를 재장착함이 없이 조명 빔(116)이 웨이퍼(101)의 활성 영역의 임의의 부분에 걸쳐 웨이퍼(101)를 통해 투과할 수 있게 한다. 웨이퍼(101)를 그의 에지에서 수직으로 지지함으로써, 웨이퍼(101)의 중력 유도 처짐(gravity induced sag)이 효과적으로 완화된다.
도 21에 묘사된 바와 같이, 시편 위치결정 시스템(140)은 베이스 프레임(base frame)(141), 측방 정렬 스테이지(142), 스테이지 기준 프레임(143), 및 스테이지 기준 프레임(143)에 장착된 웨이퍼 스테이지(144)를 포함한다. 참조를 위해, {XBF, YBF, ZBF} 좌표 프레임은 베이스 프레임(141)에 부착되고, {XNF, YNF, ZNF} 좌표 프레임은 측방 정렬 스테이지(142)에 부착되며, {XRF, YRF, ZRF} 좌표 프레임은 스테이지 기준 프레임(143)에 부착되고, {XSF, YSF, ZSF} 좌표 프레임은 웨이퍼 스테이지(144)에 부착된다. 웨이퍼(101)는 액추에이터(150A 내지 150C)를 포함하는 팁-틸트-Z 스테이지(tip-tilt-Z stage)(156)에 의해 웨이퍼 스테이지(144) 상에 지지된다. 팁-틸트-Z 스테이지(156)에 장착된 회전 스테이지(158)는 웨이퍼(101)를 조명 빔(116)에 대해 일정 방위각(φ) 범위에 걸쳐 배향시킨다. 묘사된 실시예에서, 3개의 선형 액추에이터(150A 내지 150C)가 웨이퍼 스테이지(144)에 장착되고 회전 스테이지(158)를 지지하며, 회전 스테이지(158)는, 차례로, 웨이퍼(101)를 지지한다.
액추에이터(145)는 측방 정렬 스테이지(142)를 XBF 축을 따라 베이스 프레임(141)에 대해 병진이동시킨다. 회전 액추에이터(146)는 스테이지 기준 프레임(143)을 YNF 축과 정렬된 회전축(153)을 중심으로 측방 정렬 스테이지(142)에 대해 회전시킨다. 회전 액추에이터(146)는 웨이퍼(101)를 조명 빔(116)에 대해 일정 입사각(Θ) 범위에 걸쳐 배향시킨다. 웨이퍼 스테이지 액추에이터(147 및 148)는 웨이퍼 스테이지(144)를, 제각기, XRF 축 및 YRF 축을 따라 스테이지 기준 프레임(143)에 대해 병진이동시킨다.
일부 실시예에서, 웨이퍼 스테이지(144)는 개방 애퍼처, 2-축(XY) 선형 적층 스테이지(open aperture, two-axis (XY) linear stacked stage)이다. 개방 애퍼처는 측정 빔이 전체 웨이퍼(예를 들면, 300 밀리미터 웨이퍼)의 임의의 부분을 통해 투과할 수 있게 한다. 웨이퍼 스테이지(144)는 Y-축 스테이지가 회전축(153)에 대략 평행한 방향으로 연장되도록 배열된다. 게다가, Y-축 스테이지는 중력 벡터와 대략 정렬된 방향으로 연장된다.
액추에이터(150A 내지 150C)는 회전 스테이지(158) 및 웨이퍼(101)를 ZSF 방향으로 웨이퍼 스테이지(144)에 대해 병진이동시키고, 회전 스테이지(158) 및 웨이퍼(101)를 XSF-YSF 평면과 공면(coplanar)인 축을 중심으로 웨이퍼 스테이지(144)에 대해 팁 앤 틸트(tip and tilt)시키도록 협력하여 동작한다. 회전 스테이지(158)의 액추에이터(149)는 웨이퍼(101)를 웨이퍼(101)의 표면에 수직인 축을 중심으로 회전시킨다. 추가의 양태에서, 회전 스테이지(158)의 프레임은 운동학적 장착 요소(제각기, 157A 내지 157C)를 포함하는 운동학적 장착 시스템에 의해 액추에이터(150A 내지 150C)에 결합된다. 일 예에서, 각각의 운동학적 장착 요소(157A 내지 157C)는 대응하는 액추에이터에 부착된 구(sphere) 및 회전 스테이지(158)에 부착된 V자 형상의 슬롯을 포함한다. 각각의 구는 대응하는 V자 형상의 슬롯과 2점 접촉(two point contact)을 한다. 각각의 운동학적 장착 요소는 2개의 자유도에서의 액추에이터(150A 내지 150C)에 대한 회전 스테이지(158)의 움직임(motion)을 구속(constrain)하고, 3개의 운동학적 장착 요소(157A 내지 157C)는 6개의 자유도에서의 액추에이터(150A 내지 150C)에 대한 회전 스테이지(158)의 움직임을 구속한다. 구가 대응하는 V자 형상의 슬롯과 언제나 접촉한 채로 있도록 보장하기 위해 각각의 운동학적 결합 요소가 프리로딩(preload)된다. 일부 실시예에서, 프리로딩은 중력, 기계적 스프링 메커니즘, 또는 이들의 조합에 의해 제공된다.
다른 추가의 양태에서, 회전 스테이지(158)는 개방 애퍼처, 회전 스테이지(open aperture, rotary stage)이다. 개방 애퍼처는 측정 빔이 전체 웨이퍼(예를 들면, 300 밀리미터 웨이퍼)의 임의의 부분을 통해 투과할 수 있게 한다. 회전 스테이지(158)는 그의 회전축이 회전축(153)에 대략 수직이도록 배열된다. 또한, 회전 스테이지(158)의 회전축은 중력 벡터에 대략 수직이다. 웨이퍼(101)는 에지 그리퍼(edge gripper)를 통해 회전 스테이지(158)에 고정되어 최소한의 에지 배제(edge exclusion)를 갖는 전체 웨이퍼 커버리지(full wafer coverage)를 제공한다.
요약하면, 조명 빔(116)이 웨이퍼(101)의 표면 상의 임의의 위치(즉, XRF 방향 및 YRF 방향에서 적어도 300 밀리미터 범위)에 입사할 수 있도록, 시편 위치결정 시스템(140)은 조명 빔(116)에 대해 6개의 자유도에서의 웨이퍼(101)의 위치를 능동적으로 제어할 수 있다. 조명 빔(116)이 (예를 들면, 2도보다 큰) 큰 입사각 범위 중 임의의 것에서 웨이퍼(101)의 표면에 입사할 수 있도록, 회전 액추에이터(146)는 스테이지 기준 프레임(143)을 조명 빔(116)에 대해 회전시킬 수 있다. 일 실시예에서, 회전 액추에이터(146)는 적어도 60도의 범위에 걸쳐 스테이지 기준 프레임(143)을 회전시키도록 구성된다. 조명 빔(116)이 큰 방위각 범위(예를 들면, 적어도 90도 회전 범위) 중 임의의 것으로 웨이퍼(101)의 표면에 입사할 수 있도록, 웨이퍼 스테이지(144)에 장착된 회전 액추에이터(149)는 웨이퍼(101)를 조명 빔(116)에 대해 회전시킬 수 있다. 일부 실시예에서, 방위각 범위는 적어도 190도 회전 범위이다.
일부 다른 실시예에서, 측방 정렬 스테이지(142)가 제거되고 스테이지 기준 프레임(143)이 회전 액추에이터(146)에 의해 베이스 프레임(141)에 대해 회전된다. 이러한 실시예에서, X-선 조명 시스템은 X-선 조명 빔(116)이 베이스 프레임(141)에 대해, 예를 들어, XBF 방향으로 이동하게 하는 X-선 조명 시스템의 하나 이상의 광학 요소를 이동시키는 하나 이상의 액추에이터를 포함한다. 이러한 실시예에서, 본 명세서에서 설명된 바와 같은 교정을 위한 스테이지 기준 프레임(143)의 이동은 X-선 조명 빔을, 예를 들어, 회전축(153)에 대해 원하는 위치로 이동시키는 X-선 조명 시스템의 하나 이상의 광학 요소의 이동으로 대체된다. 도 17 및 도 24에 묘사된 실시예에서, 컴퓨팅 시스템(130)은 X-선 조명 서브시스템(125)의 하나 이상의 요소를 이동시킴으로써 원하는 빔 방향을 달성하기 위해 베이스 프레임(141)에 대해 X-선 방출을 재지향시키도록 커맨드 신호(138)를 액추에이터 서브시스템(111')에 전달한다. 묘사된 실시예에서, 액추에이터 서브시스템(111')은 집속 광학장치(111)를 이동시켜 베이스 프레임(141)에 대해 X-선 방출을 재지향시키고, 따라서 회전축(153)에 대해 X-선 방출을 위치변경(relocate)한다.
일반적으로, 시편 위치결정 시스템은 6개의 자유도에서의 반도체 웨이퍼의 자동 위치결정을 제공한다. 추가적으로, 시편 위치결정 시스템은 웨이퍼 취급 로봇과 협력하여 수직 위치로 웨이퍼를 효과적으로 로딩 및 언로딩하기 위해 회전 스테이지 상에 에지 그리핑(edge gripping) 특징부 및 액추에이터를 포함한다.
일부 실시예에서, 시편 위치결정 시스템에 대한 웨이퍼의 배면의 거리를 측정하기 위해 3개의 센서가 시편 위치결정 시스템 상에 배치된다. 이러한 방식으로, 웨이퍼 보우(wafer bow)가 측정되고 팁-틸트-Z 스테이지를 사용한 웨이퍼의 이동에 의해 보상된다.
다른 양태에서, SAXS 계측 시스템은 적어도 하나의 고 회절 효율 다층 타깃을 이용하여 시편 위치결정 시스템에 대해 X-선 조명 빔을 위치시킨다. 다층 타깃은 적어도 하나의 마커 및 적어도 하나의 다층 구조물을 포함한다. 시편 위치결정 시스템의 좌표로 마커를 위치시키기 위해 정렬 카메라가 이용된다. 다층 구조물에 대한 마커의 위치는 (예를 들면, 200 나노미터 미만의 정확도로) 사전에 알려져 있다. 따라서, 시편 위치결정 시스템의 좌표로 된 다층 구조물의 위치는 간단한 좌표 변환에 의해 쉽게 결정된다. 투과된 플럭스의 검출된 강도가 측정되면서 다층 구조물이 조명 빔을 가로질러 스캐닝된다. 조명 빔의 중심은 위에서 설명된 바와 같이 측정된 강도에 기초하여 다층 구조물에 대해 정확하게 위치된다. 다층 구조물의 위치가 시편 위치결정 시스템의 좌표로 알려져 있기 때문에, 시편 위치결정 시스템의 좌표로 된 조명 빔의 중심의 위치가 간단한 좌표 변환에 의해 정확하게 위치확인된다.
일부 예에서, 다층 타깃은 시편 위치결정 시스템에 대한 조명 빔의 입사 위치(location of incidence)를 교정하는 데 이용된다. 일부 다른 예에서, 다층 타깃은 조명 빔의 입사 지점(point of incidence)에서의 조명 빔에 대한 스테이지 기준 프레임의 회전축을 웨이퍼와 정렬시키는 데 이용된다.
도 23은 일 실시예에서의 다층 타깃(152)을 묘사한다. 도 23에 묘사된 실시예에서, 다층 타깃(152)은 다층 구조물(152A) 및 마커(151)를 포함한다. 일부 실시예에서, 다층 타깃(152)은 시편 위치결정 시스템(140)과 같은 시편 위치결정 시스템에 장착된다. 이러한 실시예에서, 다층 타깃(152)은, 교정 웨이퍼가 아니라, 시편 위치결정 시스템(140)에 장착된다. 일부 다른 실시예에서, 다층 타깃(152)은 하나 이상의 다층 타깃을 포함하는 특수 교정 웨이퍼에 장착되거나 그의 일체 부분으로서 제조될 수 있다. 다층 타깃(152)은 시편 위치결정 시스템에 장착된 광학 현미경에 의해 판독 가능한 하나 이상의 마커(예를 들면, 마커(151))를 또한 포함한다. 다층 구조물(152A)에 대한 마커(151)의 위치는 정확하게 알려져 있다. 이러한 방식으로, 다층 구조물(152A)의 위치는 마커(151)의 위치로부터 간단한 좌표 변환에 의해 결정된다.
도 23에 묘사된 실시예에서, 다층 구조물(152A)의 중심이 웨이퍼(101)의 표면과 대략 공면이도록 다층 타깃(152)이 회전 스테이지(158)의 프레임에 장착된다. 도 23에 묘사된 바와 같이, 다층 구조물(152A)의 중심은 YNF 축 및 XRF 축과 대략 평행하게 정렬된다.
시편 위치결정 시스템(140)은 스테이지 기준 프레임(143)에 장착된 정렬 카메라(154)를 또한 포함한다. 묘사된 실시예에서, 정렬 카메라는 스테이지 기준 프레임에 장착되고, 따라서 스테이지 기준 프레임과 함께 회전한다. 정렬 카메라(154)는, 웨이퍼(101)와 같은, 그의 시야 내의 객체의 고분해능 이미지를 생성하도록 구성된다. 일부 실시예에서, 정렬 카메라(154)는 측정된 거리만큼 카메라의 초점(focal point)을 정확하게 이동시킴으로써 선명한 이미지 초점을 유지하는 자동 초점(auto-focus) 메커니즘을 또한 포함한다. 이러한 실시예 중 일부에서, 정렬 카메라(154)는 카메라의 초점의 z-변위를 모니터링함으로써 카메라 본체가 장착된 스테이지 기준 프레임과 카메라에 의해 이미징되는 웨이퍼(101) 또는 마커(151) 사이의 상대 거리를 측정하는 데 사용될 수 있다.
일부 다른 실시예에서, 정렬 카메라는 측방 정렬 스테이지(142)에 장착된다. 이러한 실시예 중 일부에서, 정렬 카메라는 정렬 카메라의 시야 내의 마커(151) 또는 웨이퍼(101)에 장착된 광학 마커의 위치를 모니터링함으로써 카메라 본체가 장착된 {XNF, YNF, ZNF} 좌표 프레임과 카메라에 의해 이미징되는 웨이퍼(101) 또는 마커(151) 사이의 상대 거리를 측정하는 데 사용된다.
하나의 추가 양태에서, 웨이퍼의 표면의 평면에서의 하나의 차원에서의 조명 빔의 정확한 입사 위치는 조명 빔과 다층 타깃의 상호작용에 기초하여 결정된다.
도 23은 조명 빔(116)이 다층 타깃(152)의 다층 구조물(152A)에 입사하는 위치로 웨이퍼 스테이지가 이동된 상태에서의 시편 위치결정 시스템(140)을 예시하는 다이어그램이다. 다층 구조물(152A)에 대한 조명 빔의 정확한 입사 위치는 조명 빔(116)(즉, 베이스 프레임(141))에 대한 다층 구조물(152A)의 X 위치의 함수로서 검출기(119)에 의해 측정되는 투과된 플럭스(transmitted flux)에 기초하여 결정된다. 도 23에 묘사된 바와 같이, 다층 구조물(152A)이 X-방향으로 이동됨에 따라, 검출기(119)는 X-위치의 함수인 측정된 플럭스를 나타내는 신호(155)를 생성하고, 결과가 조명 빔(116)의 중심에 대응하는 다층 구조물(152A)의 위치를 식별하기 위해 분석된다.
Y-방향에서의 정렬 및 본 명세서에서 설명된 다른 정렬은, 위에서 설명된 기술에 따라, 다른 다층 타깃을 측정하는 것, 다층 타깃(152)을 재배향시키는 것, 또는 이들의 조합에 의해 달성된다. 일반적으로, 중복성(redundancy)을 생성하고 빔 위치 및 배향의 교정의 정확도를 증가시키기 위해 하나 초과의 다층 타깃이 활용될 수 있다.
도 23에 묘사된 바와 같이, 조명 빔(116)의 중심이 위에서 설명된 바와 같이 다층 타깃(152)과 정렬된다. 도 23에 묘사된 실시예에서, 기점 마크(fiducial mark)(151)는 다층 구조물(152A)에 대해 알려진 위치를 갖는 다층 타깃(152)의 표면 상에 위치된다. 다층 타깃(152)과의 빔 중심 정렬의 위치에서, 다층 타깃(152) 또는 기점 마크(151)에 대한 조명 빔(116)의 위치가 정렬 카메라(154)에 의해 기록된다. 이것은 정렬 카메라의 시야에서의 정확한 위치에 대한 조명 빔의 상대 위치를 레지스트레이션(register)한다(초점 위치의 변화가 없는 것으로 가정함). 도 21에 묘사된 바와 같이, 웨이퍼(101)는 정렬 카메라(154)의 시야 내에서 이동된다. 웨이퍼 상의 원하는 위치(예를 들면, 기점 마크)가 정렬 카메라(154)의 시야 내에서 이미징되도록 웨이퍼(101)가 이동된다. 원하는 위치에 대한 조명 빔(116)의 위치는 이전의 레지스트레이션에 기초하여 정렬 카메라(154)에 의해 결정된다. 이러한 방식으로, X 방향 및 Y 방향에서의 웨이퍼(101) 상의 조명 빔(116)의 위치는 정렬 카메라(154)에 의해 수집된 이미지에 기초하여 신속하게 추정된다. 일부 실시예에서, 다층 타깃(152)의 Z-위치에 대한 Z-방향에서의 웨이퍼의 위치는 웨이퍼(101)의 표면 상의 리소그래픽 피처가 정확하게 초점이 맞을 때까지 정렬 카메라(154)의 초점 위치를 변경하는 것에 의해 측정된다. 초점 위치의 변화는 다층 타깃(152)과 웨이퍼 상의 이미징된 위치 사이의 Z-위치의 차이를 나타낸다. 일부 다른 실시예에서, 다층 타깃(152)의 Z-위치에 대한 Z-방향에서의 웨이퍼의 위치는 하나 이상의 광학 근접 센서, 용량성 근접 센서, 간섭측정법(interferometry) 기반 센서, 또는 다른 적합한 근접 센서에 의해 측정된다. 액추에이터(150A 내지 150C)는 이미징된 위치를 다층 타깃(152)과 평면 내에 있도록 위치변경하기 위해 웨이퍼(101)를 Z-방향으로 재위치결정(reposition)하는 데 이용될 수 있다.
추가의 양태에서, 웨이퍼 스테이지 좌표에 기초하여 웨이퍼 상의 임의의 위치에서 조명 빔의 입사 위치가 결정된다. 일단 조명 빔의 중심이 다층 타깃(152)과 정렬되고, 다층 타깃(152)에 대한 조명 빔의 위치가 위에서 설명된 바와 같이 정렬 카메라에 의해 기록되면, 조명 빔의 입사 위치가 스테이지 좌표로 변환(transfer)될 수 있다. 도 21에 묘사된 바와 같이, 웨이퍼(101)는 정렬 카메라(154)의 시야 내에서 이동된다. 웨이퍼(101)의 이동은 웨이퍼 스테이지(144)의 위치 측정 시스템(예를 들면, 선형 인코더 등)에 의해 측정된다. 웨이퍼(101)를 정렬 카메라(154)의 시야 내의 이미징된 웨이퍼 상의 3개 이상의 원하는 위치(예를 들면, 기점 마크)로 이동시킴으로써, 스테이지 좌표로 된 웨이퍼의 위치와 함께, 각각의 원하는 위치에서 원하는 위치에 대한 조명 빔의 위치가 결정된다. 조명 빔의 알려진 위치 및 3개 이상의 위치에서의 스테이지 좌표에 기초하여, 스테이지 좌표를 조명 빔의 입사 위치에 관련시키는 맵이 생성된다.
(X-방향에서) 조명 빔(116)의 중심에 다층 타깃(152)을 위치시킨 후에, 정렬 카메라(154)는 다층 타깃(152) 상에 위치된 기점 마크(151)의 위치를 이미징하여, 정렬 카메라(154)의 시야 내의 이미지 위치와 빔 위치 사이의 관계를 확립한다. 정렬 카메라(154)가 스테이지 기준 프레임(143)에 대해 고정된 또는 반복 가능한 위치에 위치되기 때문에, 이미지는 스테이지 기준 프레임(143)에 대한 조명 빔의 위치를 레지스트레이션하고, 따라서 X-방향에서 빔 위치에 대한 기준으로서 역할한다. 더욱이, 정렬 카메라(154)는 스테이지 기준 프레임(143)에 대한 다층 타깃(152)의 정확한 Z-위치를 확립하기 위해 기점 마크의 정확한 초점 위치를 확립한다. 정렬 카메라(154)가 스테이지 기준 프레임과 함께 회전하는 실시예에서, 정렬 카메라(154)의 초점 위치는 스테이지 기준 프레임에 대한 다층 타깃(152)의 Z-위치에 대한 기준으로서 역할한다.
다른 양태에서, X-선 검출기(119)에 의해 측정되는 바와 같은 조명 빔과 다층 타깃(152) 간의 상호작용에 기초하여 웨이퍼의 표면의 평면에서의 조명 빔과 회전축(153)의 정확한 정렬이 결정된다.
측정 무결성을 보장하기 위해, 웨이퍼(101)의 표면 상에서의 조명 빔(116)의 입사 위치는 큰 입사각 및 방위각 범위에 걸친 측정 동안 움직이지 않은(stationary) 채로 있어야 한다. 이 목적을 달성하기 위해, 스테이지 기준 프레임(143)의 회전축(153)은 측정 위치에서 웨이퍼(101)의 표면과 대략 공면이어야 한다. 게다가, 회전축(153)이 측정 위치에서 웨이퍼(101)에 대한 조명 빔(116)의 입사 지점에서 조명 빔(116)과 교차하도록, 회전축(153)이 XBF 방향에서 조명 빔(116)과 정렬되어야 한다.
도 22a는 도 21에 묘사된 바와 같이 웨이퍼(101)에 입사하는 조명 빔(116)의 평면도를 묘사한다. 도 22a는 회전축(153)이 웨이퍼(101) 상의 위치(103)에서 웨이퍼(101)에 대한 조명 빔(116)의 입사 지점에서 조명 빔(116)과 교차하는 정렬 상태에서의 회전축(153)의 단부도를 묘사한다. 도 22a에 묘사된 바와 같이, 웨이퍼(101)가 큰 입사각(Θ)에 걸쳐 회전축(153)을 중심으로 회전될 때, 조명 빔(116)은 여전히 위치(103)에서 입사한다. 따라서, 이 시나리오에서, 웨이퍼(101)의 표면 상에서의 조명 빔(116)의 입사 위치는 큰 입사각 범위에 걸친 측정 동안 움직이지 않은 채로 있다.
도 22b는 도 21에 묘사된 바와 같이 웨이퍼(101)에 입사하는 조명 빔(116)의 평면도를 묘사한다. 도 22b는 회전축(153)이 거리(∂z)만큼 웨이퍼(101)의 표면과 오정렬되는 정렬 상태에서의 회전축(153)의 단부도를 묘사한다. 도 22b에 묘사된 바와 같이, 웨이퍼(101)가 큰 입사각(Θ)에 걸쳐 회전축(153)을 중심으로 회전될 때, 위치(103)의 일 부분은 더 이상 조명되지 않는다(즉, 웨이퍼(101)의 어떤 다른 부분이 그 대신에 조명된다). 따라서, 이 시나리오에서, 웨이퍼(101)의 표면 상에서의 조명 빔(116)의 입사 위치는 큰 입사각 범위에 걸쳐 측정 동안 드리프트하며, 이는 매우 바람직하지 않다.
도 22c는 도 21에 묘사된 바와 같이 웨이퍼(101)에 입사하는 조명 빔(116)의 평면도를 묘사한다. 도 22c는 회전축(153)이 웨이퍼(101)의 표면과 공면이지만 거리(∂x)만큼 조명 빔(116)으로부터 오프셋되는 정렬 상태에서의 회전축(153)의 단부도를 묘사한다. 도 22c에 묘사된 바와 같이, 웨이퍼(101)가 큰 입사각(Θ)에 걸쳐 회전축(153)을 중심으로 회전될 때, 위치(103)의 일 부분은 더 이상 조명되지 않는다(즉, 웨이퍼(101)의 어떤 다른 부분이 그 대신에 조명된다). 따라서, 이 시나리오에서, 웨이퍼(101)의 표면 상에서의 조명 빔(116)의 입사 위치는 큰 입사각 범위에 걸쳐 측정 동안 드리프트하며, 이는 매우 바람직하지 않다.
일부 실시예에서, 스테이지 기준 프레임의 회전축의 교정은 조명 빔의 중심을 다층 타깃(152)과 정렬시키는 것 및 스테이지 기준 프레임의 복수의 상이한 회전 위치(θ)에서 플럭스를 측정하는 것에 의해 달성된다.
일반적으로, X 방향 및 Z 방향에서 회전축(153)을 다층 타깃(152)과 정렬시키는 데 요구되는 변위의 값을 결정하기 위해 상이한 입사각에서 투과된 플럭스가 측정될 수 있다.
다른 추가의 양태에서, 컴퓨팅 시스템(130)은 시편의 측정된 구조물의 구조 모델(예를 들어, 기하학적 모델, 물질 모델, 또는 기하학적 모델과 물질 모델의 조합)을 생성하고, 구조 모델로부터의 적어도 하나의 기하학적 파라미터를 포함하는 T-SAXS 응답 모델을 생성하며, T-SAXS 응답 모델을 이용하여 T-SAXS 측정 데이터의 피팅 분석을 수행함으로써 적어도 하나의 시편 파라미터 값을 분해하도록 구성된다. 분석 엔진은 시뮬레이션된 T-SAXS 신호를 측정된 데이터와 비교하여 이에 의해 샘플의 기하학적 속성은 물론 전자 밀도와 같은 물질 속성의 결정을 가능하게 하는 데 사용된다. 도 17에 묘사된 실시예에서, 컴퓨팅 시스템(130)은 본 명세서에서 설명된 바와 같은 모델 구축 및 분석 기능성을 구현하도록 구성된 모델 구축 및 분석 엔진으로서 구성된다.
도 24는 컴퓨팅 시스템(130)에 의해 구현되는 예시적인 모델 구축 및 분석 엔진(180)을 예시하는 다이어그램이다. 도 24에 묘사된 바와 같이, 모델 구축 및 분석 엔진(180)은 시편의 측정된 구조물의 구조 모델(182)을 생성하는 구조 모델 구축 모듈(181)을 포함한다. 일부 실시예에서, 구조 모델(182)은 시편의 물질 속성을 또한 포함한다. 구조 모델(182)은 T-SAXS 응답 함수 구축 모듈(183)에 대한 입력으로서 수신된다. T-SAXS 응답 함수 구축 모듈(183)은 구조 모델(182)에 적어도 부분적으로 기초하여 T-SAXS 응답 함수 모델(184)을 생성한다. 일부 예에서, T-SAXS 응답 함수 모델(184)은 x-선 폼 팩터(x-ray form factor)에 기초하며,
Figure 112020114716589-pct00001
여기서 F는 폼 팩터이고, q는 산란 벡터이며, ρ(r)은 구면 좌표(spherical coordinate)로 된 시편의 전자 밀도이다. x-선 산란 강도는 그러면 수학식 2에 의해 주어진다.
Figure 112020114716589-pct00002
T-SAXS 응답 함수 모델(184)은 피팅 분석 모듈(185)에 대한 입력으로서 수신된다. 피팅 분석 모듈(185)은 모델링된 T-SAXS 응답을 대응하는 측정된 데이터와 비교하여 시편의 기하학적 속성은 물론 물질 속성을 결정한다.
일부 예에서, 실험 데이터에 대한 모델링된 데이터의 피팅은 카이 제곱 값(chi-squared value)을 최소화함으로써 달성된다. 예를 들어, T-SAXS 측정의 경우, 카이 제곱 값은 수학식 3으로서 정의될 수 있다.
Figure 112020114716589-pct00003
여기서,
Figure 112020114716589-pct00004
는 "채널" j에서의 측정된 T-SAXS 신호(126)이고, 여기서 인덱스 j는 회절 차수, 에너지, 각도 좌표(angular coordinate) 등과 같은 시스템 파라미터 세트를 기술한다.
Figure 112020114716589-pct00005
는 구조물(타깃) 파라미터 세트
Figure 112020114716589-pct00006
에 대해 평가되는, "채널" j에 대한 모델링된 T-SAXS 신호(Sj)이며, 여기서 이러한 파라미터는 기하학적 속성(CD, 측벽 각도, 오버레이 등) 및 물질 속성(전자 밀도 등)을 기술한다.
Figure 112020114716589-pct00007
는 제j 채널과 연관된 불확실성이다. NSAXS는 x-선 계측에서의 채널의 총수이다. L은 계측 타깃을 특성 묘사하는 파라미터의 수이다.
수학식 3은 상이한 채널과 연관된 불확실성이 상관성이 없다고 가정한다. 상이한 채널과 연관된 불확실성이 상관성이 있는 예에서는, 불확실성 사이의 공분산(covariance)이 계산될 수 있다. 이러한 예에서, RSAXS 측정에 대한 카이 제곱 값은 수학식 4로서 표현될 수 있고,
Figure 112020114716589-pct00008
여기서,
Figure 112020114716589-pct00009
는 SAXS 채널 불확실성의 공분산 행렬이고, T는 전치행렬(transpose)을 나타낸다.
일부 예에서, 피팅 분석 모듈(185)은 T-SAXS 응답 모델(184)을 이용하여 T-SAXS 측정 데이터(135)에 대한 피팅 분석을 수행함으로써 적어도 하나의 시편 파라미터 값을 분해한다. 일부 예에서,
Figure 112020114716589-pct00010
는 최적화되어 있다.
위에서 설명된 바와 같이, T-SAXS 데이터의 피팅은 카이 제곱 값을 최소화함으로써 달성된다. 그렇지만, 일반적으로, T-SAXS 데이터의 피팅은 다른 함수에 의해 달성될 수 있다.
T-SAXS 계측 데이터의 피팅은 관심의 기하학적 파라미터 및/또는 물질 파라미터에 대한 민감도를 제공하는 임의의 유형의 T-SAXS 기술에 유리하다. 시편과의 T-SAXS 빔 상호작용을 기술하는 적절한 모델이 사용되는 한, 시편 파라미터는 결정론적일 수 있거나(예컨대, CD, SWA 등) 또는 통계적일 수 있다(예컨대, 측벽 조도의 rms 높이, 조도 상관 길이 등).
일반적으로, 컴퓨팅 시스템(130)은, RTCD(Real Time Critical Dimensioning)를 이용하여, 실시간으로 모델 파라미터에 액세스하도록 구성되거나, 또는 시편(101)과 연관된 적어도 하나의 시편 파라미터의 값을 결정하기 위해 미리 계산된 모델의 라이브러리에 액세스할 수 있다. 일반적으로, 시편의 할당된 CD 파라미터와 측정된 시편과 연관된 CD 파라미터 사이의 차이를 평가하기 위해 어떤 형태의 CD-엔진이 사용될 수 있다. 시편 파라미터 값을 계산하기 위한 예시적인 방법 및 시스템은 2010년 11월 2일자로 KLA-Tencor Corp.에게 발행된 미국 특허 제7,826,071호에 설명되어 있으며, 이 미국 특허 전체는 참조에 의해 본 명세서에 포함된다.
일부 예에서, 모델 구축 및 분석 엔진(180)은 피드 사이드웨이 분석(feed sideways analysis), 피드 포워드 분석(feed forward analysis), 및 병렬 분석(parallel analysis)의 임의의 조합에 의해 측정된 파라미터의 정확도를 개선시킨다. 피드 사이드웨이 분석은 동일한 시편의 상이한 영역 상에서 다수의 데이터 세트를 취하고 제1 데이터 세트로부터 결정된 공통 파라미터를 분석을 위해 제2 데이터 세트 상으로 전달하는 것을 가리킨다. 피드 포워드 분석은 상이한 시편 상에서 데이터 세트를 취하고 공통 파라미터를 단계별 사본의 정확한 파라미터 피드 포워드 접근법(stepwise copy exact parameter feed forward approach)을 사용하여 후속 분석으로 순방향으로 전달하는 것을 가리킨다. 병렬 분석은, 피팅 동안 적어도 하나의 공통 파라미터가 결합되는, 다수의 데이터 세트에 대한 비선형 피팅 방법론의 병렬 또는 동시 적용을 가리킨다.
다중 툴 및 구조물 분석은 회귀, 룩업 테이블(즉, "라이브러리" 매칭), 또는 다수의 데이터 세트의 다른 피팅 절차에 기초한 피드 포워드, 피드 사이드웨이, 또는 병렬 분석을 가리킨다. 다중 툴 및 구조물 분석을 위한 예시적인 방법 및 시스템은 2009년 1월 13일자로 KLA-Tencor Corp.에게 발행된 미국 특허 제7,478,019호에 설명되어 있으며, 이 미국 특허 전체는 참조에 의해 본 명세서에 포함된다.
다른 추가의 양태에서, 하나 이상의 관심 파라미터의 값의 초기 추정치는 측정 타깃에 대한 입사 X-선 빔의 단일 배향에서 수행되는 T-SAXS 측정에 기초하여 결정된다. 초기의 추정된 값은 다수의 배향에서의 T-SAXS 측정으로부터 수집된 측정 데이터를 이용한 측정 모델의 회귀 분석에 대한 관심 파라미터의 시작 값으로서 구현된다. 이러한 방식으로, 관심 파라미터의 근접한 추정치(close estimate)가 상대적으로 적은 양의 계산 노력으로 결정되며, 이 근접한 추정치를 훨씬 더 큰 데이터 세트에 걸친 회귀 분석에 대한 시작점으로서 구현하는 것에 의해, 더 적은 전체적인 계산 노력으로 관심 파라미터의 미세조정된 추정치가 획득된다.
다른 양태에서, 계측 툴(100)은 본 명세서에서 설명된 바와 같이 빔 제어 기능성을 구현하도록 구성된 컴퓨팅 시스템(예를 들면, 컴퓨팅 시스템(130))을 포함한다. 도 17에 묘사된 실시예에서, 컴퓨팅 시스템(130)은 입사 조명 빔(116)의 강도, 발산, 스폿 크기, 편광, 스펙트럼, 및 위치결정과 같은 조명 속성 중 임의의 것을 제어하도록 동작 가능한 빔 제어기로서 구성된다.
도 17에 예시된 바와 같이, 컴퓨팅 시스템(130)은 검출기(119)에 통신 가능하게 결합된다. 컴퓨팅 시스템(130)은 검출기(119)로부터 측정 데이터(135)를 수신하도록 구성된다. 일 예에서, 측정 데이터(135)는 시편의 측정된 응답(즉, 회절 차수의 강도)의 표시를 포함한다. 검출기(119)의 표면 상에서의 측정된 응답의 분포에 기초하여, 시편(101) 상에서의 조명 빔(116)의 입사 위치 및 영역이 컴퓨팅 시스템(130)에 의해 결정된다. 일 예에서, 측정 데이터(135)에 기초하여 시편(101) 상에서의 조명 빔(116)의 입사 위치 및 영역을 결정하기 위해 패턴 인식 기술이 컴퓨팅 시스템(130)에 의해 적용된다. 일부 예에서, 컴퓨팅 시스템(130)은 원하는 조명 파장을 선택하기 위해 커맨드 신호(137)를 X-선 조명 소스(110)에 전달한다. 일부 예에서, 컴퓨팅 시스템(130)은 원하는 빔 방향을 달성하도록 베이스 프레임(141)에 대해 X-선 방출을 재지향시키기 위해 커맨드 신호(138)를 액추에이터 서브시스템(111')에 전달한다. 일부 예에서, 컴퓨팅 시스템(130)은 입사 조명 빔(116)이 원하는 빔 스폿 크기 및 배향으로 시편(101)에 도달하도록 빔 스폿 크기를 변경하기 위해 커맨드 신호(136)를 빔 성형 슬릿 메커니즘(120)에 전달한다. 일 예에서, 커맨드 신호(136)는 도 21에 묘사된 회전 액추에이터(122)로 하여금 빔 성형 슬릿 메커니즘(120)을 시편(101)에 대해 원하는 배향으로 회전시키게 한다. 다른 예에서, 커맨드 신호(136)는 슬릿(126 내지 129) 각각과 연관된 액추에이터로 하여금 입사 빔(116)을 원하는 형상 및 크기로 재성형하도록 위치를 변경하게 한다. 일부 다른 예에서, 컴퓨팅 시스템(130)은 입사 조명 빔(116)이 시편(101)에 대해 원하는 위치 및 각도 배향에 도달하도록 시편(101)을 위치결정하고 배향시키기 위해 커맨드 신호를 웨이퍼 위치결정 시스템(140)에 전달한다.
추가의 양태에서, T-SAXS 측정 데이터는 검출된 회절 차수의 측정된 강도에 기초하여 측정된 구조물의 이미지를 생성하는 데 사용된다. 일부 실시예에서, T-SAXS 응답 함수 모델은 일반 전자 밀도 메시(generic electron density mesh)로부터의 산란을 기술하도록 일반화된다. 이 메시에서 모델링된 전자 밀도를 제약하여 연속성 및 희소 에지(sparse edge)를 강제하면서, 이 모델을 측정된 신호에 매칭시키는 것은 샘플의 3차원 이미지를 제공한다.
T-SAXS 측정에 기초한 임계 치수(CD) 계측에는 기하학적 모델 기반의 파라메트릭 반전(parametric inversion)이 바람직하지만, 측정된 시편이 기하학적 모델의 가정DM로부터 벗어날 때 동일한 T-SAXS 측정 데이터로부터 생성된 시편의 맵은 모델 에러를 식별하고 보정하는 데 유용하다.
일부 예에서, 이미지가 동일한 산란측정법 측정 데이터의 기하학적 모델 기반 파라메트릭 반전에 의해 추정되는 구조적 특성과 비교된다. 불일치(discrepancy)는 측정된 구조물의 기하학적 모델을 업데이트하여 측정 성능을 개선시키기 위해 사용된다. 정확한 파라메트릭 측정 모델에 수렴하는 능력은 집적 회로의 제조 프로세스를 제어, 모니터링, 및 문제 해결하기 위해 집적 회로를 측정할 때 특히 중요하다.
일부 예에서, 이미지는 전자 밀도, 흡수율, 복소 굴절률, 또는 이러한 물질 특성의 조합의 2차원(2-D) 맵이다. 일부 예에서, 이미지는 전자 밀도, 흡수율, 복소 굴절률, 또는 이러한 물질 속성의 조합의 3차원(3-D) 맵이다. 이 맵은 상대적으로 적은 물리적 제약조건을 사용하여 생성된다. 일부 예에서, 임계 치수(CD), 측벽 각도(SWA), 오버레이, 에지 배치 에러, 피치 워크(pitch walk) 등과 같은, 하나 이상의 관심 파라미터가 결과적인 맵으로부터 직접적으로 추정된다. 일부 다른 예에서, 샘플 기하학적 형태 또는 물질이 모델 기반 CD 측정에 이용되는 파라메트릭 구조 모델에 의해 고려되는 예상 값의 범위를 벗어날 때 맵은 웨이퍼 프로세스를 디버깅하는 데 유용하다. 일 예에서, 파라메트릭 구조 모델에 의해 그의 측정된 파라미터에 따라 예측되는 구조물의 렌더링과 맵 사이의 차이는 파라메트릭 구조 모델을 업데이트하여 그의 측정 성능을 개선시키는 데 사용된다. 추가 세부 사항은 미국 특허 공보 제2015/0300965호에 설명되어 있으며, 이 미국 특허 공보의 내용은 참조에 의해 그 전체가 본 명세서에 포함된다. 부가 세부 사항은 미국 특허 공보 제2015/0117610호에 설명되어 있으며, 이 미국 특허 공보의 내용은 참조에 의해 그 전체가 본 명세서에 포함된다.
추가의 양태에서, 모델 구축 및 분석 엔진(180)은 결합된 X-선 및 광학 측정 분석을 위한 모델을 생성하는 데 이용된다. 일부 예에서, 광학 시뮬레이션은, 예를 들면, 상이한 편광에 대한 반사율, 타원편광 해석(ellipsometric) 파라미터, 위상 변화 등과 같은 광학 신호를 계산하기 위해 Maxwell 방정식을 풀어야 하는 엄격한 결합파 분석(rigorous coupled-wave analysis; RCWA)에 기초한다.
하나 이상의 관심 파라미터의 값은 결합된 기하학적으로 파라미터화된 응답 모델(combined, geometrically parameterized response model)을 사용한 검출된 광학 강도와 복수의 상이한 입사각에서의 X-선 회절 차수의 검출된 강도의 결합된 피팅 분석에 기초하여 결정된다. 광학 강도는, 도 17에 묘사된 시스템(100)과 같은, X-선 계측 시스템과 기계적으로 통합될 수 있는 또는 통합되지 않을 수 있는 광학 계측 툴에 의해 측정된다. 추가 세부 사항은 미국 특허 공보 제2014/0019097호 및 미국 특허 공보 제2013/0304424호에 설명되어 있으며, 각각의 내용은 참조에 의해 그 전체가 본 명세서에 포함된다.
일반적으로, 계측 타깃은 계측 타깃의 최대 높이 치수(즉, 웨이퍼 표면에 수직인 치수)를 최대 측방 크기 치수(maximum lateral extent dimension)(즉, 웨이퍼 표면과 정렬된 치수)로 나눈 것으로서 정의되는 애스펙트비에 의해 특징지어진다. 일부 실시예에서, 측정 중인 계측 타깃은 적어도 20의 애스팩트비를 갖는다. 일부 실시예에서, 계측 타깃은 적어도 40의 애스펙트비를 갖는다.
본 개시 전반에 걸쳐 설명된 다양한 단계가 단일 컴퓨터 시스템(130) 또는, 대안적으로, 다중 컴퓨터 시스템(130)에 의해 수행될 수 있음이 인식되어야 한다. 더욱이, 시편 위치결정 시스템(140)과 같은, 시스템(100)의 상이한 서브시스템은 본 명세서에서 설명된 단계의 적어도 일 부분을 수행하기에 적합한 컴퓨터 시스템을 포함할 수 있다. 따라서, 전술한 설명은 본 발명에 대한 제한으로서 해석되어서는 안되며 단지 예시로서 해석되어야 한다. 게다가, 하나 이상의 컴퓨팅 시스템(130)은 본 명세서에서 설명된 방법 실시예 중 임의의 방법 실시예의 임의의 다른 단계(들)를 수행하도록 구성될 수 있다.
추가적으로, 컴퓨터 시스템(130)은 본 기술 분야에서 알려진 임의의 방식으로 X-선 조명 소스(110), 빔 성형 슬릿 메커니즘(120), 시편 위치결정 시스템(140), 및 검출기(119)에 통신 가능하게 결합될 수 있다. 예를 들어, 하나 이상의 컴퓨팅 시스템(130)은 X-선 조명 소스(110), 빔 성형 슬릿 메커니즘(120), 시편 위치결정 시스템(140), 및 검출기(119)와, 제각기, 연관된 컴퓨팅 시스템에 결합될 수 있다. 다른 예에서, X-선 조명 소스(110), 빔 성형 슬릿 메커니즘(120), 시편 위치결정 시스템(140), 및 검출기(119) 중 임의의 것은 컴퓨터 시스템(130)에 결합된 단일 컴퓨터 시스템에 의해 직접적으로 제어될 수 있다.
컴퓨터 시스템(130)은 유선 부분 및/또는 무선 부분을 포함할 수 있는 전송 매체에 의해 시스템의 서브시스템(예를 들면, X-선 조명 소스(110), 빔 성형 슬릿 메커니즘(120), 시편 위치결정 시스템(140), 검출기(119) 등)로부터 데이터 또는 정보를 수신 및/또는 취득하도록 구성될 수 있다. 이러한 방식으로, 전송 매체는 컴퓨터 시스템(130)과 시스템(100)의 다른 서브시스템 사이의 데이터 링크로서 역할할 수 있다.
계측 시스템(100)의 컴퓨터 시스템(130)은 유선 부분 및/또는 무선 부분을 포함할 수 있는 전송 매체에 의해 다른 시스템으로부터 데이터 또는 정보(예를 들면, 측정 결과, 모델링 입력, 모델링 결과 등)를 수신 및/또는 취득하도록 구성될 수 있다. 이러한 방식으로, 전송 매체는 컴퓨터 시스템(130)과 다른 시스템(예를 들면, 메모리 온-보드 계측 시스템(100), 외부 메모리, 또는 외부 시스템) 사이의 데이터 링크로서 역할할 수 있다. 예를 들어, 컴퓨팅 시스템(130)은 데이터 링크를 통해 저장 매체(즉, 메모리(132 또는 190))로부터 측정 데이터(예컨대, 신호(135))를 수신하도록 구성될 수 있다. 예를 들어, 검출기(119)를 사용하여 획득된 스펙트럼 결과는 영구적 또는 반영구적 메모리 디바이스(예를 들면, 메모리(132 또는 190))에 저장될 수 있다. 이 점에서, 측정 결과는 온-보드 메모리로부터 또는 외부 메모리 시스템으로부터 임포트(import)될 수 있다. 더욱이, 컴퓨터 시스템(130)은 전송 매체를 통해 데이터를 다른 시스템에 송신할 수 있다. 예를 들어, 컴퓨터 시스템(130)에 의해 결정되는 시편 파라미터 값(186)은 영구적 또는 반영구적 메모리 디바이스(예를 들면, 메모리(190))에 저장될 수 있다. 이 점에서, 측정 결과가 다른 시스템으로 익스포트(export)될 수 있다.
컴퓨팅 시스템(130)은 개인용 컴퓨터 시스템, 메인프레임 컴퓨터 시스템, 워크스테이션, 이미지 컴퓨터, 병렬 프로세서, 또는 본 기술 분야에서 알려진 임의의 다른 디바이스를 포함할 수 있지만, 이에 제한되지 않는다. 일반적으로, 용어 "컴퓨팅 시스템"은 메모리 매체로부터의 명령어를 실행하는, 하나 이상의 프로세서를 갖는 임의의 디바이스를 포괄하도록 넓게 정의될 수 있다.
본 명세서에서 설명된 것과 같은 방법을 구현하는 프로그램 명령어(134)는 와이어, 케이블, 또는 무선 전송 링크와 같은 전송 매체를 통해 전송될 수 있다. 예를 들어, 도 17에 예시된 바와 같이, 메모리(132)에 저장되는 프로그램 명령어는 버스(133)를 통해 프로세서(131)에 전송된다. 프로그램 명령어(134)는 컴퓨터 판독 가능 매체(예를 들면, 메모리(132))에 저장된다. 예시적인 컴퓨터 판독 가능 매체는 판독 전용 메모리, 랜덤 액세스 메모리, 자기 또는 광학 디스크, 또는 자기 테이프를 포함한다.
도 25는 본 발명의 계측 시스템(100 및 200)에 의한 구현에 적합한 방법(400)의 플로차트를 예시한다. 일 양태에서, 방법(400)의 데이터 프로세싱 블록이 컴퓨팅 시스템(130)의 하나 이상의 프로세서에 의해 실행되는 미리 프로그래밍된 알고리즘을 통해 수행될 수 있다는 것이 인식된다. 이하의 설명이 계측 시스템(100)의 맥락에서 제시되지만, 계측 시스템(100)의 특정의 구조적 양태가 제한을 나타내지 않으며 단지 예시적인 것으로 해석되어야 한다는 것이 본 명세서에서 인식된다.
블록(401)에서, X-선 조명 서브시스템에 의해 X-선 조명 빔이 생성된다.
블록(402)에서, 다층 교정 타깃이 시편 위치결정 시스템에 의해 X-선 빔의 경로에 배치된다. 다층 교정 타깃은 X-선 투과성 물질과 X-선 흡수성 물질의 반복 층을 포함하는 제1 다층 구조물을 포함한다. 다층 교정 타깃은 반복 층이 입사 X-선 빔에 실질적으로 평행하게 배향되도록 X-선 빔의 경로에 위치된다.
블록(403)에서, 시편 위치결정 시스템의 위치 범위에 걸쳐 입사 X-선 빔에 응답하여 다층 교정 타깃에 의해 회절된 X-선 광자가 검출된다.
블록(404)에서, 다층 교정 타깃에 대한 X-선 빔의 입사 위치는 검출된 회절된 X-선 광자에 기초하여 결정된다.
일부 실시예에서, 본 명세서에서 설명된 바와 같은 산란측정법 측정은 제조 프로세스 툴의 일부로서 구현된다. 제조 프로세스 툴의 예는 리소그래픽 노광 툴, 막 퇴적 툴, 주입 툴, 및 에칭 툴을 포함하지만, 이에 제한되지 않는다. 이러한 방식으로, T-SAXS 분석의 결과는 제조 프로세스를 제어하는 데 사용된다. 일 예에서, 하나 이상의 타깃으로부터 수집되는 T-SAXS 측정 데이터는 제조 프로세스 툴에 송신된다. T-SAXS 측정 데이터는 본 명세서에서 설명된 바와 같이 분석되고 결과는 제조 프로세스 툴의 동작을 조정하는 데 사용된다.
본 명세서에서 설명된 바와 같은 산란측정법 측정은 각종의 반도체 구조물의 특성을 결정하는 데 사용될 수 있다. 예시적인 구조물은 FinFET, 나노와이어 또는 그래핀과 같은 저차원 구조물, 10 nm 미만 구조물, 리소그래픽 구조물, 기판 관통 비아(TSV), DRAM, DRAM 4F2, FLASH, MRAM 및 고 애스펙트비 메모리 구조물과 같은 메모리 구조물을 포함하지만, 이에 제한되지 않는다. 예시적인 구조적 특성은 라인 에지 조도, 라인 폭 조도, 기공 크기, 기공 밀도, 측벽 각도, 프로파일, 임계 치수, 피치, 두께, 오버레이와 같은 기하학적 파라미터, 및 전자 밀도, 조성, 결정립 구조(grain structure), 모폴로지(morphology), 응력, 변형률(strain), 및 원소 동정(elemental identification)과 같은 물질 파라미터를 포함하지만 이에 제한되지 않는다. 일부 실시예에서, 계측 타깃은 주기적인 구조물이다. 일부 다른 실시예에서, 계측 타깃은 비주기적이다.
일부 예에서, 스핀 전달 토크 랜덤 액세스 메모리(STT-RAM), 3차원 NAND 메모리(3D-NAND) 또는 수직 NAND 메모리(V-NAND), 동적 랜덤 액세스 메모리(DRAM), 3차원 FLASH 메모리(3D-FLASH), 저항성 랜덤 액세스 메모리(Re-RAM), 및 상변화 랜덤 액세스 메모리(PC-RAM)를 포함하지만, 이에 제한되지 않는 고 애스펙트비 반도체 구조물의 임계 치수, 두께, 오버레이, 및 물질 속성의 측정은 본 명세서에서 설명된 바와 같은 T-SAXS 측정 시스템으로 수행된다.
본 명세서에서 설명된 바와 같이, 용어 "임계 치수"는 구조물의 임의의 임계 치수(예를 들면, 하부 임계 치수, 중간 임계 치수, 상부 임계 치수, 측벽 각도, 격자 높이 등), 임의의 2개 이상의 구조물 사이의 임계 치수(예를 들면, 2개의 구조물 사이의 거리), 및 2개 이상의 구조물 사이의 변위(예를 들면, 오버레이하는 격자 구조물 사이의 오버레이 변위 등)를 포함한다. 구조물은 3차원 구조물, 패터닝된 구조물, 오버레이 구조물 등을 포함할 수 있다.
본 명세서에서 설명된 바와 같이, 용어 "임계 치수 애플리케이션" 또는 "임계 치수 측정 애플리케이션"은 임의의 임계 치수 측정을 포함한다.
본 명세서에서 설명된 바와 같이, 용어 "계측 시스템"은, 임계 치수 애플리케이션 및 오버레이 계측 애플리케이션을 포함한, 임의의 양태에서 시편을 특성 묘사하는 데 적어도 부분적으로 이용되는 임의의 시스템을 포함한다. 그렇지만, 그러한 기술 용어(term of art)는 본 명세서에서 설명된 바와 같은 용어 "계측 시스템"의 범위를 제한하지 않는다. 추가적으로, 본 명세서에서 설명된 계측 시스템은 패터닝된 웨이퍼 및/또는 패터닝되지 않은 웨이퍼의 측정을 위해 구성될 수 있다. 계측 시스템은 LED 검사 툴, 에지 검사 툴, 배면 검사 툴, 매크로 검사 툴, 또는 다중 모드 검사 툴(하나 이상의 플랫폼으로부터의 데이터를 동시적으로 수반함), 및 본 명세서에서 설명된 측정 기술로부터 이득을 보는 임의의 다른 계측 또는 검사 툴로서 구성될 수 있다.
시편을 프로세싱하는 데 사용될 수 있는 반도체 프로세싱 시스템(예를 들면, 검사 시스템 또는 리소그래피 시스템)에 대한 다양한 실시예가 본 명세서에서 설명된다. 용어 "시편"은 본 기술 분야에서 알려진 수단에 의해 프로세싱될 수 있는(예를 들면, 인쇄되거나 또는 결함에 대해 검사될 수 있는) 웨이퍼, 레티클, 또는 임의의 다른 샘플을 지칭하기 위해 본 명세서에서 사용된다.
본 명세서에서 사용되는 바와 같이, 용어 "웨이퍼"는 일반적으로 반도체 또는 비-반도체 물질로 형성되는 기판을 지칭한다. 예는 단결정 실리콘, 갈륨 비화물, 및 인듐 인화물을 포함하지만, 이에 제한되지 않는다. 그러한 기판은 반도체 제조 설비에서 흔히 발견될 수 있고 그리고/또는 프로세싱될 수 있다. 일부 경우에서, 웨이퍼는 기판만을 포함할 수 있다(즉, 베어 웨이퍼(bare wafer)). 대안적으로, 웨이퍼는 기판 상에 형성되는 상이한 물질의 하나 이상의 층을 포함할 수 있다. 웨이퍼 상에 형성되는 하나 이상의 층은 "패터닝될" 수 있거나 또는 "패터닝되지 않을" 수 있다. 예를 들어, 웨이퍼는 반복 가능한 패턴 피처를 갖는 복수의 다이를 포함할 수 있다.
"레티클"은 레티클 제조 프로세스의 임의의 스테이지에서의 레티클일 수 있거나, 또는 반도체 제조 설비에서 사용하기 위해 발매(release)될 수 있는 또는 발매되지 않을 수 있는 완성된 레티클일 수 있다. 레티클 또는 "마스크"는 일반적으로, 실질적으로 불투명한 영역이 상부에 형성되고 어떤 패턴으로 구성되는, 실질적으로 투명한 기판으로서 정의된다. 기판은, 예를 들어, 비정질 SiO2와 같은 유리 물질을 포함할 수 있다. 레티클은 레티클 상의 패턴이 레지스트에 전사될 수 있도록 리소그래피 프로세스의 노광 단계 동안 레지스트 피복된(resist-covered) 웨이퍼 위에 배치될 수 있다.
웨이퍼 상에 형성되는 하나 이상의 층은 패터닝될 수 있거나 또는 패터닝되지 않을 수 있다. 예를 들어, 웨이퍼는, 반복 가능한 패턴 피처를 각각 갖는, 복수의 다이를 포함할 수 있다. 그러한 물질 층의 형성 및 프로세싱은 궁극적으로는 완성된 디바이스를 결과할 수 있다. 많은 상이한 유형의 디바이스가 웨이퍼 상에 형성될 수 있고, 용어 웨이퍼는, 본 명세서에서 사용되는 바와 같이, 본 기술 분야에서 알려진 임의의 유형의 디바이스가 상부에 제조되는 웨이퍼를 포괄하도록 의도된다.
하나 이상의 예시적인 실시예에서, 설명되는 기능은 하드웨어, 소프트웨어, 펌웨어, 또는 이들의 임의의 조합으로 구현될 수 있다. 소프트웨어로 구현되는 경우, 기능은 하나 이상의 명령어 또는 코드로서 컴퓨터 판독 가능 매체 상에 저장될 수 있거나 컴퓨터 판독 가능 매체를 통해 전송될 수 있다. 컴퓨터 판독 가능 매체는 한 장소로부터 다른 장소로의 컴퓨터 프로그램의 전송을 용이하게 하는 임의의 매체를 포함한 컴퓨터 저장 매체 및 통신 매체 둘 모두를 포함한다. 저장 매체는 범용 컴퓨터 또는 특수 목적 컴퓨터에 의해 액세스될 수 있는 임의의 이용 가능한 매체일 수 있다. 제한이 아닌 예로서, 그러한 컴퓨터 판독 가능 매체는 RAM, ROM, EEPROM, CD-ROM 또는 다른 광학 디스크 저장 디바이스, 자기 디스크 저장 디바이스 또는 다른 자기 저장 디바이스, 또는 원하는 프로그램 코드 수단을 명령어 또는 데이터 구조의 형태로 운반하거나 저장하는 데 사용될 수 있고 범용 컴퓨터 또는 특수 목적 컴퓨터, 또는 범용 프로세서 또는 특수 목적 프로세서에 의해 액세스될 수 있는 임의의 다른 매체를 포함할 수 있다. 또한, 임의의 연결이 적절하게 컴퓨터 판독 가능 매체라고 지칭된다. 예를 들어, 소프트웨어가 동축 케이블, 광섬유 케이블, 연선(twisted pair), DSL(digital subscriber line), 또는 적외선, 라디오, 및 마이크로파와 같은 무선 기술을 사용하여 웹사이트, 서버, 또는 다른 원격 소스로부터 전송되는 경우, 동축 케이블, 광섬유 케이블, 연선, DSL, 또는 적외선, 라디오, 및 마이크로파와 같은 무선 기술은 매체의 정의에 포함된다. 본 명세서에서 사용되는 바와 같은, 디스크(disk) 및 디스크(disc)는 CD(compact disc), 레이저 디스크, 광학 디스크, DVD(digital versatile disc), 플로피 디스크 및 블루레이 디스크를 포함하고, 여기서 디스크(disk)는 보통 데이터를 자기적으로 재생하는 반면, 디스크(disc)는 데이터를 레이저를 사용하여 광학적으로 재생한다. 상기한 것의 조합이 컴퓨터 판독 가능 매체의 범위 내에 또한 포함되어야 한다.
비록 소정의 특정 실시예가 교수적인 목적을 위해 위에서 설명되었지만, 이 특허 문서의 교시는 일반적인 적용가능성(general applicability)을 가지며 위에서 설명된 특정 실시예로 제한되지 않는다. 그에 따라, 설명된 실시예의 다양한 피처의 다양한 수정, 적응(adaptation), 및 조합이 청구범위에 기재된 바와 같은 본 발명의 범위를 벗어나지 않으면서 실시될 수 있다.

Claims (28)

  1. X-선 기반 측정 시스템으로서,
    X-선 빔을 생성하도록 구성된 X-선 조명 서브시스템;
    상기 X-선 빔이 시편의 표면 상의 임의의 위치에서 상기 시편의 표면 상에 입사하도록 상기 시편을 상기 X-선 빔에 대해 위치결정하도록 구성된 시편 위치결정 시스템;
    상기 시편 위치결정 시스템 상에 배치된 다층 교정 타깃 - 상기 다층 교정 타깃은, X-선 투과성 물질과 X-선 흡수성 물질의 반복 층을 포함하는 제1 다층 구조물을 포함하고, 상기 다층 교정 타깃은, 상기 반복 층이 상기 입사 X-선 빔에 실질적으로 평행하게 배향되도록 상기 X-선 빔의 경로 내에 위치됨 - ;
    상기 시편 위치결정 시스템의 위치 범위에 걸쳐 상기 입사 X-선 빔에 응답하여 상기 다층 교정 타깃에 의해 회절되는 X-선 광자를 검출하도록 구성된 X-선 검출기; 및
    상기 검출된 X-선 광자에 기초하여 상기 다층 교정 타깃에 대한 상기 X-선 빔의 입사 위치를 결정하도록 구성된 컴퓨팅 시스템
    을 포함하는, X-선 기반 측정 시스템.
  2. 제1항에 있어서, 상기 위치 범위는 입사각 범위를 포함하고, 상기 컴퓨팅 시스템은 또한, 상기 검출된 X-선 광자에 기초하여 상기 다층 교정 타깃에 대한 상기 X-선 빔의 배향을 결정하도록 구성되는 것인, X-선 기반 측정 시스템.
  3. 제1항에 있어서, 상기 시편 위치결정 시스템은, 상기 X-선 빔이 임의의 위치에서 복수의 입사각으로 상기 시편의 표면 상에 입사하도록 하기 위해, 상기 시편을 회전축을 중심으로 상기 X-선 빔에 대해 회전시키도록 구성되고, 상기 컴퓨팅 시스템은 또한, 상기 검출된 X-선 광자에 기초하여 상기 X-선 빔에 대한 상기 회전축의 위치의 오정렬을 결정하도록 구성되는 것인, X-선 기반 측정 시스템.
  4. 제1항에 있어서, 상기 컴퓨팅 시스템은 또한,
    2개의 상이한 차수로 회절되는 검출된 X-선 광자들 사이의 상기 검출기에서의 공간 간격에 기초하여 상기 다층 타깃과 상기 검출기 사이의 거리를 추정하도록
    구성되는 것인, X-선 기반 측정 시스템.
  5. 제1항에 있어서, 상기 다층 교정 타깃은,
    X-선 투과성 물질과 X-선 흡수성 물질의 반복 층을 포함하는 제2 다층 구조물을 더 포함하며, 상기 제2 다층 구조물은, 상기 입사 X-선 빔과 정렬된 방향을 따라 상기 제1 다층 구조물에 인접하게 배치되고, 상기 제2 다층 구조물의 반복 층은 상기 입사 X-선 빔에 실질적으로 평행하게 배향되고, 상기 제1 다층 구조물의 반복 층은 상기 입사 X-선 빔의 축에 대해 상기 제2 다층 구조물의 반복 층과는 상이한 각도로 배향되는 것인, X-선 기반 측정 시스템.
  6. 제1항에 있어서, 상기 다층 교정 타깃은,
    상기 X-선 투과성 물질과 상기 X-선 흡수성 물질의 반복 층을 포함하는 제2 다층 구조물을 더 포함하며, 상기 제2 다층 구조물은, 상기 입사 X-선 빔의 축에 수직인 방향을 따라 상기 제1 다층 구조물에 인접하게 배치되는 것인, X-선 기반 측정 시스템.
  7. 제6항에 있어서, 상기 제2 다층 구조물의 반복 층은 상기 입사 X-선 빔에 실질적으로 평행하게 배향되고, 상기 제1 다층 구조물의 반복 층은 상기 입사 X-선 빔의 종축에 수직인 축에 대해 상기 제2 다층 구조물의 반복 층과는 상이한 각도로 배향되는 것인, X-선 기반 측정 시스템.
  8. 제6항에 있어서, 상기 제2 다층 구조물의 반복 층은 상기 입사 X-선 빔에 실질적으로 평행하게 배향되고, 상기 제1 다층 구조물은, 상기 입사 X-선 빔의 축에 수직인 방향을 따라 갭 거리만큼 상기 제2 다층 구조물로부터 공간적으로 분리되는 것인, X-선 기반 측정 시스템.
  9. 제8항에 있어서, 상기 컴퓨팅 시스템은, 상기 검출된 X-선 광자에 기초하여 상기 제1 다층 구조물과 상기 제2 다층 구조물 사이의 상기 갭 외부에서의 광자 오염의 양을 측정하도록 구성되는 것인, X-선 기반 측정 시스템.
  10. 제8항에 있어서, 상기 컴퓨팅 시스템은, 상기 검출된 X-선 광자에 기초하여 상기 제1 다층 구조물과 상기 제2 다층 구조물 사이의 상기 갭을 통과하는 광자 플럭스의 양을 측정하도록 구성되는 것인, X-선 기반 측정 시스템.
  11. 제1항에 있어서, 상기 다층 교정 타깃은,
    상기 다층 교정 타깃의 표면 상에 위치된 하나 이상의 광학 기점 마크
    를 더 포함하는 것인, X-선 기반 측정 시스템.
  12. 제11항에 있어서,
    상기 하나 이상의 기점 마크의 적어도 부분의 이미지를 생성하는 정렬 카메라를 더 포함하며, 상기 컴퓨팅 시스템은 또한, 상기 이미지에 기초하여 상기 시편 위치결정 시스템의 좌표계에서의 상기 하나 이상의 기점 마크를 위치확인하고, 상기 하나 이상의 기점 마크의 위치 및 상기 하나 이상의 기점 마크와 상기 다층 교정 타깃 사이의 알려진 거리에 기초하여 상기 시편 위치결정 시스템의 좌표계에서의 상기 X-선 빔의 입사 위치를 추정하도록 구성되는 것인, X-선 기반 측정 시스템.
  13. 제1항에 있어서, 상기 입사 X-선 빔과 정렬된 방향에서의 상기 제1 다층 구조물의 깊이는 적어도 3 마이크로미터인 것인, X-선 기반 측정 시스템.
  14. 방법으로서,
    X-선 조명 서브시스템에 의해 X-선 조명 빔을 생성하는 단계;
    시편 위치결정 시스템에 의해 상기 X-선 빔의 경로 내에 다층 교정 타깃을 위치시키는 단계 - 상기 다층 교정 타깃은, X-선 투과성 물질과 X-선 흡수성 물질의 반복 층을 포함하는 제1 다층 구조물을 포함하고, 상기 다층 교정 타깃은, 상기 반복 층이 입사 X-선 빔에 실질적으로 평행하게 배향되도록 상기 X-선 빔의 경로 내에 위치됨 - ;
    상기 시편 위치결정 시스템의 위치 범위에 걸쳐 상기 입사 X-선 빔에 응답하여 상기 다층 교정 타깃에 의해 회절되는 X-선 광자를 검출하는 단계; 및
    상기 검출된 X-선 광자에 기초하여 상기 다층 교정 타깃에 대한 상기 X-선 빔의 입사 위치를 결정하는 단계
    를 포함하는, 방법.
  15. 제14항에 있어서,
    상이한 차수로 회절되는 검출된 X-선 광자들 사이의 검출기에서의 공간 간격에 기초하여 상기 다층 타깃과 상기 검출기 사이의 거리를 추정하는 단계
    를 더 포함하는, 방법.
  16. 제14항에 있어서, 상기 다층 교정 타깃은 또한, X-선 투과성 물질과 X-선 흡수성 물질의 반복 층을 포함하는 제2 다층 구조물을 포함하며, 상기 제2 다층 구조물은, 상기 입사 X-선 빔과 정렬된 방향을 따라 상기 제1 다층 구조물에 인접하게 배치되고, 상기 제2 다층 구조물의 반복 층은 상기 입사 X-선 빔에 실질적으로 평행하게 배향되고, 상기 제1 다층 구조물의 반복 층은 상기 입사 X-선 빔의 종축에 수직인 축에 대해 상기 제2 다층 구조물의 반복 층과는 상이한 각도로 배향되는 것인, 방법.
  17. 제14항에 있어서, 상기 다층 교정 타깃은 또한, 상기 X-선 투과성 물질과 상기 X-선 흡수성 물질의 반복 층을 포함하는 제2 다층 구조물을 포함하고, 상기 제2 다층 구조물은, 상기 입사 X-선 빔의 축에 수직인 방향을 따라 상기 제1 다층 구조물에 인접하게 배치되는 것인, 방법.
  18. 제17항에 있어서, 상기 제2 다층 구조물의 반복 층은 상기 입사 X-선 빔에 실질적으로 평행하게 배향되고, 상기 제1 다층 구조물의 반복 층은 상기 입사 X-선 빔의 축에 대해 상기 제2 다층 구조물의 반복 층과는 상이한 각도로 배향되는 것인, 방법.
  19. 제17항에 있어서, 상기 제2 다층 구조물의 반복 층은 상기 입사 X-선 빔에 실질적으로 평행하게 배향되고, 상기 제1 다층 구조물은, 상기 입사 X-선 빔의 축에 수직인 방향을 따라 갭 거리만큼 상기 제2 다층 구조물로부터 공간적으로 분리되는 것인, 방법.
  20. 제19항에 있어서,
    상기 검출된 X-선 광자에 기초하여 상기 제1 다층 구조물과 상기 제2 다층 구조물 사이의 상기 갭 외부에서의 광자 오염의 양을 측정하는 단계
    를 더 포함하는, 방법.
  21. 제19항에 있어서,
    상기 검출된 X-선 광자에 기초하여 상기 제1 다층 구조물과 상기 제2 다층 구조물 사이의 상기 갭을 통과하는 광자 플럭스의 양을 측정하는 단계
    를 더 포함하는, 방법.
  22. 다층 교정 타깃으로서,
    X-선 투과성 물질과 X-선 흡수성 물질의 교호하는 반복 층을 포함하는 제1 다층 구조물을 포함하며, 상기 반복 층은 실질적으로 동일한 두께를 갖고, 상기 다층 교정 타깃은 X-선 기반 측정 시스템의 시편 위치결정 시스템에 장착되고, 상기 다층 구조물은, 상기 반복 층이 입사 X-선 빔에 실질적으로 평행하게 배향되도록 상기 X-선 빔의 경로 내에 위치되고, 상기 다층 교정 타깃에 대한 상기 X-선 빔의 입사 위치는, 상기 입사 X-선 빔에 응답하여 상기 다층 교정 타깃에 의해 회절되는 검출된 X-선 광자에 기초하여 결정되는 것인, 다층 교정 타깃.
  23. 제22항에 있어서, 상기 입사 X-선 빔과 정렬된 방향에서의 상기 제1 다층 구조물의 깊이는 적어도 3 마이크로미터인 것인, 다층 교정 타깃.
  24. 제22항에 있어서,
    상기 다층 교정 타깃의 표면 상에 위치된 하나 이상의 광학 기점 마크
    를 더 포함하는, 다층 교정 타깃.
  25. 제22항에 있어서,
    X-선 투과성 물질과 X-선 흡수성 물질의 반복 층을 포함하는 제2 다층 구조물을 더 포함하며, 상기 제2 다층 구조물은, 상기 입사 X-선 빔과 정렬된 방향을 따라 상기 제1 다층 구조물에 인접하게 배치되고, 상기 제2 다층 구조물의 반복 층은 상기 입사 X-선 빔에 실질적으로 평행하게 배향되고, 상기 제1 다층 구조물의 반복 층은 상기 입사 X-선 빔의 종축에 수직인 축에 대해 상기 제2 다층 구조물의 반복 층과는 상이한 각도로 배향되는 것인, 다층 교정 타깃.
  26. 제22항에 있어서,
    X-선 투과성 물질과 X-선 흡수성 물질의 반복 층을 포함하는 제2 다층 구조물을 더 포함하며, 상기 제2 다층 구조물은, 상기 입사 X-선 빔의 축에 수직인 방향을 따라 상기 제1 다층 구조물에 인접하게 배치되는 것인, 다층 교정 타깃.
  27. 제26항에 있어서, 상기 제2 다층 구조물의 반복 층은 상기 입사 X-선 빔에 실질적으로 평행하게 배향되고, 상기 제1 다층 구조물의 반복 층은 상기 입사 X-선 빔의 종축에 수직인 축에 대해 상기 제2 다층 구조물의 반복 층과는 상이한 각도로 배향되는 것인, 다층 교정 타깃.
  28. 제26항에 있어서, 상기 제2 다층 구조물의 반복 층은 상기 입사 X-선 빔에 실질적으로 평행하게 배향되고, 상기 제1 다층 구조물은 상기 입사 X-선 빔의 종축에 수직인 방향을 따라 갭 거리만큼 상기 제2 다층 구조물로부터 공간적으로 분리되는 것인, 다층 교정 타깃.
KR1020207031171A 2018-03-28 2019-03-27 X-선 기반 측정 시스템의 교정 및 정렬을 위한 다층 타깃 KR102416916B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201862649131P 2018-03-28 2018-03-28
US62/649,131 2018-03-28
US16/364,163 US10816486B2 (en) 2018-03-28 2019-03-25 Multilayer targets for calibration and alignment of X-ray based measurement systems
US16/364,163 2019-03-25
PCT/US2019/024437 WO2019191335A1 (en) 2018-03-28 2019-03-27 Multilayer targets for calibration and alignment of x-ray based measurement systems

Publications (2)

Publication Number Publication Date
KR20200127048A KR20200127048A (ko) 2020-11-09
KR102416916B1 true KR102416916B1 (ko) 2022-07-05

Family

ID=68055946

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020207031171A KR102416916B1 (ko) 2018-03-28 2019-03-27 X-선 기반 측정 시스템의 교정 및 정렬을 위한 다층 타깃

Country Status (6)

Country Link
US (1) US10816486B2 (ko)
JP (1) JP7133030B2 (ko)
KR (1) KR102416916B1 (ko)
CN (1) CN112105917B (ko)
TW (1) TWI803609B (ko)
WO (1) WO2019191335A1 (ko)

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11181489B2 (en) * 2018-07-31 2021-11-23 Lam Research Corporation Determining tilt angle in patterned arrays of high aspect-ratio structures by small-angle x-ray scattering
US11874240B2 (en) * 2018-10-04 2024-01-16 Decision Tree, Llc Systems and methods for interpreting high energy interactions
JP7168985B2 (ja) * 2019-04-22 2022-11-10 株式会社リガク 微細構造の解析方法、装置およびプログラム
US11367643B2 (en) * 2019-06-28 2022-06-21 Applied Materials, Inc. Method for substrate registration and anchoring in inkjet printing
FR3103897B1 (fr) * 2019-12-02 2022-04-01 Safran Dispositif et procédé de mesure des angles d’orientation d’un système d’imagerie x
US11761913B2 (en) * 2020-05-04 2023-09-19 Bruker Technologies Ltd. Transmission X-ray critical dimension (T-XCD) characterization of shift and tilt of stacks of high-aspect-ratio (HAR) structures
US11879854B2 (en) 2020-09-23 2024-01-23 Baker Hughes Oilfield Operations Llc Positioning of x-ray imaging system using an optical camera
JP7481238B2 (ja) 2020-11-24 2024-05-10 トヨタ自動車株式会社 層厚解析方法
US11573175B2 (en) * 2020-12-22 2023-02-07 Industrial Technology Research Institute Calibration assembly for scan device and calibration system
CN113030131B (zh) * 2021-03-01 2022-10-28 浙江双元科技股份有限公司 一种基于x射线成像的图像采集设备及方法
WO2023013036A1 (ja) * 2021-08-06 2023-02-09 川崎車両株式会社 構造物の製造方法、構造物製造用の識別子、構造物の製造システム及び機械加工プログラム
CN114202533A (zh) * 2021-12-14 2022-03-18 西安奕斯伟材料科技有限公司 检测单晶炉同轴度的方法、装置、设备及计算机存储介质

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090279090A1 (en) 2006-07-27 2009-11-12 Robert Gregory Wolf Multiple measurement techniques including focused beam scatterometry for characterization of samples
US20100073690A1 (en) 2006-07-25 2010-03-25 Micron Technology, Inc. Parameter measurement using multi-layer structures
US20150117610A1 (en) 2013-10-28 2015-04-30 Kla-Tencor Corporation Methods and apparatus for measuring semiconductor device overlay using x-ray metrology
US20150300965A1 (en) 2014-04-21 2015-10-22 Kla-Tencor Corporation Scatterometry-Based Imaging and Critical Dimension Metrology

Family Cites Families (58)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4089740A (en) * 1976-01-30 1978-05-16 Conwed Corporation Apparatus for applying secondary layer on board surface
JPH01243518A (ja) * 1988-03-25 1989-09-28 Nippon Telegr & Teleph Corp <Ntt> X線露光装置及び該装置のx線源位置決め方法
US5241578A (en) * 1991-12-02 1993-08-31 Arch Development Corporation Optical grid alignment system for portable radiography and portable radiography apparatus incorporating same
US5608526A (en) 1995-01-19 1997-03-04 Tencor Instruments Focused beam spectroscopic ellipsometry method and system
US6023338A (en) 1996-07-12 2000-02-08 Bareket; Noah Overlay alignment measurement of wafers
US5859424A (en) 1997-04-08 1999-01-12 Kla-Tencor Corporation Apodizing filter system useful for reducing spot size in optical measurements and other applications
US6389100B1 (en) 1999-04-09 2002-05-14 Osmic, Inc. X-ray lens system
US6438210B1 (en) * 2000-03-28 2002-08-20 General Electric Company Anti-scatter grid, method, and apparatus for forming same
US6429943B1 (en) 2000-03-29 2002-08-06 Therma-Wave, Inc. Critical dimension analysis with simultaneous multiple angle of incidence measurements
US6787773B1 (en) 2000-06-07 2004-09-07 Kla-Tencor Corporation Film thickness measurement using electron-beam induced x-ray microanalysis
US7541201B2 (en) 2000-08-30 2009-06-02 Kla-Tencor Technologies Corporation Apparatus and methods for determining overlay of structures having rotational or mirror symmetry
US7068833B1 (en) 2000-08-30 2006-06-27 Kla-Tencor Corporation Overlay marks, methods of overlay mark design and methods of overlay measurements
US7317531B2 (en) 2002-12-05 2008-01-08 Kla-Tencor Technologies Corporation Apparatus and methods for detecting overlay errors using scatterometry
JP2002174515A (ja) 2000-12-08 2002-06-21 Orc Mfg Co Ltd X線光軸の傾補正機構
JP2002252471A (ja) 2001-02-26 2002-09-06 Matsushita Electric Works Ltd 多層積層板の基準マークのx線検出方法
US20030002043A1 (en) 2001-04-10 2003-01-02 Kla-Tencor Corporation Periodic patterns and technique to control misalignment
US6716646B1 (en) 2001-07-16 2004-04-06 Advanced Micro Devices, Inc. Method and apparatus for performing overlay measurements using scatterometry
DE10147947C1 (de) * 2001-09-28 2003-04-24 Siemens Ag Verfahren zur Herstellung eines Streustrahlenrasters oder Kollimators
FR2830976B1 (fr) * 2001-10-17 2004-01-09 Ge Med Sys Global Tech Co Llc Grilles anti-diffusantes a faible attenuation et procede de fabrication de telles grilles
JP4938219B2 (ja) 2001-12-19 2012-05-23 ケーエルエー−テンカー コーポレイション 光学分光システムを使用するパラメトリック・プロフィーリング
US6778275B2 (en) 2002-02-20 2004-08-17 Micron Technology, Inc. Aberration mark and method for estimating overlay error and optical aberrations
US6992764B1 (en) 2002-09-30 2006-01-31 Nanometrics Incorporated Measuring an alignment target with a single polarization state
US7842933B2 (en) 2003-10-22 2010-11-30 Applied Materials Israel, Ltd. System and method for measuring overlay errors
US6937337B2 (en) 2003-11-19 2005-08-30 International Business Machines Corporation Overlay target and measurement method using reference and sub-grids
US7321426B1 (en) 2004-06-02 2008-01-22 Kla-Tencor Technologies Corporation Optical metrology on patterned samples
CN2758762Y (zh) * 2004-12-20 2006-02-15 赵久 自动化x射线定向仪
US7478019B2 (en) 2005-01-26 2009-01-13 Kla-Tencor Corporation Multiple tool and structure analysis
JP4585926B2 (ja) 2005-06-17 2010-11-24 株式会社日立ハイテクノロジーズ パターンレイヤーデータ生成装置、それを用いたパターンレイヤーデータ生成システム、半導体パターン表示装置、パターンレイヤーデータ生成方法、及びコンピュータプログラム
CA2623927A1 (en) 2005-10-04 2007-04-12 Thermo Niton Analyzers Llc Analysis of elemental composition and thickness in multilayered materials
US7567351B2 (en) 2006-02-02 2009-07-28 Kla-Tencor Corporation High resolution monitoring of CD variations
JP4887062B2 (ja) 2006-03-14 2012-02-29 株式会社日立ハイテクノロジーズ 試料寸法測定方法、及び試料寸法測定装置
US7406153B2 (en) 2006-08-15 2008-07-29 Jordan Valley Semiconductors Ltd. Control of X-ray beam spot size
US7440546B2 (en) 2006-12-06 2008-10-21 Uchicago Argonne, Llc Method of making and structure of multilayer laue lens for focusing hard x-rays
US7873585B2 (en) 2007-08-31 2011-01-18 Kla-Tencor Technologies Corporation Apparatus and methods for predicting a semiconductor parameter across an area of a wafer
WO2010016211A1 (ja) * 2008-08-06 2010-02-11 株式会社日立ハイテクノロジーズ 校正用標準部材及びそれを用いた走査電子顕微鏡並びに走査電子顕微鏡の校正方法
US7929667B1 (en) 2008-10-02 2011-04-19 Kla-Tencor Corporation High brightness X-ray metrology
JP5380460B2 (ja) 2008-11-05 2014-01-08 株式会社日立ハイテクノロジーズ 校正用標準部材およびその作製方法並びにそれを用いた走査電子顕微鏡
US8068662B2 (en) 2009-03-30 2011-11-29 Hermes Microvision, Inc. Method and system for determining a defect during charged particle beam inspection of a sample
JP5764380B2 (ja) 2010-04-29 2015-08-19 エフ イー アイ カンパニFei Company Sem画像化法
US9046475B2 (en) 2011-05-19 2015-06-02 Applied Materials Israel, Ltd. High electron energy based overlay error measurement methods and systems
US10107621B2 (en) 2012-02-15 2018-10-23 Nanometrics Incorporated Image based overlay measurement with finite gratings
US10801975B2 (en) 2012-05-08 2020-10-13 Kla-Tencor Corporation Metrology tool with combined X-ray and optical scatterometers
US10013518B2 (en) 2012-07-10 2018-07-03 Kla-Tencor Corporation Model building and analysis engine for combined X-ray and optical metrology
WO2014062972A1 (en) 2012-10-18 2014-04-24 Kla-Tencor Corporation Symmetric target design in scatterometry overlay metrology
US9581430B2 (en) 2012-10-19 2017-02-28 Kla-Tencor Corporation Phase characterization of targets
US10769320B2 (en) 2012-12-18 2020-09-08 Kla-Tencor Corporation Integrated use of model-based metrology and a process model
US9291554B2 (en) 2013-02-05 2016-03-22 Kla-Tencor Corporation Method of electromagnetic modeling of finite structures and finite illumination for metrology and inspection
US10101670B2 (en) 2013-03-27 2018-10-16 Kla-Tencor Corporation Statistical model-based metrology
EP3013233B1 (en) * 2013-06-28 2017-11-15 Koninklijke Philips N.V. Correction in slit-scanning phase contrast imaging
US9846132B2 (en) 2013-10-21 2017-12-19 Kla-Tencor Corporation Small-angle scattering X-ray metrology systems and methods
US10324050B2 (en) 2015-01-14 2019-06-18 Kla-Tencor Corporation Measurement system optimization for X-ray based metrology
AT14686U1 (de) * 2015-01-27 2016-04-15 Plansee Se Streustrahlenraster
KR102512180B1 (ko) 2015-04-28 2023-03-20 케이엘에이 코포레이션 계산 효율적인 x 선 기반의 오버레이 측정
US10352695B2 (en) 2015-12-11 2019-07-16 Kla-Tencor Corporation X-ray scatterometry metrology for high aspect ratio structures
CN109073902B (zh) 2016-04-22 2020-12-29 科磊股份有限公司 用于小光斑大小透射小角x射线散射术的光束整形狭缝
US10775323B2 (en) 2016-10-18 2020-09-15 Kla-Tencor Corporation Full beam metrology for X-ray scatterometry systems
US10481111B2 (en) 2016-10-21 2019-11-19 Kla-Tencor Corporation Calibration of a small angle X-ray scatterometry based metrology system
US11073487B2 (en) 2017-05-11 2021-07-27 Kla-Tencor Corporation Methods and systems for characterization of an x-ray beam with high spatial resolution

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100073690A1 (en) 2006-07-25 2010-03-25 Micron Technology, Inc. Parameter measurement using multi-layer structures
US20090279090A1 (en) 2006-07-27 2009-11-12 Robert Gregory Wolf Multiple measurement techniques including focused beam scatterometry for characterization of samples
US20150117610A1 (en) 2013-10-28 2015-04-30 Kla-Tencor Corporation Methods and apparatus for measuring semiconductor device overlay using x-ray metrology
US20150300965A1 (en) 2014-04-21 2015-10-22 Kla-Tencor Corporation Scatterometry-Based Imaging and Critical Dimension Metrology

Also Published As

Publication number Publication date
TW201945690A (zh) 2019-12-01
KR20200127048A (ko) 2020-11-09
WO2019191335A1 (en) 2019-10-03
US20190302039A1 (en) 2019-10-03
JP7133030B2 (ja) 2022-09-07
TWI803609B (zh) 2023-06-01
CN112105917A (zh) 2020-12-18
CN112105917B (zh) 2022-09-16
US10816486B2 (en) 2020-10-27
JP2021519428A (ja) 2021-08-10

Similar Documents

Publication Publication Date Title
KR102416916B1 (ko) X-선 기반 측정 시스템의 교정 및 정렬을 위한 다층 타깃
JP7379620B2 (ja) 高空間分解能を有するx線ビームの特性評価のための方法及びシステム
KR102548653B1 (ko) 작은 각도 엑스선 스캐터로메트리 기반 계측 시스템의 캘리브레이션
CN109073902B (zh) 用于小光斑大小透射小角x射线散射术的光束整形狭缝
KR102580560B1 (ko) 투과 소각 x 선 산란 계측 시스템
KR20220140826A (ko) X-선 기반 계측을 위한 웨이퍼 기울기 측정 및 제어

Legal Events

Date Code Title Description
E701 Decision to grant or registration of patent right
GRNT Written decision to grant