TW201945690A - 用於基於x射線之量測系統之校正及對準之多層目標 - Google Patents

用於基於x射線之量測系統之校正及對準之多層目標 Download PDF

Info

Publication number
TW201945690A
TW201945690A TW108111019A TW108111019A TW201945690A TW 201945690 A TW201945690 A TW 201945690A TW 108111019 A TW108111019 A TW 108111019A TW 108111019 A TW108111019 A TW 108111019A TW 201945690 A TW201945690 A TW 201945690A
Authority
TW
Taiwan
Prior art keywords
ray
incident
multilayer structure
multilayer
ray beam
Prior art date
Application number
TW108111019A
Other languages
English (en)
Other versions
TWI803609B (zh
Inventor
尼可雷 亞提湄夫
安東尼歐 吉里紐
亞歷山德爾 畢卡諾維
亞歷山大 庫茲尼斯夫
Original Assignee
美商克萊譚克公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商克萊譚克公司 filed Critical 美商克萊譚克公司
Publication of TW201945690A publication Critical patent/TW201945690A/zh
Application granted granted Critical
Publication of TWI803609B publication Critical patent/TWI803609B/zh

Links

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N23/00Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00
    • G01N23/20Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by using diffraction of the radiation by the materials, e.g. for investigating crystal structure; by using scattering of the radiation by the materials, e.g. for investigating non-crystalline materials; by using reflection of the radiation by the materials
    • G01N23/20008Constructional details of analysers, e.g. characterised by X-ray source, detector or optical system; Accessories therefor; Preparing specimens therefor
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N23/00Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00
    • G01N23/20Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by using diffraction of the radiation by the materials, e.g. for investigating crystal structure; by using scattering of the radiation by the materials, e.g. for investigating non-crystalline materials; by using reflection of the radiation by the materials
    • G01N23/201Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by using diffraction of the radiation by the materials, e.g. for investigating crystal structure; by using scattering of the radiation by the materials, e.g. for investigating non-crystalline materials; by using reflection of the radiation by the materials by measuring small-angle scattering
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B15/00Measuring arrangements characterised by the use of electromagnetic waves or particle radiation, e.g. by the use of microwaves, X-rays, gamma rays or electrons
    • G01B15/02Measuring arrangements characterised by the use of electromagnetic waves or particle radiation, e.g. by the use of microwaves, X-rays, gamma rays or electrons for measuring thickness
    • G01B15/025Measuring arrangements characterised by the use of electromagnetic waves or particle radiation, e.g. by the use of microwaves, X-rays, gamma rays or electrons for measuring thickness by measuring absorption
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N23/00Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00
    • G01N23/20Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by using diffraction of the radiation by the materials, e.g. for investigating crystal structure; by using scattering of the radiation by the materials, e.g. for investigating non-crystalline materials; by using reflection of the radiation by the materials
    • G01N23/205Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by using diffraction of the radiation by the materials, e.g. for investigating crystal structure; by using scattering of the radiation by the materials, e.g. for investigating non-crystalline materials; by using reflection of the radiation by the materials using diffraction cameras
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N23/00Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00
    • G01N23/20Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by using diffraction of the radiation by the materials, e.g. for investigating crystal structure; by using scattering of the radiation by the materials, e.g. for investigating non-crystalline materials; by using reflection of the radiation by the materials
    • G01N23/207Diffractometry using detectors, e.g. using a probe in a central position and one or more displaceable detectors in circumferential positions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67259Position monitoring, e.g. misposition detection or presence detection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67282Marking devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • H01L21/681Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment using optical controlling means
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N2223/00Investigating materials by wave or particle radiation
    • G01N2223/10Different kinds of radiation or particles
    • G01N2223/1003Different kinds of radiation or particles monochromatic
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N2223/00Investigating materials by wave or particle radiation
    • G01N2223/30Accessories, mechanical or electrical features
    • G01N2223/303Accessories, mechanical or electrical features calibrating, standardising
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N2223/00Investigating materials by wave or particle radiation
    • G01N2223/60Specific applications or type of materials
    • G01N2223/611Specific applications or type of materials patterned objects; electronic devices
    • G01N2223/6116Specific applications or type of materials patterned objects; electronic devices semiconductor wafer

Landscapes

  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Pathology (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Biochemistry (AREA)
  • General Health & Medical Sciences (AREA)
  • Health & Medical Sciences (AREA)
  • Immunology (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Engineering & Computer Science (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Electromagnetism (AREA)
  • Analysing Materials By The Use Of Radiation (AREA)
  • Length-Measuring Devices Using Wave Or Particle Radiation (AREA)
  • Measurement Of Radiation (AREA)

Abstract

本文描述實現基於X射線之量測系統之快速及準確之絕對校正及對準之多層目標。該等多層校正目標具有非常高繞射效率且使用快速、低成本生產技術來製造。各目標包含使用成對之X射線透射及X射線吸收材料來構建之一多層結構。該多層目標結構之層定向為平行於一入射X射線束。所量測之繞射圖案指示該入射X射線束與該多層目標之間的位置及定向失準。在另一態樣中,一複合多層目標包含至少兩個多層結構,配置成沿與該入射X射線束對準之一方向彼此相鄰、沿垂直於該入射X射線束之一方向彼此相鄰或其等之一組合。在一些實施例中,該等多層結構以一間隙距離彼此空間間隔開。

Description

用於基於X射線之量測系統之校正及對準之多層目標
所描述之實施例係關於X射線度量系統及方法,且更特定言之,所描述之實施例係關於用於提高量測準確度之方法及系統。
半導體裝置(諸如邏輯及記憶體裝置)通常由施加於一樣品之一處理步驟序列製造。半導體裝置之各種特徵及多個結構層級由此等處理步驟形成。例如,其中之微影係涉及在一半導體晶圓上產生一圖案之一半導體製程。半導體製程之額外實例包含(但不限於)化學機械拋光、蝕刻、沈積及離子植入。多個半導體裝置可製造於一單一半導體晶圓上且接著分離成個別半導體裝置。
在一半導體製程期間之各種步驟中,使用度量程序來偵測晶圓上之缺陷以促成較高良率。包含散射量測及反射量測實施方案之基於度量之諸多技術及相關聯分析演算法常用於特徵化奈米級結構之臨界尺寸、膜厚度、組成及其他參數。
傳統上,對由薄膜及/或重複週期性結構組成之目標執行散射量測臨界尺寸量測。在裝置製造期間,此等膜及週期性結構通常表示實際裝置幾何形狀及材料結構或一中間設計。隨著裝置(例如邏輯及記憶體裝置)走向更小奈米級尺寸,特徵化變得更困難。併入複雜三維幾何形狀及具有不同物理性質之材料之裝置導致特徵化困難。例如,現代記憶體結構通常為難以使光學輻射難穿透底層之高縱橫比三維結構。利用紅外線至可見光之光學度量工具可穿透諸多半透明材料層,但提供良好穿透深度之較長波長無法提供對小異常之足夠敏感度。另外,特徵化複雜結構(例如FinFET)所需之越來越多參數導致參數相關性增強。因此,特徵化目標之參數通常無法與可用量測可靠地解耦合。
在一實例中,已試圖採用較長波長(例如近紅外線)來克服利用多晶矽作為堆疊中交替材料之一者之3D快閃裝置之穿透問題。然而,當照明深入傳播至膜堆疊中時,3D快閃之似鏡結構本質上引起光強度減弱。此引起深度處之敏感度損失及相關性問題。在此情境中,SCD僅能夠高敏感度及低相關性地成功提取一組減少度量尺寸。
在另一實例中,不透明高k材料越來越多用於現代半導體結構中。光學輻射通常無法穿透由此等材料構造之層。因此,使用薄膜散射量測工具(諸如橢圓偏光計或反射計)之量測變得越來越有挑戰性。
已回應於此等挑戰而開發更複雜光學度量工具。例如,已開發具有多個照明角、較短照明波長、較寬照明波長範圍及來自反射信號之更完整資訊獲取(例如量測多個穆勒(Mueller)矩陣元素及更習知反射率或橢偏信號)之工具。然而,此等方法無法可靠地克服與諸多先進目標(例如複雜3D結構、小於10 nm之結構、採用不透明材料之結構)及量測應用(例如線邊緣粗糙度及線寬粗糙度量測)之量測相關聯之根本挑戰。
原子力顯微鏡(AFM)及掃描穿隧顯微鏡(STM)能夠達成原子解析度,但其等僅可探測樣品之表面。另外,AFM及STM顯微鏡需要長掃描時間。掃描電子顯微鏡(SEM)達成中等解析度位準,但無法穿透結構至足夠深度。因此,高縱橫比孔無法很好特徵化。另外,樣品之所需充電對成像效能具有負面影響。X射線反射計亦面臨在量測高縱橫比結構時限制其效力之穿透問題。
為克服穿透深度問題,傳統成像技術(諸如TEM、SEM等等)與破壞性樣本製備技術(諸如聚焦離子束(FIB)機械加工、離子研磨、毯覆式或選擇性蝕刻等等)一起使用。例如,透射電子顯微鏡(TEM)達成高解析度位準且能夠探測任意深度,但TEM需要破壞性剖切樣品。材料移除及量測之數次反覆大體上提供量測整個三維結構之臨界度量參數所需之資訊。然而,此等技術需要破壞樣本及漫長處理時間。歸因於蝕刻及度量步驟之漂移,完成此等類型之量測之複雜性及時間帶來較大不準確度。另外,此等技術需要多次反覆,其帶來配準誤差。
採用一硬X射線能階(>15 keV)處之光子之透射小角度X射線散射量測(T-SAXS)系統已顯示解決挑戰性量測應用之希望。以下各者中描述SAXS技術應用於臨界尺寸(CD-SAXS)及重疊(OVL-SAXS)之量測之各種態樣:1) Zhuang及Fielden之名稱為「High-brightness X-ray metrology」之美國專利第7,929,667號、2) Bakeman、Shchegrov、Zhao及Tan之名稱為「Model Building And Analysis Engine For Combined X-ray And Optical Metrology」之美國公開專利第2014/0019097號、3) Veldman、Bakeman、Shchegrov及Mieher之名稱為「Methods and Apparatus For Measuring Semiconductor Device Overlay Using X-ray Metrology」之美國公開專利第2015/0117610號、4) Hench、Shchegrov及Bakeman之名稱為「Measurement System Optimization For X-ray Based Metrology」之美國公開專利第2016/0202193號、5) Dziura、Gellineau及Shchegrov之名稱為「X-ray Metrology For High Aspect Ratio Structures」之美國公開專利第2017/0167862號及6) Gellineau、Dziura、Hench、Veldman及Zalubovsky之名稱為「Full Beam Metrology for X-ray Scatterometry Systems」之美國公開專利第2018/0106735號。上述專利文件讓與KLA-Tencor公司(加州Milpitas市(美國))。
SAXS亦已應用於材料之特徵化及其他非半導體相關應用。例示性系統已由包含Xenocs SAS (www.xenocs.com)、Bruker公司(www.bruker.com)及Rigaku公司(www.rigaku.com/en))之幾家公司商業化。
科學文獻中亦描述關於半導體結構之CD-SAXS度量之研究。大多數研究團體已採用歸因於其巨大體積、成本等等而不適合用於一半導體製造設施中之高亮度X射線同步輻射源。Lemaillet、Germer、Kline等人之名稱為「Intercomparison between optical and X-ray scatterometry measurements of FinFET structures」之論文(Proc. SPIE,v.8681,p.86810Q (2013))中描述此一系統之一實例。近年來,美國國家標準與技術研究院(NIST)中之一團體已開始研究採用類似於美國專利第7,929,667號中描述之X射線源之小型及明亮X射線源。名稱為「X-ray scattering critical dimensional metrology using a compact X-ray source for next generation semiconductor devices」之一論文(J. Micro/Nanolith. MEMS MOEMS 16(1),014001 (2017年1月至3月))中描述此研究。
X射線束與目標之相互作用必須經校正且與度量系統對準以確保有效量測。例示性特徵化包含:將X射線束之峰值強度精確定位於目標上及識別X射線束之邊界,使得僅光束通量之一特定百分比位於邊界外。例示性對準包含X射線束與一光學視覺系統之對準、X射線束與工具之特定機械特徵(例如晶圓旋轉軸線等等)之對準等等。
一般而言,由一光學顯微鏡基於安置於整個晶圓中之對準標記之光學量測來在X射線束之路徑中導航一晶圓。為確保相對於X射線束精確導航一特定目標,需要在用於量測標記之光學顯微鏡之座標中量測束輪廓。
用於SAXS工具之校正及對準之當前技術遭受非常長量測時間且其準確度高度取決於製備目標之準確度。
美國公開專利第2018/0113084號中描述基於刀刃來校正及對準CD-SAXS工具之方法,該專利之全部內容以引用的方式併入本文中。基於刀刃之校正及對準本質上為一樣本台與一探測束之一間接對準方法。若所需量測反覆之次數變得過多,則對準時間會很漫長。另外,準確度受限於刀刃之半透明度且亦高度取決於刀刃之製造準確度。
在一些實例中,一光學顯微鏡與一刀刃對準且刀刃與X射線束對準。歸因於由X射線輻射在刀刃之邊緣附近照射之刀材料之半透明度,使用傳統刀刃來特徵化一X射線束被複雜化。例如,當由具有20 keV之一能階之光子照射時,鎢具有約8.4微米之一光束衰減長度。在此長度中,透射下降約1/e (e=2.718)倍。針對依一30°角成形之一刀刃,對應於8.4微米之一高度之楔形之長度係約14.5微米。一X射線束掃描期間之一刀刃位置之不確定性之一簡單估計說明,當所需對準準確度小於數微米(例如小於10微米)時,刀刃之半透明度係限制。
在一些其他實例中,X射線束輪廓由位於相對於X射線束之某一點(例如聚焦光學器件之一焦點)之一高解析度X射線攝影機特徵化。在此等實例中,使用高解析度X射線攝影機來量測束輪廓,且將光束之量測座標轉移至用於在X射線束之路徑中導航晶圓之光學顯微鏡。不幸地,與將量測座標自X射線攝影機轉移至光學顯微鏡相關聯之誤差很大且超過導航之所需準確度。
此外,由一X射線攝影機或刀刃特徵化X射線束本質上係間接的且無法提供關於入射於目標上之光子通量及相鄰區域之光子污染之量化資料。
美國公開專利第2018/0328868號中描述基於繞射目標來校正及對準CD-SAXS工具之方法,該專利之全部內容以引用的方式併入本文中。由傳統半導體製造技術製造之繞射目標遭受低對比度。另外,製造前置時間通常非常長及昂貴。包含諸多目標之一晶圓非常昂貴,且目標設計或目標參數值之任何改變需要另一昂貴及長前置時間採購。
多層結構已用作為聚焦X射線光學元件。以下各者中描述用於硬X射線聚焦之多層結構之製造及使用方法:美國公開專利第2008/0137810號、美國專利第6,389,100號、德國專利第DE102013005845號及T. Grap、F. Riederer、C. Gupta及J. Knoch之「Buried multi-gate InAs-nanowire FETs」(2017年第47屆歐洲固態裝置研究會議(ESSDERC),Leuven,2017年,第82頁至第85頁),此等參考之各者之全部內容以引用的方式併入本文中。
獨立多層目標亦已用於軟X射線顯微鏡之調變轉移函數之量測,如V. V. Yashchuk等人之名稱為「Binary pseudo-random patterned structures for modulation transfer function calibration and resolution characterization of a full-field transmission soft X-ray microscope」之論文(Review of Scientific Instruments 86,123702 (2015))中所描述,該論文之全部內容以引用的方式併入本文中。
以下各者中描述採用散射樣本及山萮酸銀粉末繞射樣本來校正SAXS工具之方法:T.C. Huang等人之「X-ray Powder Diffraction analysis of Silver Behenate, a Possible Low-angle Diffraction Standard」(J. Appl. Cryst. 26,180-184 (1993))及Lixin Fan等人之「The Absolute Calibration of a Small-Angle Scattering Instrument with a Laboratory X-ray Source」(Journal of Physics: Conference Series 247 (2010) 012005),此等參考之各者之全部內容以引用的方式併入本文中。
不幸地,山萮酸銀目標需要非常長曝光時間且僅可用於執行樣本至偵測器距離量測。為減少曝光時間,必須使用一較厚樣本,其增加距離量測之不確定性。玻璃碳及其他擴散散射目標亦需要非常長曝光時間。此外,量測結果高度取決於樣本製備準確度(例如樣本之幾何尺寸)及樣本純度。
歸因於越來越小解析度要求、多參數相關性、越來越複雜幾何結構(其包含高縱橫比結構)及越來越多使用不透明材料,未來度量應用面臨度量挑戰。X射線工具對準及目標導航之既有方法受限於約10微米至約20微米之一準確度。此等方法無法以半導體度量應用之足夠準確度定位及量測一X射線束中之小尺寸(約50微米)之度量目標。因此,期望用於基於X射線之度量系統中之X射線束之改良對準及校正之方法及系統滿足先進製造節點之放置要求。
本文描述實現基於X射線之量測系統之快速及準確之絕對校正及對準之多層目標。多層校正目標具有非常高繞射效率且使用快速低成本生產技術來製造。
各目標包含使用成對之X射線透射及X射線吸收材料來構建之一多層結構。厚多層目標結構設定成一透射配置(即,勞厄(Laue)幾何形狀),使得材料層定向為平行於輸入X射線束。X射線繞射於目標之週期性結構上。垂直於各層之方向上之多層結構之總厚度(即,成對之層之數目乘以多層週期)界定目標之高度。目標之寬度可具有高達多層結構製造於其上之基板之長度之任何大小(例如數厘米)。
本文所描述之多層目標之對準及校正效能不取決於多層目標幾何參數(即,高度、寬度、深度)之準確度。此外,本文所描述之多層目標之對準及校正效能不取決於用於自最初施加於一基板之多層塗層提取目標之方法之準確度。目標之周邊之可能碎屑及粗糙度不會降低對準及校正準確度。
在一些實施例中,採用多層目標來對準及校正一透射小角度X射線散射量測(T-SAXS)度量系統。一半導體製造環境中之實際T-SAXS量測需要在相對於一樣品(例如半導體晶圓)之表面之入射角及方位角之一大範圍內使用一小光點大小(例如跨有效照明點小於50微米)來量測。需要準確定位晶圓及特徵化光束大小及形狀以達成小量測框大小。另外,本文呈現在入射角及方位角之全範圍內將探測光束準確定位於一半導體晶圓之表面上之所要目標區域上之校正。此實現量測小框大小度量目標(例如位於具有50微米或更小之尺寸之切割道中之度量目標)所需之晶圓之精確導航。
舉非限制性實例而言,本文所描述之多層目標實現一SAXS度量工具中之以下對準及校正量測:1)通過量測框之通量之直接量測;2)一量測框大小周圍之光子洩漏之直接量測;3)所有自由度上之樣本與度量台之對準;5)所有自由度上之樣本與入射X射線束之對準;6)根據晶圓台角對準之入射X射線束校正;及7)自目標至偵測器之距離。
在一些實施例中,使用標準光學多層沈積技術及分割技術之一組合來製造多層校正目標。此實現各種應用之快速及低成本生產。
在其他實施例中,使用標準半導體製造技術來製造多層校正目標。在一些實施例中,將一多層堆疊沈積於製造於一半導體晶圓上之一垂直結構之側上。
在另一態樣中,將光學標記製造於垂直於入射X射線束之一多層目標之一表面上。可藉由蝕刻、離子研磨、雷射刻劃等等來將光學標記製造至多層目標上。光學標記能夠使多層目標與進一步用於基於X射線之散射量測工具上之晶圓導航之一或多個光學顯微鏡對準。
在另一態樣中,多層目標經定向使得多層目標之層平行於入射X射線束。
在另一態樣中,基於繞射階之間的空間間隔來量測一多層目標與一偵測器之間的距離。
在另一態樣中,在平行或垂直於一多層目標之層且垂直於入射X射線束之一方向上平移多層目標以使入射X射線束與多層目標之中心對準。
在另一態樣中,圍繞垂直於一多層目標之層且垂直於入射X射線束之一旋轉軸線旋轉多層目標以相對於入射X射線束及多層目標定位晶圓台之旋轉軸線。
在另一態樣中,提供一複合多層目標,其包含至少兩個多層結構,配置成沿與入射X射線束對準之一方向彼此相鄰、沿垂直於入射X射線束之一方向彼此相鄰或其等之一組合。依此方式,組合多個多層目標以形成依不同繞射角及跨不同繞射平面繞射入射X射線束之不同部分之特殊校正及對準目標。
在一些實施例中,一複合多層目標包含在垂直於入射X射線束之一共同平面中安裝至一基板之兩個多層結構。另外,多層結構以一間隙距離彼此空間間隔開。此等複合多層目標可用於量測通過複合多層目標之間隙之通量及與入射於間隙外之一複合多層目標上之光子相關聯之光子損失。
上文係一概要且因此必然含有細節之簡化、概括及省略;因此,熟習技術者應瞭解,概要僅供說明且絕非限制。將在本文所闡述之非限制性詳細描述中明白本文所描述之裝置及/或程序之其他態樣、發明特徵及優點。
相關申請案之交叉參考
本專利申請案根據35 U.S.C. §119規定主張2018年3月28日申請之美國臨時專利申請案第62/649,131號之優先權,該案標的之全部內容以引用的方式併入本文中。
現將詳細參考背景實例及本發明之一些實施例,其等之實例繪示於附圖中。
本文描述實現基於X射線之度量及檢測工具之快速及準確之絕對校正及對準之多層目標。多層校正目標具有非常高繞射效率且使用標準光學多層沈積及分割技術之一組合來製造以實現各種應用之快速及低成本生產。
各目標包含使用成對之X射線透射及X射線吸收材料(例如成對之矽鎢材料、成對之矽鉬材料等等)來構建之一多層結構。厚多層目標結構設定成一透射配置(即,勞厄幾何形狀),使得材料層定向為平行於入射X射線束。因而,一多層目標之各層定向於標稱正交於晶圓平面之一方向上。X射線繞射於目標之週期性結構上。定向為平行於入射X射線束之層之長度(即,由入射X射線束穿透之多層結構之深度)界定繞射效率。原則上,由入射X射線束穿透之多層結構之深度可為任何長度。因此,依此方式製造之一多層目標可經設計以具有高達100%之任何所要繞射效率。實際上,此長度在數微米(例如3微米或更大)至多層結構製造於其上之基板之長度(例如數厘米)之範圍內。多層結構之週期界定繞射角。垂直於各層之方向上之多層結構之總厚度(即,成對之層之數目乘以多層週期)界定目標之高度。目標之寬度可具有高達多層結構製造於其上之基板之長度之任何大小(例如數厘米)。
一基於X射線之度量或檢測工具之對準及校正之準確度僅取決於多層結構之初始特徵化之準確度,其可以非常高精確度(例如1奈米之分率)執行。因此,本文所描述之多層目標能夠以數微米之準確度(例如小於5微米之準確度)快速初始及例行校正及對準基於X射線之度量及檢測工具(例如一臨界尺寸小角度X射線散射量測(CD-SAXS))。
本文所描述之多層目標之對準及校正效能不取決於多層目標幾何參數(即,高度、寬度、深度)之準確度。此外,本文所描述之多層目標之對準及校正效能不取決於用於自最初施加於一基板之多層塗層提取目標之方法之準確度。目標之周邊之可能碎屑及粗糙度不會降低對準及校正準確度。
本文所描述之多層目標之高繞射效率實現非常短量測曝光時間,其繼而實現基於X射線之度量及檢測工具之明顯更快校正及對準。
在一些實施例中,多層目標用於對準及校正一透射小角度X射線散射量測(T-SAXS)度量系統。一半導體製造環境中之實際T-SAXS量測需要在相對於一樣品(例如半導體晶圓)之表面之入射角及方位角之一大範圍內使用一小光點大小(例如跨有效照明點小於50微米)來量測。需要晶圓之準確定位及光束大小及形狀之特徵化來達成小量測框大小。另外,本文呈現在入射角及方位角之全範圍內將探測光束準確定位於一半導體晶圓之表面上之所要目標區域上之校正。此實現量測小框大小度量目標(例如位於具有50微米或更小之尺寸之切割道中之度量目標)所需之晶圓之精確導航。
舉非限制性實例而言,本文所描述之多層目標實現一SAXS度量工具中之以下對準及校正量測:1)通過量測框之通量之直接量測;2)一量測框大小周圍之光子洩漏之直接量測;3)所有自由度上之樣本與度量台之對準;5)所有自由度上之樣本與入射X射線束之對準;6)根據晶圓台角對準之入射X射線束校正;及7)自目標至偵測器之距離。
在一態樣中,使用標準光學多層沈積及分割技術之一組合來製造多層校正目標。此實現各種應用之快速及低成本生產。快速目標製造(例如數天而非數週)能夠快速改良校正目標設計及開發校正及對準方法。此外,可快速實現匹配客戶特定應用之不同大小之校正及對準目標。多層塗層製造技術被極好發展。多層參數之穩定性及準確度非常高。因此,本文所描述之多層結構之實際效能完全滿足期望。
圖1係繪示一矽基板201上之一多層結構202的一圖式。矽基板201係一超拋光平坦基板。多層結構202包含X射線透射材料及X射線吸收材料之交替層(例如成對之矽鎢材料、成對之矽鉬材料等等)。X射線透射材料層之厚度可相同或不同於X射線吸收材料層之厚度。然而,各重複堆疊之成對材料實質上尺寸及材料組成相同。換言之,堆疊之成對材料之各者包含相同厚度之X射線透射材料及相同厚度X射線吸收材料。結構之所得週期性由一單一成對材料之厚度Λ特徵化。多層塗層之總厚度等於目標之高度。多層塗層之週期由所要繞射階間隔及由基於X射線之度量系統採用之入射X射線束之X射線光子能界定。一般而言,多層塗層之週期可小至2.5奈米。然而,實際上,使用自10奈米至100奈米之一範圍內之一多層週期來達成具有典型X射線照明束能量之良好空間間隔之偵測器上之合理數目個繞射階。一較小週期增大目標之縱橫比(即,多層目標之深度與週期之間的比率)。隨著縱橫比增大,目標與入射束之對準變得越來越難。例如,入射X射線束之軸線與具有一10奈米週期及10微米深度之一目標之繞射平面之間的1毫弧度角將導致繞射階之強度之相當大損失。因此,可有利地選擇儘可能大之一多層週期,同時仍達成入射X射線束之一給定X射線光子能之一所要繞射階間隔。
如圖1中所描繪,藉由一聚焦離子束(FIB)蝕刻來自多層樣本切出一小多層段205。一聚焦離子束工具203將一聚焦離子束204傳遞至多層樣本且使具有所要大小(即,所要寬度、深度及高度)之多層段205與多層樣本分離。一般而言,目標之高度受限於多層塗層之高度(其通常為100微米或更小)。然而,一般而言,目標之深度(沿光束之長度)及跨光束之目標之寬度可為小於基板201之直徑之任何大小。
圖2描繪由與圖1中所描繪之多層樣本分離之多層段205組裝之一多層目標210。多層目標210沿入射X射線束之深度D界定繞射效率。多層結構211之高度H係多層結構202之厚度。多層目標210之寬度W係分離目標205之寬度。在一實例中,一多層結構具有50微米之一高度H、50微米之一寬度W及5微米之一深度D。
在圖2所描繪之實施例中,多層目標210包含由母基板212支撐之多層結構211,母基板212與多層結構211一起提取為多層段205之部分。另外,多層目標210包含基板213,其附接至多層結構211以提供額外結構支撐及促進安裝至待校正之一度量系統。基板212及213由不繞射X射線之材料(例如矽)製造。在多層目標之一些其他實施例中,不存在基板212及213之任一者或兩者。在一實施例中,一多層目標僅包含多層結構211。在此等實施例中,多層目標係一獨立多層結構。預期具有約10之一縱橫比(即,H/D)之一多層目標係一穩定獨立結構。一獨立多層結構因不必附接至另一支撐結構而維持其形狀。依此方式,一多層目標可僅包含多層結構211,無來自另一基板之支撐。替代地,多層結構211可附接至一X射線透射基板213,可保持附接至其母基板(即,圖1中所描繪之基板201)之一部分212,或兩者,如圖2中所描繪。依此方式,多層結構211可脫離其矽基板或保持附接以便於處置。
如圖2中所描繪,入射X射線束216入射於多層結構211之一50微米×50微米壁上。X射線束傳播穿過多層結構211而通過多層結構211之5微米深度。一般而言,多層目標210之大小可為任何適合大小。在一些實例中,多層目標210小至10微米。在一些實例中,多層目標210經設定大小以適合於一標準切割道目標(例如50微米)。
一典型超拋光矽基板(諸如圖1中所描繪之基板201)具有1英寸直徑且多層結構202製造於整個區域內。若所提取之多層目標之特徵尺寸係50微米至100微米,則即使在考量歸因於用於目標提取之FIB程序之各目標周圍之面積損失之後,一單一基板仍將產生數千個目標。
自一特定製造樣本提取之所有目標將具有實質上相同多層參數,諸如週期、材料組成、粗糙度、吸收器週期比及相互擴散層厚度。為初始特徵化此等性質,僅完全量測自基板之不同部分提取之數個目標。一般而言,僅完全量測自一基板提取之數個(例如約5個)目標以判定實際多層週期及繞射效率。若所有量測目標展示相同效能,則預期多層參數跨基板穩定且自樣本提取之所有其他目標將實質上相同。各提取目標之壁之品質及FIB程序之碎屑不影響本文所描述之目標效能。
在另一態樣中,使用標準半導體製造技術來製造多層校正目標。在一些實施例中,將一多層堆疊沈積於製造於一半導體晶圓上之一垂直結構之側上。
圖3描繪一多層目標220之一說明圖,多層目標220包含保形沈積於一垂直定向矽柱225之側壁上之一垂直定向多層結構223及在水平方向上保形沈積於矽基板221及垂直柱225之頂部上之一水平定向多層結構222。目標220包含水平多層及垂直多層兩者。水平多層及垂直多層兩者可用作為一繞射目標結構,如本文所描述。
圖4描繪一多層目標224之一說明圖,多層目標224包含保形沈積於垂直定向矽柱225之側壁上之一垂直定向多層結構223。多層目標224係經受自矽基板221移除水平多層結構222之一額外定向蝕刻步驟之多層目標220。目標220包含可用作為一繞射目標結構之垂直多層,如本文所描述。
使用標準半導體製造技術來製造之多層校正目標(諸如目標220及224)可為有利的,因為其可直接製造於一半導體製造晶圓上而無需額外切割、分割或安裝步驟。此外,此等目標係待由一基於X射線之散射量測系統量測之相同製造半導體晶圓之一整合部分。此簡化對準及校正程序。特定言之,其他類型之目標(例如用於驗證工具效能之目標)及光學對準標記或用於工具對準及校正之其他結構可製造於相同晶圓上。
在另一進一步態樣中,在垂直於入射X射線束之一表面上之一多層目標之一表面上蝕刻光學標記。圖2描繪蝕刻於面向入射X射線束216之基板212之一表面上之光學標記214及214。可藉由蝕刻、離子研磨、雷射刻劃等等來將光學標記蝕刻至多層目標中。一般而言,多層目標可包含正面、背面或兩者上之垂直於入射X射線束之表面上之光學標記。光學標記應具有足夠用於使用一導航顯微鏡(例如高倍率攝影機、低倍率攝影機或兩者)來獲得之影像之圖案辨識之高對比度。光學標記相對於目標之邊緣之位置之準確度應小於1微米。在一些實施例中,當自多層樣本提取目標時,光學標記由一聚焦離子束(FIB)機械加工工具(例如FIB工具203)離子研磨。一或多個光學標記能夠使多層目標與進一步用於基於X射線之散射量測工具上之晶圓導航之一或多個光學顯微鏡對準。依此方式,亦經由光學標記多層目標來校正入射X射線束相對於光學導航攝影機系統之位置。
在另一態樣中,多層目標經定向使得多層目標之層平行於入射X射線束。
圖5描繪入射於包含安裝至基板233之多層結構232之一多層目標上之一X射線束234。在偵測器235上偵測所得繞射階236、237A至237B及238A至238B。如圖5中所描繪,多層結構232之層定向為平行於入射X射線束234 (即,平行於與入射X射線束之一傳播方向對準之X射線束之一縱軸線)。此外,層定向為與投射至偵測器235上之晶圓平面之X軸平行。入射X射線束234繞射成分別入射於偵測器235上之量測點236、量測點237A至237B及量測點238A至238B處之零階光束、+/-1階光束及+/-2階光束。如圖5中所描繪,多層結構232沿投射至偵測器235上之晶圓平面之Y軸繞射入射束234。
多層結構232設計成具有一週期以針對入射束之一給定光子能達成偵測器上之繞射階之一所要間隔。另外,多層結構232設計成具有一深度D以針對入射束之一給定光子能達成一所要繞射效率或可在偵測器處偵測之所要繞射光子數。繞射效率與結構沿光束之長度之平方成比例且繞射光子數與入射束之波長之平方成比例。
在一些實施例中,採用提供具有可選光束能(即,波長)之一X射線束之一X射線照明源。在一實例中,採用一多元件陽極X射線照明源。在此等實施例中,選擇入射X射線束之波長以達成一所要繞射效率及繞射階之空間間隔以依最大速度執行校正及對準。例如,較軟光子在偵測器處產生具有較大空間間隔之繞射階。
多層結構232之縱橫比較大(例如,D/Λ大於50,D/Λ小於500)。因此,多層結構232之繞射效率對多層結構232圍繞一軸線261之定向非常敏感,軸線261垂直於入射X射線束234且平行於結構層。當多層結構232之結構層與入射X射線束234完全平行時,繞射效率最高。自完全對準圍繞軸線261小幅旋轉引起繞射效率快速下降。此敏感度用於使多層結構232及因此晶圓台與入射X射線束圍繞一旋轉軸線快速及準確對準,該旋轉軸線與投射至偵測器235上之晶圓之平面中之X軸高準確度對準(例如,一多層結構相對於一入射X射線束之對準在1毫弧度或更小內)。依此方式,校正圍繞晶圓之平面中之旋轉X軸之零入射角。例如,針對具有100之一縱橫比(例如100奈米之多層週期與沿X射線束之10微米之目標深度)之多層目標,當目標傾斜10毫弧度時,量測繞射束237A至237B及238A至238B之強度減弱多倍。
圖6描繪入射於包含安裝至基板240之多層結構239之一多層目標上之一X射線束234。在偵測器235上偵測所得繞射階243、244A至244B及245A至245B。如圖6中所描繪,多層結構239之層定向為平行於入射X射線束234。此外,層定向為與投射至偵測器235上之晶圓平面之Y軸平行。入射X射線束234繞射成分別入射於偵測器235上之量測點243、量測點244A至244B及量測點245A至245B處之零階光束、+/-1階光束及+/-2階光束。如圖6中所描繪,多層結構239沿投射至偵測器235上之晶圓平面之X軸繞射入射束234。
如參考多層結構232 (參考圖5)所描述,多層結構239設計成具有一週期以針對入射束之一給定光子能達成偵測器上之繞射階之一所要間隔。另外,多層結構239設計成具有一深度D以針對入射束之一給定子能達成一所要繞射效率或可在偵測器處偵測之所要繞射光子數。
類似地,多層結構239之縱橫比較大(例如,D/Λ大於50,D/Λ小於500)。因此,多層結構239之繞射效率對多層結構239圍繞一軸線253之定向非常敏感,軸線253垂直於入射X射線束234且平行於結構層。當多層結構239之結構層與入射X射線束234完全平行時,繞射效率最高。自完全對準圍繞軸線253小幅旋轉引起繞射效率快速下降。此敏感度用於使多層結構239及因此晶圓台與與入射X射線束圍繞一旋轉軸線快速及準確對準,該旋轉軸線與投射至偵測器235上之晶圓之平面中之Y軸高準確度對準(例如,一多層結構相對於一入射X射線束之對準在1毫弧度或更小內)。依此方式,校正圍繞晶圓之平面中之旋轉Y軸之零入射角。例如,針對具有100之一縱橫比(例如100奈米之多層週期與沿X射線束之10微米之目標深度)之多層目標,當目標傾斜10毫弧度時,量測繞射束244A至244B及245A至234B之強度減弱多倍。
在圖5及圖6所描繪之實施例中,兩個不同多層目標由一度量系統用於校正兩個正交方向上之入射角。然而,一般而言,可採用一單一多層目標以藉由僅在各校正之間旋轉多層目標90°來校正兩個正交方向上之入射角。
在另一態樣中,基於繞射階之間的空間間隔來量測一多層目標與一偵測器之間的距離。
如參考多層結構232 (參考圖5)所描述,多層結構239設計成具有一空間週期Λ且使用由一特定波長λ特徵化之X射線輻射來照射。若存在小角度散射,則各非零繞射階自零繞射階角位移一角度nθ,其中n係繞射階。角位移依據空間週期及照明波長而變化如下:sin(θ)=λ/Λ。此外,自目標至偵測器之距離L依據偵測器處之第一繞射階與零繞射階之間的空間間隔S而變化如下:L=S/sin(θ)。因此,自目標至偵測器之距離L可表示為:L=S*Λ/λ,其中S量測於偵測器處且λ及Λ係非常準確之已知系統參數。
依此方式,圖17中所描繪之計算系統130經組態以基於偵測器處所判定之繞射階之間的空間間隔及λ及Λ之已知系統參數值來估計一多層目標與一偵測器之間的距離。
在另一態樣中,在平行於或垂直於一多層目標之層且垂直於入射X射線束之一方向上平移多層目標以使入射X射線束沿一軸線與多層目標之中心對準。
再次參考圖5,X射線束234入射於多層結構232上且多層結構232之層定向為平行於入射X射線束234。如圖5中所描繪,舉例而言,在與投射至偵測器235上之晶圓平面之X軸平行之一方向上(例如沿軸線261)平移多層目標。在另一實例中,在垂直於投射至偵測器235上之晶圓平面之X軸之一方向上平移多層目標。多層結構(例如多層結構232)之實體尺寸判定在一方向或另一方向上有利地平移多層結構。若多層結構在一方向上實質上長於入射X射線束之光點大小且在另一方向上長度實質上類似或小於入射X射線束之光點大小,則在其中多層結構之長度範圍實質上類似於入射X射線束之光點大小之方向上有利地掃描多層結構。此最大化量測繞射階對多層結構之位置變化之敏感度。如圖5中所描繪,入射X射線束234繞射成分別入射於偵測器235上之量測點236、量測點237A至237B及量測點238A至238B處之零階光束、+/-1階光束及+/-2階光束等等。如圖5中所描繪,多層結構232沿投射至偵測器235上之晶圓平面之Y軸繞射入射束234。當入射X射線束234沿X軸定位於多層結構232之結構層之中心上時,繞射效率最高(即,量測繞射強度最高)。自X方向上之完全對準沿軸線261小幅平移引起繞射效率快速下降。此敏感度用於使多層結構232及因此晶圓台與入射X射線束在投射至偵測器235上之晶圓之平面中之X方向上快速及準確對準。
再次參考圖6,X射線束234入射於多層結構239上且多層結構239之層定向為平行於入射X射線束234。在圖6所描繪之實施例中,在與投射至偵測器235上之晶圓平面之Y軸平行之一方向上(例如沿軸線253)平移多層目標。在另一實例中,在垂直於投射至偵測器235上之晶圓平面之Y軸之一方向上平移多層目標。在一方向或另一方向上有利地平移多層結構取決於上文所描述之多層結構(例如多層結構239)之實體尺寸。入射X射線束234繞射成分別入射於偵測器235上之量測點243、量測點244A至244B及量測點245A至245B處之零階光束、+/-1階光束及+/-2階光束等等。如圖6中所描繪,多層結構239沿投射至偵測器235上之晶圓平面之X軸繞射入射束234。當入射X射線束234沿Y軸定位於多層結構239之結構層之中心上時,繞射效率最高(即,量測繞射強度最高)。自Y方向上之完全對準沿軸線253小幅平移引起繞射效率快速下降。此敏感度用於使多層結構239及因此晶圓台與入射X射線束在投射至偵測器235上之晶圓之平面中之Y方向上快速及準確對準。
在圖5及圖6所描繪之實施例中,兩個不同多層目標由一度量系統用於校正晶圓台相對於入射X射線束之X-Y對準。然而,一般而言,可採用一單一多層目標以藉由僅在各校正之間旋轉多層目標90°來校正X-Y對準。
在另一態樣中,圍繞垂直於一多層目標之層且垂直於入射X射線束之一旋轉軸線旋轉多層目標以相對於入射X射線束及多層目標定位晶圓台之旋轉軸線。
圖7描繪入射於包含安裝至基板247之多層結構246之一多層目標上之一X射線束234。在偵測器235上偵測所得繞射階250、251A至251B及252A至252B。如圖7中所描繪,多層結構246之層定向為平行於入射X射線束234且入射X射線束234相對於多層結構246定位於X方向之中心上。此外,多層結構246之層定向為與投射至偵測器235上之晶圓平面之X軸平行。入射X射線束234繞射成分別入射於偵測器235上之量測點250、量測點251A至251B及量測點252A至252B處之零階光束、+/-1階光束及+/-2階光束。如圖7中所描繪,多層結構246沿投射至偵測器235上之晶圓平面之Y軸繞射入射束234。
當旋轉軸線253相對於多層結構246及入射X射線234定位於正中心上(即,沿X軸及Z軸與入射X射線束234之縱軸線相交於多層結構246之中心處)時,圍繞軸線253之旋轉不影響量測繞射效率。然而,若旋轉軸線253在X方向及Z方向上不定位於多層目標結構246之中心上,則多層目標結構246將旋進及旋出入射X射線束以引起繞射束之總信號減少。依此方式,採用繞射通量隨多層目標圍繞軸線253之旋轉之變化來在X方向及Z方向上校正旋轉軸線253與入射X射線束234及多層目標結構246之軸線之失準。
在另一態樣中,提供一複合多層目標,其包含至少兩個多層結構,配置成沿與入射X射線束對準之一方向彼此相鄰、沿垂直於入射X射線束對準之一方向彼此相鄰或其等之一組合。依此方式,組合多個多層目標以形成依不同繞射角及跨不同繞射平面繞射入射X射線束之不同部分之特殊校正及對準目標。
在一些實施例中,使用實質上相同之重複堆疊之成對材料來製造包括一複合多層目標之多層結構。換言之,包括一複合多層目標之各多層結構包含具有實質上相同厚度及材料組成之成對材料。然而,在一些其他實施例中,使用不用於包括複合多層目標之多層結構之重複堆疊之成對材料來製造包括一複合多層目標之一或多個多層結構。換言之,包括一複合多層目標之一或多個多層結構包含具有不同於包括複合多層目標之其他多層結構之厚度、材料組成或兩者之成對材料。
圖8描繪入射於一複合多層目標上之一X射線束234。複合多層目標包含安裝至多層結構263之多層結構262,多層結構263繼而安裝至基板264。多層結構262及263及基板264在與入射X射線束對準之一方向上彼此安裝。多層結構262之層定向為與投射至偵測器235上之晶圓平面之X軸平行。多層結構263之層定向為與投射至偵測器235上之晶圓平面之Y軸平行。入射X射線束234由多層結構262繞射成分別入射於偵測器235上之量測點267、量測點270A至270B及量測點271A至271B處之零階光束、+/-1階光束及+/-2階光束等等。入射X射線束234由多層結構263繞射成分別入射於偵測器235上之量測點267、量測點268A至268B及量測點269A至269B處之零階光束、+/-1階光束及+/-2階光束等等。如圖8中所描繪,多層結構262及263之層定向為平行於入射X射線束234。
在另一態樣中,在平行於多層目標結構262之層之一方向(即,X方向)及平行於多層目標結構263之層之一方向(即,Y方向)上平移複合多層目標以使入射X射線束與複合多層目標之中心沿兩個軸線對準。
當入射X射線束234沿X軸定位於多層結構262之結構層之中心上時,沿Y軸之繞射效率最高(即,量測繞射強度最高)。當入射X射線束234沿Y軸定位於多層結構263之結構層之中心上時,沿X軸之繞射效率最高(即,量測繞射強度最高)。自任一方向上之完全對準小幅平移引起沿對應繞射平面之繞射效率快速下降。此敏感度用於使複合多層結構及因此晶圓台與入射X射線束在投射至偵測器235上之晶圓之平面中之X方向及Y方向上快速及準確對準。
在另一態樣中,複合多層目標圍繞平行於多層結構262之層之一軸線261旋轉且亦圍繞平行於多層結構263之層之一軸線253旋轉以使多層結構262及263之層與入射X射線束234對準。
多層結構262之繞射效率對多層結構262圍繞垂直於入射X射線束234且平行於結構層之軸線261之定向非常敏感。當多層結構262之結構層與入射X射線束234完全對準時,沿投射至偵測器235上之晶圓之平面中之Y軸之繞射效率最高。自完全對準圍繞軸線253小幅旋轉引起繞射效率快速下降。此敏感度用於使多層結構262及因此晶圓台與入射X射線束圍繞與投射至偵測器235上之晶圓之平面中之X軸對準之一旋轉軸線快速及準確對準。類似地,多層結構263之繞射效率對多層結構263圍繞垂直於入射X射線束234且平行於結構層之軸線253之定向非常敏感。當多層結構263之結構層與入射X射線束234完全平行時,沿投射至偵測器235上之晶圓之平面中之X軸之繞射效率最高。自完全對準圍繞軸線253小幅旋轉引起繞射效率快速下降。此敏感度用於使多層結構263及因此晶圓台與入射X射線束圍繞與投射至偵測器235上之晶圓之平面中之Y軸對準之一旋轉軸線快速及準確對準。依此方式,校正圍繞晶圓之平面中之X及Y旋轉軸線之零入射角。
圖9描繪圖8中所描繪之複合多層目標之一端視圖之一說明圖275。如圖9中所描繪,複合多層目標包含定向為彼此垂直之兩組多層結構。一組多層結構與X方向對準且另一組多層結構與Y方向對準。圖8及圖9中所描繪之複合多層目標特別適合於製備一基於X射線之散射計系統來量測由兩個正交光柵組成之半導體目標時。
圖10描繪另一複合多層目標之一端視圖之一說明圖276,該複合多層目標包含各安置成沿與入射X射線束對準之一方向彼此相鄰之三組多層目標。此外,各多層目標結構依相對於彼此成60°定向,其產生一6重對稱繞射影像。圖10中所描繪之複合多層目標特別適合於製備一基於X射線之散射計系統來量測包含一VNAND通道孔蝕刻結構之半導體目標時。
一般而言,相同或不同大小、相同或不同週期或兩者之兩個或兩個以上不同多層目標結構可配置成沿與入射X射線束對準之一方向彼此相鄰。
圖11描繪入射於一複合多層目標上之一X射線束234。複合多層目標包含安裝至基板279之多層結構277及亦安裝至基板279之多層結構278。多層結構277及278在垂直於入射X射線束234之一共同平面中安裝至基板279。多層結構277及278之層定向為與投射至偵測器235上之晶圓平面之X軸平行且定向為平行於入射X射線束234。另外,多層結構277及278彼此間隔一距離G。入射X射線束234由多層結構277及278繞射成分別入射於偵測器235上之量測點282、量測點283A至283B及量測點284A至284B處之零階光束、+/-1階光束及+/-2階光束等等。
在另一態樣中,沿平行於多層結構277及278之層之一軸線平移複合多層目標以使多層結構277與278之間的間隙與入射X射線束234對準。量測繞射指示相同於多層結構277與278之間的間隙之大小之一量測框內之光子通量、量測框外之光子洩漏及量測框與入射X射線束234之對準。
通過多層結構277與278之間的間隙之入射X射線束234之部分不促成偵測器235處之非零階處之繞射信號。因此,量測零階通量指示通過間隙之光子通量。通過多層結構277及278之入射X射線束234之部分經繞射且促成偵測器235處之非零階處之繞射信號。偵測器235上之總繞射信號(即,非零階處之信號)之量測指示間隙外之光子污染(即,光子損失)之總體程度。
圖12描繪入射於一複合多層目標上之一X射線束234。複合多層目標包含安裝至基板288之多層結構285及亦安裝至基板288之多層結構286。多層結構285及286在垂直於入射X射線束234之一共同平面中安裝至基板288。多層結構285及286之層定向為與投射至偵測器235上之晶圓平面之Y軸平行且定向為平行於入射X射線束234。另外,多層結構285及286在Y方向上彼此間隔一距離G。入射X射線束234由多層結構285及286繞射成分別入射於偵測器235上之量測點290、量測點291A至291B及量測點292A至292B處之零階光束、+/-1階光束及+/-2階光束。
通過多層結構285與286之間的間隙之入射X射線束234之部分不促成偵測器235處之非零階處之繞射信號。因此,量測零階通量指示通過間隙之光子通量。通過多層結構285及286之入射X射線束234之部分經繞射且促成偵測器235處之非零階處之繞射信號。偵測器235上之總繞射信號(即,非零階處之信號)之量測指示間隙外之光子污染(即,光子損失)之總體程度。繞射信號之不對稱性指示複合多層目標在Y方向上相對於入射束234之對準。
圖13描繪入射於一複合多層目標上之一X射線束234。圖13中所描繪之複合多層目標在與入射X射線束對準之一方向上組合圖11及圖12中所描繪之複合多層目標。複合多層目標包含安裝至基板293之多層結構294及亦安裝至基板293之多層結構295。多層結構294及295在垂直於入射X射線束234之一共同平面中安裝至基板293。多層結構294及295之層定向為與投射至偵測器235上之晶圓平面之Y軸平行且定向為平行於入射X射線束234。另外,多層結構294及295在Y方向上彼此間隔一距離G。入射X射線束234由多層結構294及295繞射成分別入射於偵測器235上之量測點300、量測點303A至303B及量測點304A至304B處之零階光束、+/-1階光束及+/-2階光束。
另外,複合多層目標包含安裝至多層結構294及295之多層結構296及297。多層結構296及297在垂直於入射X射線束234之一共同平面中安裝至多層結構294及295。多層結構296及297之層定向為與投射至偵測器235上之晶圓平面之X軸平行且定向為平行於入射X射線束234。另外,多層結構296及297在X方向上彼此間隔一距離G。入射X射線束234由多層結構296及297繞射成分別入射於偵測器235上之量測點300、量測點301A至301B及量測點302A至302B處之零階光束、+/-1階光束及+/-2階光束。
通過多層結構293至296之間的間隙之入射X射線束234之部分不促成偵測器235處之非零階處之繞射信號。因此,量測零階通量指示通過間隙之光子通量。通過多層結構293至297之入射X射線束234之部分經繞射且促成偵測器235處之非零階處之繞射信號。偵測器235上之總繞射信號(即,非零階處之信號)之量測指示間隙外之光子污染(即,光子損失)之總體程度。執行對準以最小化X方向、Y方向或兩者上之可能光子污染。
一般而言,將間隙大小設定為所要量測框大小以洞察一特定量測系統組態預期有多少光子污染。在一實例中,最佳化光束成形狹縫位置以最大化光子通量,同時使光子污染維持低於一預定位準。
一般而言,由不同週期、定向、配置或其等之組合之多層結構製造複合多層目標實現入射束之不同部分(例如左、右、頂部、底部)對光子污染之貢獻之量測。圖13中所描繪之複合多層目標實現目標與入射X射線束同時在X及Y方向上對準及最少光子污染(例如藉由最佳化光束成形狹縫之對準及大小)。此外,使用相同量測來量化總光子污染之位準。
一般而言,可考量諸多其他複合多層目標。圖14描繪一複合多層目標305之一端視圖,複合多層目標305包含包圍等於待量測之一半導體目標之量測框大小之一空矩形區域之8個多層結構。此目標可用於使目標與入射X射線束對準且量測框大小周圍之總光子污染。此一目標可由具有相同或不同週期、彼此相同或不同定向或其等之一組合之多層結構製造。
圖15描繪一複合多層目標306之一端視圖,複合多層目標306包含包圍等於待量測之一半導體目標之量測框大小之一空矩形區域之8個多層結構。此目標可用於使目標與入射X射線束對準以最小化框大小周圍之總光子污染且同時個別解析對入射X射線束之水平、垂直、頂部及底部部分中之光子污染之貢獻。
圖16描繪包含12個多層結構之一複合多層目標307之一端視圖。各多層結構具有唯一角配置及週期。此實現目標與X射線束之最佳對準及框大小周圍之最少可達成光子污染。另外,由於各多層結構具有唯一角配置及週期,所以複合多層目標307實現入射束之各部分對光子污染之貢獻之同時量測,且因此將輻射繞射成偵測器之不同部分,可在偵測器中個別解析不同部分。
圖17繪示至少一新穎態樣中之用於量測一樣品之特性之一T-SAXS度量系統100之一實施例。如圖17中所展示,系統100可用於對由一照明光點照射之一樣品101之一檢測區域102執行T-SAXS量測。
在所描繪之實施例中,度量系統100包含一X射線照明子系統125,其包含一X射線照明源110、聚焦光學器件111、光束發散控制狹縫112、中間狹縫113及光束成形狹縫機構120。X射線照明源110經組態以產生適合於T-SAXS量測之X射線輻射。在一些實施例中,X射線照明源110經組態以產生0.01奈米至1奈米之間的波長。一般而言,可考量能夠依足以實現高產量線內度量之通量位準產生高亮度X射線之任何適合高亮度X射線照明源來供應T-SAXS量測之X射線照明。在一些實施例中,一X射線源包含使X射線源能夠依不同可選波長傳遞X射線輻射之一可調諧單色器。
在一些實施例中,採用發射具有大於15 keV之光子能之輻射之一或多個X射線源來確保X射線源依允許充分透射穿過整個裝置及晶圓基板之波長供應光。舉非限制性實例而言,一粒子加速器源、一液體陽極源、一旋轉陽極源、一固定固體陽極源、一微焦源、一微焦旋轉陽極源、一基於電漿之源及一逆康普頓(Compton)源之任何者可用作為X射線照明源110。在一實例中,可考量購自Lyncean Technologies公司(加州Palo Alto市(美國))之一逆康普頓源。逆康普頓源具有能夠產生一光子能範圍內之X射線以藉此使X射線源能夠依不同可選波長傳遞X射線輻射之一額外優點。
例示性X射線源包含經組態以轟擊固體或液體目標以激發X射線輻射之電子束源。KLA-Tencor公司於2011年4月19日發佈之美國專利第7,929,667號中描述用於產生高亮度液體金屬X射線照明之方法及系統,該專利之全部內容以引用的方式併入本文中。
X射線照明源110在具有有限橫向尺寸(即,正交於光束軸之非零尺寸)之一源區域上產生X射線輻射。聚焦光學器件111將源輻射聚焦至位於樣品101上之一度量目標上。有限橫向源尺寸導致由來自源之邊緣之射線117界定之目標上之有限光點大小102。在一些實施例中,聚焦光學器件111包含橢圓形聚焦光學元件。
一光束發散控制狹縫112位於聚焦光學器件111與光束成形狹縫機構120之間的光束路徑中。光束發散控制狹縫112限制提供至受量測樣品之照明之發散。一額外中間狹縫113位於光束發散控制狹縫112與光束成形狹縫機構120之間的光束路徑中。中間狹縫113提供額外光束成形。然而,一般而言,中間狹縫113可選用。美國公開專利第2017/0307548號中提供一例示性光束成形狹縫機構之額外描述,該專利之標的之全部內容以引用的方式併入本文中。
光束成形狹縫機構120位於緊接於樣品101之前的光束路徑中。在一態樣中,光束成形狹縫機構120之葉片定位為非常接近樣品101以最小化歸因於由有限源大小界定之光束發散之入射光點大小之擴大。在一實例中,針對一10微米X射線源大小及光束成形狹縫與樣品101之間的25毫米之一距離,光點大小在歸因於有限源大小而變成由光束成形狹縫120產生之幾何陰影時擴張約1微米。
在一些實施例中,光束成形狹縫機構120包含多個獨立致動之光束成形狹縫。在一實施例中,光束成形狹縫機構120包含4個獨立致動之光束成形狹縫。此等4個光束成形狹縫有效阻擋入射束115之一部分且產生具有一框形照明橫截面之一照明束116。
圖18及圖19描繪兩個不同組態中之圖17中所描繪之光束成形狹縫機構120之一端視圖。如圖18及圖19中所描繪,光束軸垂直於圖式頁面。如圖18中所描繪,入射束115具有一大橫截面。在一些實施例中,入射束115具有約1毫米之一直徑。此外,光束成形狹縫126至129內之入射束115之位置可歸因於光束指向誤差而具有約3毫米之一不確定性。為適應入射束之大小及光束位置之不確定性,各狹縫具有約6毫米之一長度L。如圖18中所描繪,各狹縫可在垂直於光束軸之一方向上移動。在圖18之說明圖中,狹縫126至129定位成與光束軸相距一最大距離(即,狹縫完全敞開且其不限制光通過光束成形狹縫機構120)。
圖19描繪若干位置中之光束成形狹縫機構120之狹縫126至129,該等位置阻擋入射束115之一部分,使得傳遞至受量測樣品之出射束116具有減小大小及明確界定形狀。如圖19中所描繪,狹縫126至129之各者已向內朝向光束軸移動以達成所要輸出光束形狀。
狹縫126至129由最小化散射且有效阻擋入射輻射之材料構造。例示性材料包含諸如鍺、砷化鎵、磷化銦等等之單晶材料。通常,沿一晶向劈切而非鋸切狹縫材料以最小化跨結構邊界之散射。另外,狹縫相對於入射束定向,使得入射輻射與狹縫材料之內部結構之間的相互作用產生最少散射量。晶體附接至由高密度材料(例如鎢)製成之各狹縫保持器以完全阻擋狹縫之一側上之X射線束。在一些實施例中,各狹縫具有一矩形橫截面,其具有約0.5毫米之一寬度及約1毫米至約2毫米之一高度。如圖18中所描繪,一狹縫之長度L係約6毫米。
一般而言,X射線光學器件成形及導引X射線輻射至樣品101。在一些實例中,X射線光學器件包含一X射線單色器以使入射於樣品101上之X射線束單色化。在一些實例中,X射線光學器件使用多層X射線光學器件來使X射線束依小於1毫弧度之發散度準直或聚焦至樣品101之量測區域102上。在此等實例中,多層X射線光學器件亦充當一光束單色器。在一些實施例中,X射線光學器件包含一或多個X射線準直鏡、X射線孔隙、X射線束光闌、折射X射線光學器件、繞射光學器件(諸如波帶片)、蒙特爾(Montel)光學器件、鏡面X射線光學器件(諸如掠入射橢球面鏡)、多毛細管光學器件(諸如中空毛細管X射線波導)、多層光學器件或系統或其等之任何組合。美國公開專利第2015/0110249號中描述進一步細節,該專利之全部內容以引用的方式併入本文中。
根據一T-SAXS量測模態,X射線偵測器119收集自樣品101散射之X射線輻射114且產生指示對入射X射線輻射敏感之樣品101之性質之一輸出信號135。在一些實施例中,當樣品定位系統140定位及定向樣品101以產生角解析散射X射線時,散射X射線114由X射線偵測器119收集。
在一些實施例中,一T-SAXS系統包含具有高動態範圍(例如大於105 )之一或多個光子計數偵測器。在一些實施例中,一單一光子計數偵測器偵測所偵測之光子之位置及數目。
在一些實施例中,X射線偵測器解析一或多個X射線光子能且產生指示樣品之性質之各X射線能量組分之信號。在一些實施例中,X射線偵測器119包含一CCD陣列、一微通道板、一光二極體陣列、一微帶比例計數器、一填氣比例計數器、一閃爍器或一螢光材料之任何者。
依此方式,偵測器內之X射線光子相互作用由能量及像素位置及計數之數目判別。在一些實施例中,藉由比較X射線光子相互作用之能量與一預定上臨限值及一預定下臨限值來判別X射線光子相互作用。在一實施例中,使此資訊經由輸出信號135傳送至計算系統130以供進一步處理及儲存。
在另一態樣中,採用一T-SAXS系統以基於散射光之一或多個繞射階來判定一樣品之性質(例如結構參數值)。如圖17中所描繪,度量工具100包含一計算系統130,其用於獲取由偵測器119產生之信號135且至少部分基於所獲取之信號來判定樣品之性質。
在一些實例中,基於T-SAXS之度量涉及藉由使用量測資料反解一預定量測模型來判定樣本之尺寸。量測模型包含數個(約10個)可調參數且表示樣品之幾何及光學性質及量測系統之光學性質。反解之方法包含(但不限於)基於模型之回歸、斷層掃描、機器學習或其等之任何組合。依此方式,藉由解算使量測散射X射線強度與模型化結果之間的誤差最小化之一參數化量測模型之值來估計目標輪廓參數。
可期望在入射角及方位角之大範圍內執行量測以提高量測參數值之精確度及準確度。此方法藉由擴充可用於分析之資料組之數目及多樣性以包含各種大角度平面外定向來減少參數之間的相關性。例如,在一法向定向中,T-SAXS能夠解析一特徵之臨界尺寸,但對一特徵之側壁角及高度幾乎不敏感。然而,可藉由在平面外角定向之一廣泛範圍內收集量測資料來解析一特徵之側壁角及高度。在其他實例中,入射角及方位角之大範圍內所執行之量測提供足夠解析度及穿透深度以透過結構之整個深度來特徵化高縱橫比結構。
收集依據相對於晶圓表面法線之X射線入射角而變化之繞射輻射之強度之量測。多個繞射階中所含之資訊在所考量之各模型參數之間通常為唯一的。因此,X射線散射產生具有小誤差及減少參數相關性之關注參數之值之估計結果。
照明X射線束116相對於一半導體晶圓101之表面法線之各定向由晶圓101相對於X射線照明束115之任何兩個角旋轉描述,或反之亦然。在一實例中,可相對於固定至晶圓之一座標系來描述定向。圖20描繪依由一入射角θ及一方位角φ描述之一特定定向入射於晶圓101上之X射線照明束116。座標軸XYZ固定至度量系統(例如照明束116)且座標軸X'Y'Z'固定至晶圓101。Y軸與晶圓101之表面共面對準。X及Z不與晶圓101之表面對準。Z'與垂直於晶圓101之表面之一軸線對準,且X'及Y'與晶圓101之表面共面對準。如圖4中所描繪,X射線照明束116與Z軸對準且因此位於XZ平面內。入射角θ描述X射線照明束116在XZ平面中相對於晶圓之表面法線之定向。此外,方位角φ描述XZ平面相對於X'Z'平面之定向。θ及φ一起唯一界定X射線照明束116相對於晶圓101之表面之定向。在此實例中,X射線照明束相對於晶圓101之表面之定向由圍繞垂直於晶圓101之表面之一軸線(即,Z'軸)之一旋轉及圍繞與晶圓101之表面對準之一軸線(即,Y軸)之一旋轉描述。在一些其他實例中,X射線照明束相對於晶圓101之表面之定向由圍繞與晶圓101之表面對準之一第一軸線及與晶圓101之表面對準且垂直於第一軸線之另一軸線之一旋轉描述。
在一些實施例中,度量工具100包含一樣品定位系統140,其經組態以垂直定位一晶圓(即,晶圓表面之平面大致與重力向量對準)且在相對於照明束116之6個自由度上主動定位樣品101。另外,樣品定位系統101經組態以對準樣品101及在相對於照明束116之入射角(例如至少70°)及方位角(例如至少190°)之一大範圍內定向樣品101。在一些實施例中,樣品定位系統140經組態以在與樣品101之表面共面對準之旋轉角(例如至少70°)之一大範圍內旋轉樣品101。依此方式,由度量系統100在樣品101之表面上之任何數目個位置及定向上收集樣品101之角解析量測。在一實例中,計算系統130將指示樣品101之所要位置之命令信號(圖中未展示)傳送至樣品定位系統140。作為回應,樣品定位系統140產生命令信號至樣品定位系統140之各種致動器以達成樣品101之所要定位。
圖21描繪一實施例中之一樣品定位系統140。樣品定位系統140提供在所有6個自由度上主動控制晶圓101相對於照明束116之位置,同時相對於重力向量垂直支撐晶圓101 (即,重力向量大致與晶圓表面共面)。樣品定位系統140在晶圓101之邊緣處支撐晶圓101以允許照明束116在無需重新安裝晶圓101之情況下在晶圓101之主動區域之任何部分上透射穿過晶圓101。藉由在晶圓101之邊緣處垂直支撐晶圓101來有效減輕晶圓101之重力誘發下垂。
如圖21中所描繪,樣品定位系統140包含一基底框架141、一橫向對準台142、一台參考框架143及安裝至台參考框架143之一晶圓台144。為了參考,{XBF , YBF , ZBF }座標軸附接至基底框架141,{XNF , YNF , ZNF }座標軸附接至橫向對準台142,{XRF , YRF , ZRF }座標軸附接至台參考框架143,且{XSF , YSF , ZSF }座標軸附接至晶圓台144。晶圓101由包含致動器150A至150C之一翻轉-傾斜-Z軸台156支撐於晶圓台144上。安裝至翻轉-傾斜-Z軸台156之一旋轉台158在相對於照明束116之方位角φ之一範圍內定向晶圓101。在所描繪之實施例中,3個線性致動器150A至150C安裝至晶圓台144且支撐旋轉台158,旋轉台158繼而支撐晶圓101。
致動器145使橫向對準台142沿XBF 軸相對於基底框架141平移。旋轉致動器146使台參考框架143圍繞與YNF 軸對準之一旋轉軸線153相對於橫向對準台142旋轉。旋轉致動器146在相對於照明束116之入射角θ之一範圍內定向晶圓101。晶圓台致動器147及148使晶圓台144分別沿XRF 軸及YRF 軸相對於台參考框架143平移。
在一些實施例中,晶圓台144係一開孔雙軸(XY)線性堆疊台。開孔允許量測束透射穿過整個晶圓(例如300毫米晶圓)之任何部分。晶圓台144經配置使得Y軸台在大致平行於旋轉軸線153之一方向上延伸。此外,Y軸台在大致與重力向量對準之一方向上延伸。
致動器150A至150C協同操作以使旋轉台158及晶圓101在ZSF 方向上相對於晶圓台144平移且使旋轉台158及晶圓101圍繞與XSF -YSF 平面共面之軸線相對於晶圓台144翻轉及傾斜。旋轉台158使晶圓101圍繞垂直於晶圓101之表面之一軸線旋轉。在另一態樣中,旋轉台158之一框架藉由分別包含運動安裝元件157A至157C之一運動安裝系統來耦合至致動器150A至150C。在一實例中,各運動安裝元件157A至157C包含附接至一對應致動器之一球體及附接至旋轉台158之一V形槽。各球體與一對應V形槽兩點接觸。各運動安裝元件在2個自由度上約束旋轉台158相對於致動器150A至150C之運動且3個運動安裝元件157A至157C共同在6個自由度上約束旋轉台158相對於致動器150A至150C之運動。各運動耦合元件經預負載以確保球體總是保持與對應V形槽接觸。在一些實施例中,預負載由重力、一機械彈簧機構或其等之一組合提供。
在另一進一步態樣中,旋轉台158係一開孔旋轉台。開孔允許量測束透射穿過整個晶圓(例如300毫米晶圓)之任何部分。晶圓台158經配置使得其旋轉軸線大致垂直於旋轉軸線153。此外,旋轉台158之旋轉軸線大致垂直於重力向量。晶圓101經由邊緣夾持器來固定至旋轉台158以提供具有最小邊緣排除之全晶圓覆蓋。
總言之,樣品定位系統140能夠在相對於照明束116之6個自由度上主動控制晶圓101之位置,使得照明束116可入射於晶圓101之表面上之任何位置處(即,XRF 及YRF 方向上之至少300毫米範圍)。旋轉致動器146能夠使台參考框架143相對於照明束116旋轉,使得照明束116可依入射角(例如大於2°)之一大範圍內之任何者入射於晶圓101之表面處。在一實施例中,旋轉致動器146經組態以使台參考框架143在至少60°之一範圍內旋轉。安裝至晶圓101之旋轉致動器158能夠使晶圓101相對於照明束116旋轉,使得照明束116可依方位角之一大範圍(例如至少90°旋轉範圍)之任何者入射於晶圓101之表面處。在一些實施例中,方位角之範圍係至少190°旋轉範圍。
在一些其他實施例中,移除橫向對準台142且由旋轉致動器146使台參考框架143相對於基底框架141旋轉。在此等實施例中,X射線照明系統包含移動X射線照明系統之一或多個光學元件(其引起X射線照明束116在(例如) XBF 方向上相對於基底框架141移動)之一或多個致動器。在此等實施例中,為了校正之台參考框架143之移動(如本文所描述)替換為X射線照明系統之一或多個光學元件之移動(其使X射線照明束相對於(例如)旋轉軸線153移動至所要位置)。在圖17及圖24所描繪之實施例中,計算系統130將命令信號138傳送至致動器子系統111'以相對於基底框架141重新導引X射線發射以藉由移動X射線照明子系統125之一或多個元件來達成一所要光束方向。在所描繪之實施例中,致動器子系統111'移動聚焦光學器件111以相對於基底框架141重新導引X射線發射且因此相對於旋轉軸線153重新定位X射線發射。
一般而言,樣品定位系統提供半導體晶圓在6個自由度上之自動定位。另外,樣品定位系統包含邊緣夾持特徵及旋轉台上之致動器以與一晶圓處置機器人一起在垂直位置上有效負載及卸載晶圓。
在一些實施例中,3個感測器安置於樣品定位系統上以量測晶圓之背面相對於樣品定位系統之距離。依此方式,量測晶圓翹曲且藉由使用翻轉-傾斜-Z軸台移動晶圓來補償晶圓翹曲。
在另一態樣中,一SAXS度量系統採用至少一高繞射效率多層目標來相對於樣品定位系統定位一X射線照明束。多層目標包含至少一標記及至少一多層結構。採用一對準攝影機來將標記定位於樣品定位系統之座標中。標記相對於多層結構之位置係先驗已知的(例如具有小於200奈米之一準確度)。因此,易於藉由一直接座標變換來判定樣品定位系統之座標中之多層結構之位置。當量測透射通量之偵測強度時,照明束橫掃多層結構。基於量測強度來相對於多層結構精確定位照明束之中心,如上文所描述。由於多層結構在樣品定位系統之座標中之位置已知,所以藉由簡單座標變換來精確定位樣品定位系統之座標中之照明束之中心位置。
在一些實例中,採用一多層目標來校正照明束相對於樣品定位系統之入射位置。在一些其他實例中,採用一多層目標來使台參考框架之旋轉軸線相對於照明束對準於照明束與一晶圓之入射點處。
圖23描繪一實施例中之一多層目標152。在圖23所描繪之實施例中,多層目標152包含一多層結構152A及一標記151。在一些實施例中,多層目標152安裝至諸如樣品定位系統140之一樣品定位系統。在此等實施例中,多層目標152安裝至樣品定位系統140而非一校正晶圓。在一些其他實施例中,多層目標152可安裝至一專用校正晶圓或製造為一專用校正晶圓之一整合部分,該專用校正晶圓包含一或多個多層目標。多層目標152亦包含可由安裝至樣品定位系統之一光學顯微鏡讀取之一或多個標記(例如標記151)。精確已知標記151相對於多層結構152A之位置。依此方式,藉由自標記151之位置之簡單座標變換來判定多層結構152A之位置。
在圖23所描繪之實施例中,多層目標152安裝至旋轉台158之框架,使得多層結構152A之中心大致與晶圓101之表面共面。如圖23中所描繪,多層結構152A之中心大致與YNF 軸及XRF 軸平行對準。
樣品定位系統140亦包含安裝至台參考框架143之一對準攝影機154。在所描繪之實施例中,對準攝影機安裝至台參考框架且因此與台參考框架一起旋轉。對準攝影機154經組態以產生其視域中物件(諸如晶圓101)之高解析度影像。在一些實施例中,對準攝影機154亦包含藉由使攝影機之焦點精確移動一量測距離來維持一清晰影像聚焦之一自動對焦機構。在一些此等實施例中,對準攝影機154可用於藉由監測攝影機之焦點之z位移來量測攝影機本體安裝至其之台參考框架與由攝影機成像之晶圓101或標記151之間的相對距離。
在一些其他實施例中,一對準攝影機安裝至橫向對準台142。在一些此等實施例中,對準攝影機用於藉由監測對準攝影機之視域內之安裝至晶圓101之光學標記或標記151之位置來量測攝影機本體安裝至其之{XNF , YNF , ZNF }座標軸與由攝影機成像之晶圓101或標記151之間的相對距離。
在另一態樣中,基於照明束與一多層目標之相互作用來判定照明束在晶圓之表面之平面之一維度上之精確入射位置。
圖23係繪示樣品定向系統140的一圖式,其中晶圓台移動至其中照明束116入射於多層目標152之多層結構152A上之一位置。基於由偵測器119量測之透射通量(其依據多層結構152A相對於照明束116 (即,基底框架141)之X位置而變化)來判定照明束相對於多層結構152A之精確入射位置。如圖23中所描繪,當多層結構152A在X方向上移動時,偵測器119產生指示依據X位置而變化之量測通量之信號155且分析結果以識別與照明束116之中心對應之多層結構152A之位置。
藉由根據上述技術量測其他多層目標、重新定向多層目標152或其等之一組合來達成Y方向上之對準及本文所描述之其他對準。一般而言,可利用一個以上多層目標來產生冗餘及提高光束位置及定向之校正之準確度。
如圖23中所描繪,照明束116之中心與多層目標152對準,如上文所描述。在圖23所描繪之實施例中,一基準標記151位於具有相對於多層結構152A之一已知位置之多層目標152之一表面上。在與多層目標152之光束中心對準之位置處,照明束116相對於多層目標152或基準點151之位置由對準攝影機154記錄。此配準照明束相對於對準攝影機之視域中之一精確位置之相對位置(假定焦點位置無改變)。如圖21中所描繪,晶圓101在對準攝影機154之視域內移動。晶圓101經移動使得晶圓上之一所要位置(例如一基準標記)在對準攝影機154之視域內成像。由對準攝影機154基於先前配準來判定照明束116相對於所要位置之位置。依此方式,基於由對準攝影機154收集之一影像來快速估計X及Y方向上之晶圓101上之照明束116之位置。在一些實施例中,藉由改變對準攝影機154之焦點位置直至晶圓101之表面上之微影特徵精確聚焦來量測相對於多層目標152之Z位置之Z方向上之晶圓之位置。焦點位置之改變指示多層目標152與晶圓上之成像位置之間的Z位置差。在一些其他實施例中,相對於多層目標152之Z位置之Z方向上之晶圓之位置由一或多個光學近接感測器、電容式近接感測器、基於干涉量測之感測器或其他適合近接感測器量測。可採用致動器150A至150C來在Z方向上重新定位晶圓101以將成像位置重新定位為與多層目標152共面。
在另一態樣中,基於晶圓台座標來判定晶圓上之任何位置處之照明束之入射位置。一旦照明束之中心與多層目標152對準且照明束相對於多層目標152之位置由一對準攝影機記錄(如上文所描述),則照明束之入射位置可轉移至台座標。如圖21中所描繪,晶圓101在對準攝影機154之視域內移動。晶圓101之移動由晶圓台144之位置量測系統(例如線性編碼器等等)量測。藉由將晶圓101移動至對準攝影機154之視域內所成像之晶圓上之3個或3個以上所要位置(例如一基準標記)來判定各所要位置處照明束相對於所要位置之位置及台座標中之晶圓之位置。基於照明束之已知位置及3個或3個以上位置處之台座標來產生使台座標與照明束之入射位置相關之一映射。
在將多層目標152定位於照明束116之中心(在X方向上)之後,對準攝影機154使位於多層目標152上之基準標記151之位置成像以在對準攝影機154之視域內建立光束位置與影像位置之間的一關係。由於對準攝影機154位於相對於台參考框架143之一固定或可重複位置中,所以影像配準照明束相對於台參考框架143之位置且因此充當X方向上之光束位置之一參考。再者,對準攝影機154建立基準標記之一精確焦點位置以建立多層目標152相對於台參考框架143之一精確Z位置。針對其中對準攝影機154與台參考框架一起旋轉之實施例,對準攝影機154之焦點位置充當多層目標152相對於台參考框架之Z位置之一參考。
在另一態樣中,基於由X射線偵測器119量測之照明束與多層目標152之相互作用來判定旋轉軸線153在晶圓之表面之平面中與照明束之精確對準。
為確保量測完整性,晶圓101之表面上之照明束116之入射位置應在入射角及方位角之一大範圍內之量測期間保持固定。為達成此目的,台參考框架143之旋轉軸線153必須在量測位置處大致與晶圓101之表面共面。此外,旋轉軸線153必須在XBF 方向上與照明束116對準,使得旋轉軸線153在量測位置處與照明束116相交於照明束116與晶圓101之入射點處。
圖22A描繪圖21中所描繪之入射於晶圓101上之照明束116之一俯視圖。圖22A描繪一對準狀態中之旋轉軸線153之一端視圖,其中旋轉軸線153在晶圓101上之位置103處與照明束116相交於照明束116與晶圓101之入射點處。如圖22A中所描繪,當晶圓101圍繞旋轉軸線153旋轉一大入射角θ時,照明束116保持入射於位置103處。因此,在此情境中,晶圓101之表面上之照明束116之入射位置在入射角之一大範圍內之量測期間保持固定。
圖22B描繪圖21中所描繪之入射於晶圓101上之照明束116之一俯視圖。圖22B描繪一對準狀態中之旋轉軸線153之一端視圖,其中旋轉軸線153與晶圓101之表面失準一距離∂z。如圖22B中所描繪,當晶圓101圍繞旋轉軸線153旋轉一大入射角θ時,不再照射位置103之一部分(即,代以照射晶圓101之一些其他部分)。因此,在此情境中,非常不期望晶圓101之表面上之照明束116之入射位置在入射角之一大範圍內之量測期間漂移。
圖22C描繪圖21中所描繪之入射於晶圓101上之照明束116之一俯視圖。圖22C描繪一對準狀態中之旋轉軸線153之一端視圖,其中旋轉軸線153與晶圓101之表面共面但自照明束116偏移一距離∂x。如圖22C中所描繪,當晶圓101圍繞旋轉軸線153旋轉一大入射角θ時,不再照射位置103之一部分(即,代以照射晶圓101之一些其他部分)。因此,在此情境中,非常不期望晶圓101之表面上之照明束116之入射位置在入射角之一大範圍內之量測期間漂移。
在一些實施例中,藉由使照明束之中心與多層目標152對準且量測台參考框架之複數個不同旋轉位置θ處之通量來達成台參考框架之旋轉軸線之校正。
一般而言,可在不同入射角處量測透射通量以判定使旋轉軸線153在X及Z方向上與多層目標152對準所需之位移值。
在另一進一步態樣中,計算系統130經組態以:產生一樣品之一量測結構之一結構模型(例如幾何模型、材料模型或組合之幾何及材料模型),產生包含來自結構模型之至少一幾何參數之一T-SAXS回應模型,及藉由執行使用T-SAXS回應模型擬合分析T-SAXS量測資料來解析至少一樣品參數值。使用分析引擎來比較模擬T-SAXS信號與量測資料以藉此允許判定幾何及材料性質(諸如樣本之電子密度)。在圖1所描繪之實施例中,計算系統130組態為一模型構建及分析引擎,其經組態以實施本文所描述之模型構建及分析功能。
圖24係繪示由計算系統130實施之一例示性模型構建及分析引擎180的一圖式。如圖24中所描繪,模型構建及分析引擎180包含產生一樣品之一量測結構之一結構模型182之一結構模型構建模組181。在一些實施例中,結構模型182亦包含樣品之材料性質。結構模型182接收為T-SAXS回應函數構建模組183之輸入。T-SAXS回應函數構建模組183至少部分基於結構模型182來產生一T-SAXS回應函數模型184。在一些實例中,T-SAXS回應函數模型184係基於X射線形狀因數:
(1)
其中F係形狀因數,q係散射向量,且ρ(r)係球面座標中之樣品之電子密度。接著,X射線散射強度給出如下:
(2)
T-SAXS回應函數模型184接收為擬合分析模組185之輸入。擬合分析模組185比較模型化T-SAXS回應與對應量測資料以判定樣品之幾何及材料性質。
在一些實例中,藉由最小化一卡方值來達成模型化資料與實驗資料之擬合。例如,針對T-SAXS量測,一卡方值可界定為:
(3)
其中係「通道」j中之量測T-SAXS信號126,其中指數j描述一組系統參數(諸如繞射階、能量、角座標等等)。係針對一組結構(目標)參數v1 、…、vL 所評估之「通道」j之模型化T-SAXS信號Sj ,其中此等參數描述幾何形狀(CD、側壁角、重疊等等)及材料(電子密度等等)。係與第j通道相關聯之不確定性。NSAXS 係X射線度量中之通道之總數。L係特徵化度量目標之參數之數目。
方程式(3)假定與不同通道相關聯之不確定性不相關。在其中與不同通道相關聯之不確定性相關之實例中,可計算不確定性之間的一協方差。在此等實例中,T-SAXS量測之一卡方值可表示為:
其中VSAXS 係SAXS通道不確定性之協方差矩陣,且T表示轉置。
在一些實例中,擬合分析模組185藉由使用T-SAXS回應模型184對T-SAXS量測資料135執行一擬合分析來解析至少一樣品參數值。在一些實例中,最佳化
如上文所描述,藉由最小化卡方值來達成T-SAXS資料之擬合。然而,一般而言,可藉由其他函數來達成T-SAXS資料之擬合。
T-SAXS度量資料之擬合有利於任何類型之T-SAXS技術提供對所關注之幾何及/或材料參數之敏感度。樣品參數可為確定性(例如CD、SWA等等)或統計性(例如側壁粗糙度之rms高度、粗糙度相關長度等等),只要使用適當模型來描述與樣品之T-SAXS光束相互作用。
一般而言,計算系統130經組態以採用即時臨界尺寸標註(RTCD)來即時存取模型參數,或其可存取預計算模型庫以判定與樣品101相關聯之至少一樣品參數值之一值。一般而言,可使用某一形式之CD引擎來評估一樣品之指派CD參數與相關聯於量測樣品之CD參數之間的差。KLA-Tencor公司於2010年11月2日發佈之美國專利第7,826,071號中描述用於計算樣品參數值之例示性方法及系統,該專利之全部內容以引用的方式併入本文中。
在一些實例中,模型構建及分析引擎180藉由側饋分析、前饋分析及並行分析之任何組合來提高量測參數之準確度。側饋分析係指採取相同樣品之不同區域上之多個資料組且將自第一資料組判定之共同參數傳遞至第二資料組上用於分析。前饋分析係指採取不同樣品上之資料組且使用一逐步複製精確參數前饋方法來將共同參數正向傳遞至後續分析。並行分析係指將一非線性擬合方法並行或同時應用於多個資料組,其中在擬合期間耦合至少一共同參數。
多工具及結構分析係指基於回歸、一查找表(即,「庫」匹配)或多個資料組之另一擬合程序之一前饋、側饋或並行分析。KLA-Tencor公司於2009年1月13日發佈之美國專利第7,478,019號中描述多工具及結構分析之例示性方法及系統,該專利之全部內容以引用的方式併入本文中。
在另一進一步態樣中,基於在入射X射線束相對於量測目標之一單一定向處執行之T-SAXS量測來判定所關注之一或多個參數之值之一初始估計。初始估計值實施為所關注之參數之起始值以用於量測模型與自多個定向處之T-SAXS量測收集之量測資料之一回歸。依此方式,使用相對較少計算工作量來判定一關注參數之一近似估計,且藉由將此近似估計實施為一大很多之資料組之一回歸之起始點,使用總體較少計算工作量來獲得關注參數之一精化估計。
在另一態樣中,度量工具100包含經組態以實施本文所描述之光束控制功能之一計算系統(例如計算系統130)。在圖1所描繪之實施例中,計算系統130組態為可操作以控制照明性質之任何者(諸如入射照明束116之強度、發散度、光點大小、偏振、光譜及定位)之一光束控制器。
如圖17中所繪示,計算系統130通信耦合至偵測器119。計算系統130經組態以自偵測器119接收量測資料135。在一實例中,量測資料135包含樣品之量測回應之一指示(例如繞射階之強度)。基於偵測器119之表面上之量測回應之分佈,由計算系統130判定樣品101上之照明束116之入射位置及區域。在一實例中,由計算系統130應用圖案辨識技術以基於量測資料135來判定樣品101上之照明束116之入射位置及區域。在一些實例中,計算系統130將命令信號137傳送至X射線照明源110以選擇所要照明波長。在一些實例中,計算系統130將命令信號138傳送至致動器子系統111'以相對於基底框架141重新導引X射線發射以達成一所要光束方向。在一些實例中,計算系統130將命令信號136傳送至光束成形狹縫機構120以改變光點大小,使得入射照明束116依所要光點大小及定向到達樣品101。在一實例中,命令信號136引起圖21中所描繪之旋轉致動器122旋轉光束成形狹縫機構120至相對於樣品101之一所要定向。在另一實例中,命令信號136引起與狹縫126至129之各者相關聯之致動器以改變位置以使入射束116重新成形為一所要形狀及大小。在一些其他實例中,計算系統130將一命令信號傳送至晶圓定位系統140以定位及定向樣品101,使得入射照明束116依相對於樣品101之所要位置及角定向到達。
在另一態樣中,使用T-SAXS量測資料以基於偵測繞射階之量測強度來產生一量測結構之一影像。在一些實施例中,一T-SAXS回應函數模型一般化為描述自一般電子密度網散射。使此模型匹配量測信號同時約束此網中之模型化電子密度以強制執行連續性及稀疏邊緣提供樣本之三維影像。
儘管基於模型之幾何參數反演優先用於基於T-SAXS量測之臨界尺寸(CD)度量,dam當量測樣品偏離幾何模型之假定時,由相同T-SAXS量測資料產生之樣品之一映射用於識別及校正模型誤差。
在一些實例中,比較影像與由相同散射量測資料之一基於模型之幾何參數反演估計之結構特性。使用差異來更新量測結構之幾何模型及提高量測效能。當量測積體電路以控制、監測及故障檢修其製程時,會聚於一準確參數量測模型上之能力特別重要。
在一些實例中,影像係電子密度、吸收性、複折射率或此等材料特性之一組合之二維(2-D)圖。在一些實例中,影像係電子密度、吸收性、複折射率或此等材料特性之一組合之三維(3-D)圖。使用相對較少物理約束來產生圖。在一些實例中,自所得圖直接估計所關注之一或多個參數(諸如臨界尺寸(CD)、側壁角(SWA)、重疊、邊緣放置誤差、節距遊動等等)。在一些其他實例中,當樣本幾何形狀或材料偏出由用於基於模型之CD量測之一參數結構模型考量之預期值範圍時,圖用於對晶圓程序除錯。在一實例中,使用圖與由參數結構模型根據其量測參數來預測之結構之一顯像之間的差異來更新參數結構模型及提高其量測效能。美國公開專利第2015/0300965號中描述進一步細節,該專利之全部內容以引用的方式併入本文中。美國公開專利第2015/0117610號中描述額外細節,該專利之全部內容以引用的方式併入本文中。
在另一態樣中,採用模型構建及分析引擎180來產生用於組合X射線及光學量測分析之模型。在一些實例中,光學模擬係基於(例如)嚴密耦合波分析(RCWA),其中解算馬克斯威爾(Maxwell)方程式以計算光學信號(諸如不同偏振之反射率、橢偏參數、相變等等)。
使用一組合幾何參數化回應模型,基於複數個不同入射角處之X射線繞射階之偵測強度及偵測光學強度之一組合擬合分析來判定所關注之一或多個參數之值。光學強度由一光學度量工具量測,該光學度量工具可或可不與一X射線度量系統(諸如圖17中所描述之系統100)機械整合。美國公開專利第2014/0019097號及美國公開專利第2013/0304424號中描述進一步細節,各專利之全部內容以引用的方式併入本文中。
一般而言,一度量目標由界定為度量目標之一最大高度尺寸(即,垂直於晶圓表面之尺寸)除以一最大橫向範圍尺寸(即,與晶圓表面對準之尺寸)之一縱橫比特徵化。在一些實施例中,受量測之度量目標具有至少20之一縱橫比。在一些實施例中,度量目標具有至少40之一縱橫比。
應認識到,本發明中所描述之各種步驟可由一單電腦系統130或替代地一多電腦系統130實施。再者,系統100之不同子系統(諸如樣品定位系統140)可包含適合於實施本文所描述之步驟之至少一部分之一電腦系統。因此,以上描述不應被解譯為本發明之一限制,而是僅為一說明。此外,一或多個計算系統130可經組態以執行本文所描述之方法實施例之任何者之(若干)任何其他步驟。
另外,電腦系統130可依本技術中已知之任何方式通信耦合至X射線照明源110、光束成形狹縫機構120、樣品定位系統140及偵測器119。例如,一或多個計算系統130可耦合至分別與X射線照明源110、光束成形狹縫機構120、樣品定位系統140及偵測器119相關聯之計算系統。在另一實例中,X射線照明源110、光束成形狹縫機構120、樣品定位系統140及偵測器119之任何者可由耦合至電腦系統130之一單電腦系統直接控制。
電腦系統130可經組態以藉由可包含有線及/或無線部分之一傳輸媒體來自系統之子系統(例如X射線照明源110、光束成形狹縫機構120、樣品定位系統140、偵測器119及其類似者)接收及/或獲取資料或資訊。依此方式,傳輸媒體可充當電腦系統130與系統100之其他子系統之間的一資料鏈路。
度量系統100之電腦系統130可經組態以藉由可包含有線及/或無線部分之一傳輸媒體來自其他系統接收及/或獲取資料或資訊(例如量測結果、模型化輸入、模型化結果等等)。依此方式,傳輸媒體可充當電腦系統130與其他系統(例如板上記憶體度量系統100、外部記憶體或外部系統)之間的一資料鏈路。例如,計算系統130可經組態以經由一資料鏈路自一儲存媒體(即,記憶體132或190)接收量測資料(例如信號135)。例如,使用偵測器119獲得之光譜結果可儲存於一永久或半永久記憶體裝置(例如記憶體132或190)中。據此而言,量測結果可自板上記憶體或一外部記憶體系統輸入。再者,電腦系統130可經由一傳輸媒體來將資料發送至其他系統。例如,由電腦系統130判定之樣品參數值186可儲存於一永久或半永久記憶體裝置(例如記憶體190)中。據此而言,量測結果可輸出至另一系統。
計算系統130可包含(但不限於)一個人電腦系統、大型主機電腦系統、工作站、影像電腦、並行處理器或本技術中已知之任何其他裝置。一般而言,術語「計算系統」可廣泛界定為涵蓋具有執行來自一記憶體媒體之指令之一或多個處理器之任何裝置。
實施方法(諸如本文所描述之方法)之程式指令134可經由一傳輸媒體(諸如一導線、電纜或無線傳輸鏈路)來傳輸。例如,如圖1中所繪示,儲存於記憶體132中之程式指令經由匯流排133來傳輸至處理器131。程式指令134儲存於一電腦可讀媒體(例如記憶體132)中。例示性電腦可讀媒體包含唯讀記憶體、一隨機存取記憶體、一磁碟或光碟或一磁帶。
圖25繪示適合於由本發明之度量系統100實施之一方法400之一流程圖。應認識到,在一態樣中,方法400之資料處理區塊可經由計算系統130之一或多個處理器執行之一預程式化演算法來實施。儘管在度量系統100之背景中呈現以下描述,但本文中應認識到,度量系統100之特定結構態樣不表示限制且僅應被解譯為說明。
在區塊401中,由一X射線照明子系統產生一X射線照明束。
在區塊402中,由一樣品定位系統將一多層校正目標定位於X射線束之一路徑中。多層校正目標包含一第一多層結構,其包含一X射線透射材料及一X射線吸收材料之重複層。將多層校正目標定位於X射線束之路徑中,使得重複層定向為實質上平行於入射X射線束。
在區塊403中,在樣品定位系統之一位置範圍內偵測由多層校正目標回應於入射X射線束而繞射之X射線光子。
在區塊404中,基於所偵測之繞射X射線光子來判定X射線束相對於多層校正目標之一入射位置。
在一些實施例中,將本文所描述之散射量測實施為一製程工具之部分。製程工具之實例包含(但不限於)微影曝光工具、膜沈積工具、植入工具及蝕刻工具。依此方式,使用一T-SAXS分析之結果來控制一製程。在一實例中,將自一或多個目標收集之T-SAXS量測資料發送至一製程工具。如本文所描述般分析T-SAXS量測資料且使用結果來調整製程工具之操作。
可使用本文所描述之散射量測來判定各種半導體結構之特性。例示性結構包含(但不限於) FinFET、低維結構(諸如奈米線或石墨烯)、亞10 nm結構、微影結構、貫穿基板通路(TSV)、記憶體結構(諸如DRAM、DRAM 4F2、FLASH、MRAM及高縱橫比記憶體結構)。例示性結構特性包含(但不限於)幾何參數(諸如線邊緣粗糙度、線寬粗糙度、孔大小、孔密度、側壁角、輪廓、臨界尺寸、節距、厚度、重疊)及材料參數(諸如電子密度、組成、晶粒結構、形態、應力、應變及元素識別)。在一些實施例中,度量目標係一週期性結構。在一些其他實施例中,度量目標係非週期性的。
在一些實例中,使用本文所描述之T-SAXS量測系統來執行高縱橫比半導體結構之臨界尺寸、厚度、重疊及材料性質之量測,其包含(但不限於)自旋轉移力矩隨機存取記憶體(STT-RAM)、三維「反及」記憶體(3D-NAND)或垂直「反及」記憶體(V-NAND)、動態隨機存取記憶體(DRAM)、三維快閃記憶體(3D-FLASH)、電阻隨機存取記憶體(Re-RAM)及相變隨機存取記憶體(PC-RAM)。
如本文所描述,術語「臨界尺寸」包含一結構之任何臨界尺寸(例如底部臨界尺寸、中間臨界尺寸、頂部臨界尺寸、側壁角、光柵高度等等)、任何兩個或兩個以上結構之間的一臨界尺寸(例如兩個結構之間的距離)及兩個或兩個以上結構之間的一位移(例如重疊光柵結構之間的重疊位移等等)。結構可包含三維結構、圖案化結構、重疊結構等等。
如本文所描述,術語「臨界尺寸應用」或「臨界尺寸量測應用」包含任何臨界尺寸量測。
如本文所描述,術語「度量系統」包含至少部分用於特徵化一樣品之任何態樣(其包含臨界尺寸應用及重疊度量應用)之任何系統。然而,此等術語不限制本文所描述之術語「度量系統」之範疇。另外,本文所描述之度量系統可經組態以量測圖案化晶圓及/或未圖案化晶圓。度量系統可組態為一LED檢測工具、邊緣檢測工具、背面檢測工具、宏觀檢測工具或多模檢測工具(其涉及同時來自一或多個平台之資料)及受益於本文所描述之量測技術之任何其他度量或檢測工具。
本文描述可用於處理一樣品之一半導體處理系統(例如一檢測系統或一微影系統)之各種實施例。術語「樣品」在本文中用於係指一晶圓、一光罩或可由本技術中已知之構件處理(例如印刷或檢測缺陷)之任何其他樣本。
如本文所使用,術語「晶圓」大體上係指由一半導體或非半導體材料形成之基板。實例包含(但不限於)單晶矽、砷化鎵及磷化銦。此等基板可常見於及/或處理於半導體製造設施中。在一些情況中,一晶圓可僅包含基板(即,裸晶圓)。替代地,一晶圓可包含形成於一基板上之一或多層不同材料。可「圖案化」或「不圖案化」形成於一晶圓上之一或多個層。例如,一晶圓可包含具有可重複圖案特徵之複數個晶粒。
一「光罩」可為一光罩製程之任何階段中之一光罩,或可為可或可不被釋放以用於一半導體製造設施中之一完成光罩。一光罩或一「遮罩」大體上界定為具有形成於其上且組態成一圖案之實質上不透明區域之一實質上透明基板。基板可包含(例如)一玻璃材料,諸如非晶SiO2 。可在一微影程序之一曝光步驟期間將一光罩安置於一光阻劑覆蓋之晶圓上,使得光罩上之圖案可轉移至光阻劑。
可圖案化或不圖案化形成於一晶圓上之一或多個層。例如,一晶圓可包含各具有可重複圖案特徵之複數個晶粒。此等材料層之形成及處理最終可導致完成裝置。諸多不同類型之裝置可形成於一晶圓上,且本文所使用之術語「晶圓」意欲涵蓋本技術中已知之任何類型之裝置製造於其上之一晶圓。
在一或多個例示性實施例中,所描述之功能可實施於硬體、軟體、韌體或其等之任何組合中。若實施於軟體中,則功能可作為一或多個指令或程式碼儲存於一電腦可讀媒體上或作為一電腦可讀媒體上之一或多個指令或程式碼傳輸。電腦可讀媒體包含電腦儲存媒體及通信媒體兩者,其等包含促進一電腦程式自一位置轉移至另一位置之任何媒體。一儲存媒體可為可由通用或專用電腦存取之任何可用媒體。舉例而言(但不限於),此電腦可讀媒體可包括RAM、ROM、EEPROM、CD-ROM或其他光碟儲存器、磁碟儲存器或其他磁性儲存裝置或可用於依指令或資料結構之形式攜載或儲存所要程式碼構件且可由一通用或專用電腦或一通用或專用處理器存取之任何其他媒體。另外,任何連接被適當稱為一電腦可讀媒體。例如,若使用一同軸電纜、光纖電纜、雙絞線、數位用戶線(DSL)或無線技術(諸如紅外線、無線電及微波)自一網站、伺服器或其他遠端源傳輸軟體,則同軸電纜、光纖電纜、雙絞線、DSL或無線技術(諸如紅外線、無線電及微波)包含於媒體之定義中。如本文所使用,磁碟及光碟包含壓縮光碟(CD)、雷射光碟、XRF光碟、數位多功能光碟(DVD)、軟碟及藍光光碟,其中磁碟通常磁性複製資料,而光碟使用雷射來光學複製資料)。上述之組合亦應包含於電腦可讀媒體之範疇內。
儘管上文為了教學而描述特定具體實施例,但本專利文件之教示具有一般適用性且不受限於上述具體實施例。因此,可在不背離申請專利範圍中所闡述之本發明之範疇之情況下實踐所描述之實施例之各種特徵之各種修改、適應及組合。
100‧‧‧透射小角度X射線散射量測(T-SAXS)度量工具
101‧‧‧樣品/晶圓
102‧‧‧光點大小/量測區域/檢測區域
103‧‧‧位置
110‧‧‧X射線照明源
111‧‧‧聚焦光學器件
111'‧‧‧致動器子系統
112‧‧‧光束發散控制狹縫
113‧‧‧中間狹縫
114‧‧‧X射線輻射
115‧‧‧入射束
116‧‧‧照明束/出射束
117‧‧‧射線
119‧‧‧X射線偵測器
120‧‧‧光束成形狹縫機構
122‧‧‧旋轉致動器
125‧‧‧X射線照明子系統
126至129‧‧‧光束成形狹縫
130‧‧‧計算系統/電腦系統
131‧‧‧處理器
132‧‧‧記憶體
133‧‧‧匯流排
134‧‧‧程式指令
135‧‧‧輸出信號/T-SAXS量測資料
136‧‧‧命令信號
137‧‧‧命令信號
138‧‧‧命令信號
140‧‧‧樣品定位系統/晶圓定位系統
141‧‧‧基底框架
142‧‧‧橫向對準台
143‧‧‧台參考框架
144‧‧‧晶圓台
145‧‧‧致動器
146‧‧‧旋轉致動器
147‧‧‧晶圓台致動器
148‧‧‧晶圓台致動器
150A至150C‧‧‧致動器
151‧‧‧標記/基準點
152‧‧‧多層目標
152A‧‧‧多層結構
153‧‧‧旋轉軸線
154‧‧‧對準攝影機
155‧‧‧信號
156‧‧‧翻轉-傾斜-Z軸台
157A至157C‧‧‧運動安裝元件
158‧‧‧旋轉台
180‧‧‧模型構建及分析引擎
181‧‧‧結構模型構建模組
182‧‧‧結構模型
183‧‧‧T-SAXS回應函數構建模組
184‧‧‧T-SAXS回應函數模型
185‧‧‧擬合分析模組
186‧‧‧樣品參數值
190‧‧‧記憶體
201‧‧‧矽基板
202‧‧‧多層結構
203‧‧‧聚焦離子束工具
204‧‧‧聚焦離子束
205‧‧‧多層段/分離目標
210‧‧‧多層目標
211‧‧‧多層結構
212‧‧‧母基板
213‧‧‧基板
214‧‧‧光學標記
216‧‧‧入射X射線束
220‧‧‧多層目標
221‧‧‧矽基板
222‧‧‧水平定向多層結構
223‧‧‧垂直定向多層結構
224‧‧‧多層目標
225‧‧‧垂直定向矽柱
232‧‧‧多層結構
233‧‧‧基板
234‧‧‧X射線束
235‧‧‧偵測器
236‧‧‧繞射階/量測點
237A至237B‧‧‧繞射階/量測點
238A至238B‧‧‧繞射階/量測點
239‧‧‧多層結構
240‧‧‧基板
243‧‧‧繞射階/量測點
244A至244B‧‧‧繞射階/量測點
245A至245B‧‧‧繞射階/量測點
246‧‧‧多層結構
247‧‧‧基板
250‧‧‧繞射階/量測點
251A至251B‧‧‧繞射階/量測點
252A至252B‧‧‧繞射階/量測點
253‧‧‧軸線
261‧‧‧軸線
262‧‧‧多層結構
263‧‧‧多層結構
264‧‧‧基板
267‧‧‧量測點
268A至268B‧‧‧量測點
269A至269B‧‧‧量測點
270A至270B‧‧‧量測點
271A至271B‧‧‧量測點
275‧‧‧說明圖
276‧‧‧說明圖
277‧‧‧多層結構
278‧‧‧多層結構
279‧‧‧基板
282‧‧‧量測點
283A至283B‧‧‧量測點
284A至284B‧‧‧量測點
285‧‧‧多層結構
286‧‧‧多層結構
288‧‧‧基板
290‧‧‧量測點
291A至291B‧‧‧量測點
292A至292B‧‧‧量測點
293‧‧‧基板
294‧‧‧多層結構
295‧‧‧多層結構
296‧‧‧多層結構
297‧‧‧多層結構
300‧‧‧量測點
301A至301B‧‧‧量測點
302A至302B‧‧‧量測點
303A至303B‧‧‧量測點
304A至304B‧‧‧量測點
305‧‧‧複合多層目標
306‧‧‧複合多層目標
307‧‧‧複合多層目標
400‧‧‧方法
401‧‧‧區塊
402‧‧‧區塊
403‧‧‧區塊
404‧‧‧區塊
D‧‧‧深度
G‧‧‧距離
H‧‧‧高度
L‧‧‧距離
S‧‧‧空間間隔
W‧‧‧寬度
θ‧‧‧入射角
Λ‧‧‧厚度
φ‧‧‧方位角
∂x‧‧‧距離
∂z‧‧‧距離
圖1係繪示沈積於一超拋光矽基板上之一多層結構的一圖式。
圖2係繪示由與圖1中所描繪之多層樣本分離之一多層段組裝之一多層目標的一圖式。
圖3係繪示一多層目標的一圖式,該多層目標包含保形沈積於一垂直定向矽柱之側壁上之一垂直定向多層結構及保形沈積於一矽基板上之一水平定向多層結構。
圖4係繪示包含保形沈積於一垂直定向矽柱之側壁上之一垂直定向多層結構之一多層目標的一圖式。
圖5係繪示一實施例中之入射於一多層目標上之一X射線束的一圖式。
圖6係繪示另一實施例中之入射於一多層目標上之一X射線束的一圖式。
圖7係繪示另一實施例中之入射於一多層目標上之一X射線束的一圖式。
圖8係繪示一實施例中之入射於一複合多層目標上之一X射線束的一圖式。
圖9係繪示圖8中所描繪之複合多層目標之一端視圖的一圖式。
圖10係繪示另一實施例中之一複合多層目標之一端視圖的一圖式。
圖11係繪示又一實施例中之入射於一複合多層目標上之一X射線束的一圖式。
圖12係繪示又一實施例中之入射於一複合多層目標上之一X射線束的一圖式。
圖13係繪示又一實施例中之入射於一複合多層目標上之一X射線束的一圖式。圖13中所描繪之複合多層目標在與入射X射線束對準之一方向上組合圖11及圖12中所描繪之複合多層目標。
圖14係繪示一複合多層目標之一端視圖的一圖式,該複合多層目標包含包圍等於待量測之一半導體目標之量測框大小之一空矩形區域的8個多層結構。
圖15係繪示另一實施例中之包含8個多層結構之一複合多層目標之一端視圖的一圖式。
圖16係繪示又一實施例中之一複合多層目標之一端視圖的一圖式。
圖17係繪示一度量系統100的一圖式,度量系統100經組態以根據本文所描述之方法使用一多層校正目標來執行各種系統參數之校正。
圖18描繪一組態中之一光束成形狹縫機構之一端視圖。
圖19描繪另一組態中之光束成形狹縫機構之一端視圖。
圖20描繪依由角φ及θ描述之一特定定向入射於一晶圓上之一X射線照明束。
圖21係繪示一樣品定位系統的一圖式,其中一晶圓台移動至其中一照明束入射於一晶圓上之一位置。
圖22A描繪圖21中所描繪之入射於晶圓上之照明束之一俯視圖,其中旋轉軸線153與照明束相交於照明束與晶圓之入射點處。
圖22B描繪圖21中所描繪之入射於晶圓上之照明束之一俯視圖,其中旋轉軸線153在Z方向上與晶圓之表面失準。
圖22C描繪圖21中所描繪之入射於晶圓上之照明束之一俯視圖,其中旋轉軸線153在X方向上與晶圓之表面失準。
圖23係繪示樣品定向系統的一圖式,其中晶圓台移動至其中X射線束入射於一多層校正目標上之一位置。
圖24係繪示經組態以基於X射線散射量測資料來解析樣品參數值之一模型構建及分析引擎180的一圖式。
圖25係繪示使用本文所描述之一多層校正目標來校正一基於X射線之散射量測系統之一例示性方法的一流程圖。

Claims (28)

  1. 一種基於X射線之量測系統,其包括: 一X射線照明子系統,其經組態以產生一X射線束; 一樣品定位系統,其經組態以相對於該X射線束定位一樣品,使得該X射線束在該樣品之表面上之任何位置處入射於該樣品之該表面上; 一多層校正目標,其安置於該樣品定位系統上,該多層校正目標包含一第一多層結構,該第一多層結構包括一X射線透射材料及一X射線吸收材料之重複層,其中該多層校正目標位於該X射線束之一路徑中,使得該等重複層定向為實質上平行於該入射X射線束; 一X射線偵測器,其經組態以回應於該樣品定位系統之一位置範圍內之該入射X射線束而偵測由該多層校正目標繞射之X射線光子;及 一計算系統,其經組態以基於該等偵測X射線光子來判定該X射線束相對於該多層校正目標之一入射位置。
  2. 如請求項1之基於X射線之量測系統,其中該位置範圍包含一入射角範圍,且其中該計算系統經進一步組態以基於該等偵測X射線光子來判定該X射線束相對於該多層校正目標之一定向。
  3. 如請求項1之基於X射線之量測系統,其中該樣品定位系統經組態以使該樣品圍繞一旋轉軸線相對於該X射線束旋轉,使得該X射線束在任何位置處依複數個入射角入射於該樣品之該表面上,且其中該計算系統經進一步組態以基於該等偵測X射線光子來判定該旋轉軸線相對於該X射線束之一位置之間的一失準。
  4. 如請求項1之基於X射線之量測系統,該計算系統經進一步組態以: 基於繞射成兩個不同階之偵測X射線光子之間的該偵測器處之一空間間隔來估計該多層目標與該偵測器之間的一距離。
  5. 如請求項1之基於X射線之量測系統,該多層校正目標進一步包括: 一第二多層結構,其包括一X射線透射材料及一X射線吸收材料之重複層,該第二多層結構安置為沿與該入射X射線束對準之一方向相鄰於該第一多層結構,其中該第二多層結構之該等重複層定向為實質上平行於該入射X射線束,且其中該第一多層結構之該等重複層依不同於該第二多層結構之該等重複層之相對於該入射X射線束之一軸線之一角度定向。
  6. 如請求項1之基於X射線之量測系統,該多層校正目標進一步包括: 一第二多層結構,其包括該X射線透射材料及該X射線吸收材料之重複層,該第二多層結構安置為沿垂直於該入射X射線束之一軸線之一方向相鄰於該第一多層結構。
  7. 如請求項6之基於X射線之量測系統,其中該第二多層結構之該等重複層定向為實質上平行於該入射X射線束,且其中該第一多層結構之該等重複層依不同於該第二多層結構之該等重複層之相對於垂直於該入射X射線束之一縱軸線之一軸線之一角度定向。
  8. 如請求項6之基於X射線之量測系統,其中該第二多層結構之該等重複層定向為實質上平行於該入射X射線束,且其中該第一多層結構沿垂直於該入射X射線束之一軸線之該方向與該第二多層結構以一間隙距離空間上間隔開。
  9. 如請求項8之基於X射線之量測系統,該計算系統經組態以基於該等偵測X射線光子來量測該第一多層結構與該第二多層結構之間的該間隙外之一光子污染量。
  10. 如請求項8之基於X射線之量測系統,該計算系統經組態以基於該等偵測X射線光子來量測通過該第一多層結構與該第二多層結構之間的該間隙之一光子通量。
  11. 如請求項1之基於X射線之量測系統,該多層校正目標進一步包括: 一或多個光學基準標記,其等位於該多層校正目標之一表面上。
  12. 如請求項11之基於X射線之量測系統,其進一步包括: 一對準攝影機,其產生該一或多個基準標記之至少一部分之一影像,其中該計算系統經進一步組態以基於該影像來將該一或多個基準標記定位於該樣品定位系統之座標系中且基於該一或多個基準標記之該位置及該一或多個基準標記與該多層校正目標之間的一已知距離來估計該樣品定位系統之該座標系中之該X射線束之一入射位置。
  13. 如請求項1之基於X射線之量測系統,其中與該入射X射線束對準之一方向上之該第一多層結構之一深度係至少3微米。
  14. 一種方法,其包括: 由一X射線照明子系統產生一X射線照明束; 由一樣品定位系統將一多層校正目標定位於該X射線束之一路徑中,該多層校正目標包含一第一多層結構,該第一多層結構包括一X射線透射材料及一X射線吸收材料之重複層,其中該多層校正目標位於該X射線束之該路徑中,使得該等重複層定向為實質上平行於該入射X射線束; 回應於該樣品定位系統之一位置範圍內之該入射X射線束而偵測由該多層校正目標繞射之X射線光子;及 基於該等偵測X射線光子來判定該X射線束相對於該多層校正目標之一入射位置。
  15. 如請求項14之方法,其進一步包括: 基於繞射成不同階之偵測X射線光子之間的偵測器處之一空間間隔來估計該多層目標與該偵測器之間的一距離。
  16. 如請求項14之方法,其中該多層校正目標亦包含一第二多層結構,該第二多層結構包括一X射線透射材料及一X射線吸收材料之重複層,該第二多層結構安置為沿與該入射X射線束對準之一方向相鄰於該第一多層結構,其中該第二多層結構之該等重複層定向為實質上平行於該入射X射線束,且其中該第一多層結構之該等重複層依不同於該第二多層結構之該等重複層之相對於垂直於該入射X射線束之一縱軸線之一軸線之一角度定向。
  17. 如請求項14之方法,其中該多層校正目標亦包含一第二多層結構,第二多層結構包括該X射線透射材料及該X射線吸收材料之重複層,該第二多層結構安置為沿垂直於該入射X射線束之一軸線之一方向相鄰於該第一多層結構。
  18. 如請求項17之方法,其中該第二多層結構之該等重複層定向為實質上平行於該入射X射線束,且其中該第一多層結構之該等重複層依不同於該第二多層結構之該等重複層之相對於該入射X射線束之一軸線之一角度定向。
  19. 如請求項17之方法,其中該第二多層結構之該等重複層定向為實質上平行於該入射X射線束,且其中該第一多層結構沿垂直於該入射X射線束之一軸線之該方向與該第二多層結構以一間隙距離空間上間隔開。
  20. 如請求項19之方法,其進一步包括: 基於該等偵測X射線光子來量測該第一多層結構與該第二多層結構之間的該間隙外之一光子污染量。
  21. 如請求項19之方法,其進一步包括: 基於該等偵測X射線光子來量測通過該第一多層結構與該第二多層結構之間的該間隙之一光子通量。
  22. 一種多層校正目標,其包括: 一第一多層結構,其包括一X射線透射材料及一X射線吸收材料之交替重複層,該等重複層具有實質上相同厚度,該多層校正目標安裝至一基於X射線之量測系統之一樣品定位系統,該多層結構位於X射線束之路徑中,使得該等重複層定向為實質上平行於該入射X射線束,且其中回應於該入射X射線束而基於由該多層校正目標繞射之偵測X射線光子來判定該X射線束相對於該多層校正目標之一入射位置。
  23. 如請求項22之多層校正目標,其中與該入射X射線束對準之一方向上之該第一多層結構之一深度係至少3微米。
  24. 如請求項22之多層校正目標,其進一步包括: 一或多個光學基準標記,其等位於該多層校正目標之一表面上。
  25. 如請求項22之多層校正目標,其進一步包括: 一第二多層結構,其包括一X射線透射材料及一X射線吸收材料之重複層,該第二多層結構安置為沿與該入射X射線束對準之一方向相鄰於該第一多層結構,其中該第二多層結構之該等重複層定向為實質上平行於該入射X射線束,且其中該第一多層結構之該等重複層依不同於該第二多層結構之該等重複層之相對於垂直於該入射X射線束之一縱軸線之一軸線之一角度定向。
  26. 如請求項22之多層校正目標,其進一步包括: 一第二多層結構,其包括一X射線透射材料及一X射線吸收材料之重複層,該第二多層結構安置為沿垂直於該入射X射線束之一軸線之一方向相鄰於該第一多層結構。
  27. 如請求項26之多層校正目標,其中該第二多層結構之該等重複層定向為實質上平行於該入射X射線束,且其中該第一多層結構之該等重複層依不同於該第二多層結構之該等重複層之相對於垂直於該入射X射線束之一縱軸線之一軸線之一角度定向。
  28. 如請求項26之多層校正目標,其中該第二多層結構之該等重複層定向為實質上平行於該入射X射線束,且其中該第一多層結構沿垂直於該入射X射線束之一縱軸線之該方向與該第二多層結構以一間隙距離空間上間隔開。
TW108111019A 2018-03-28 2019-03-28 用於基於x射線之量測系統之校正及對準之多層目標 TWI803609B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201862649131P 2018-03-28 2018-03-28
US62/649,131 2018-03-28
US16/364,163 US10816486B2 (en) 2018-03-28 2019-03-25 Multilayer targets for calibration and alignment of X-ray based measurement systems
US16/364,163 2019-03-25

Publications (2)

Publication Number Publication Date
TW201945690A true TW201945690A (zh) 2019-12-01
TWI803609B TWI803609B (zh) 2023-06-01

Family

ID=68055946

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108111019A TWI803609B (zh) 2018-03-28 2019-03-28 用於基於x射線之量測系統之校正及對準之多層目標

Country Status (6)

Country Link
US (1) US10816486B2 (zh)
JP (1) JP7133030B2 (zh)
KR (1) KR102416916B1 (zh)
CN (1) CN112105917B (zh)
TW (1) TWI803609B (zh)
WO (1) WO2019191335A1 (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11573175B2 (en) 2020-12-22 2023-02-07 Industrial Technology Research Institute Calibration assembly for scan device and calibration system
TWI806172B (zh) * 2021-08-06 2023-06-21 日商川崎車輛股份有限公司 構造物之製造方法、構造物製造用之識別符、構造物之製造系統及機械加工程式
TWI815602B (zh) * 2021-12-14 2023-09-11 大陸商西安奕斯偉材料科技股份有限公司 檢測單晶爐同軸度的方法、裝置、設備及電腦存儲介質

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11181489B2 (en) * 2018-07-31 2021-11-23 Lam Research Corporation Determining tilt angle in patterned arrays of high aspect-ratio structures by small-angle x-ray scattering
EP3861319A4 (en) * 2018-10-04 2022-06-15 Decision Tree, LLC SYSTEMS AND METHODS FOR INTERPRETATION OF HIGH ENERGY INTERACTIONS
JP7168985B2 (ja) * 2019-04-22 2022-11-10 株式会社リガク 微細構造の解析方法、装置およびプログラム
US11322381B2 (en) * 2019-06-28 2022-05-03 Applied Materials, Inc. Method for substrate registration and anchoring in inkjet printing
FR3103897B1 (fr) * 2019-12-02 2022-04-01 Safran Dispositif et procédé de mesure des angles d’orientation d’un système d’imagerie x
US11761913B2 (en) * 2020-05-04 2023-09-19 Bruker Technologies Ltd. Transmission X-ray critical dimension (T-XCD) characterization of shift and tilt of stacks of high-aspect-ratio (HAR) structures
US11879854B2 (en) 2020-09-23 2024-01-23 Baker Hughes Oilfield Operations Llc Positioning of x-ray imaging system using an optical camera
JP7481238B2 (ja) 2020-11-24 2024-05-10 トヨタ自動車株式会社 層厚解析方法
CN113030131B (zh) * 2021-03-01 2022-10-28 浙江双元科技股份有限公司 一种基于x射线成像的图像采集设备及方法

Family Cites Families (62)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4089740A (en) * 1976-01-30 1978-05-16 Conwed Corporation Apparatus for applying secondary layer on board surface
JPH01243518A (ja) * 1988-03-25 1989-09-28 Nippon Telegr & Teleph Corp <Ntt> X線露光装置及び該装置のx線源位置決め方法
US5241578A (en) * 1991-12-02 1993-08-31 Arch Development Corporation Optical grid alignment system for portable radiography and portable radiography apparatus incorporating same
US5608526A (en) 1995-01-19 1997-03-04 Tencor Instruments Focused beam spectroscopic ellipsometry method and system
US6023338A (en) 1996-07-12 2000-02-08 Bareket; Noah Overlay alignment measurement of wafers
US5859424A (en) 1997-04-08 1999-01-12 Kla-Tencor Corporation Apodizing filter system useful for reducing spot size in optical measurements and other applications
US6389100B1 (en) 1999-04-09 2002-05-14 Osmic, Inc. X-ray lens system
US6438210B1 (en) * 2000-03-28 2002-08-20 General Electric Company Anti-scatter grid, method, and apparatus for forming same
US6429943B1 (en) 2000-03-29 2002-08-06 Therma-Wave, Inc. Critical dimension analysis with simultaneous multiple angle of incidence measurements
US6787773B1 (en) 2000-06-07 2004-09-07 Kla-Tencor Corporation Film thickness measurement using electron-beam induced x-ray microanalysis
US7317531B2 (en) 2002-12-05 2008-01-08 Kla-Tencor Technologies Corporation Apparatus and methods for detecting overlay errors using scatterometry
US7541201B2 (en) 2000-08-30 2009-06-02 Kla-Tencor Technologies Corporation Apparatus and methods for determining overlay of structures having rotational or mirror symmetry
US7068833B1 (en) 2000-08-30 2006-06-27 Kla-Tencor Corporation Overlay marks, methods of overlay mark design and methods of overlay measurements
JP2002174515A (ja) * 2000-12-08 2002-06-21 Orc Mfg Co Ltd X線光軸の傾補正機構
JP2002252471A (ja) * 2001-02-26 2002-09-06 Matsushita Electric Works Ltd 多層積層板の基準マークのx線検出方法
US20030002043A1 (en) 2001-04-10 2003-01-02 Kla-Tencor Corporation Periodic patterns and technique to control misalignment
US6716646B1 (en) 2001-07-16 2004-04-06 Advanced Micro Devices, Inc. Method and apparatus for performing overlay measurements using scatterometry
DE10147947C1 (de) * 2001-09-28 2003-04-24 Siemens Ag Verfahren zur Herstellung eines Streustrahlenrasters oder Kollimators
FR2830976B1 (fr) * 2001-10-17 2004-01-09 Ge Med Sys Global Tech Co Llc Grilles anti-diffusantes a faible attenuation et procede de fabrication de telles grilles
JP4938219B2 (ja) 2001-12-19 2012-05-23 ケーエルエー−テンカー コーポレイション 光学分光システムを使用するパラメトリック・プロフィーリング
US6778275B2 (en) 2002-02-20 2004-08-17 Micron Technology, Inc. Aberration mark and method for estimating overlay error and optical aberrations
US6992764B1 (en) 2002-09-30 2006-01-31 Nanometrics Incorporated Measuring an alignment target with a single polarization state
US7842933B2 (en) 2003-10-22 2010-11-30 Applied Materials Israel, Ltd. System and method for measuring overlay errors
US6937337B2 (en) 2003-11-19 2005-08-30 International Business Machines Corporation Overlay target and measurement method using reference and sub-grids
US7321426B1 (en) 2004-06-02 2008-01-22 Kla-Tencor Technologies Corporation Optical metrology on patterned samples
CN2758762Y (zh) * 2004-12-20 2006-02-15 赵久 自动化x射线定向仪
US7478019B2 (en) 2005-01-26 2009-01-13 Kla-Tencor Corporation Multiple tool and structure analysis
JP4585926B2 (ja) 2005-06-17 2010-11-24 株式会社日立ハイテクノロジーズ パターンレイヤーデータ生成装置、それを用いたパターンレイヤーデータ生成システム、半導体パターン表示装置、パターンレイヤーデータ生成方法、及びコンピュータプログラム
JP2009510479A (ja) 2005-10-04 2009-03-12 サーモ ニトン アナライザーズ リミテッド ライアビリティ カンパニー 多層材料の元素組成及び厚みの分析
US7567351B2 (en) 2006-02-02 2009-07-28 Kla-Tencor Corporation High resolution monitoring of CD variations
JP4887062B2 (ja) 2006-03-14 2012-02-29 株式会社日立ハイテクノロジーズ 試料寸法測定方法、及び試料寸法測定装置
US7642550B2 (en) 2006-07-25 2010-01-05 Micron Technology, Inc. Multi-layer structures for parameter measurement
TWI429896B (zh) 2006-07-27 2014-03-11 Rudolph Technologies Inc 橢圓偏光測定儀器及監控製程之方法
US7406153B2 (en) 2006-08-15 2008-07-29 Jordan Valley Semiconductors Ltd. Control of X-ray beam spot size
US7440546B2 (en) 2006-12-06 2008-10-21 Uchicago Argonne, Llc Method of making and structure of multilayer laue lens for focusing hard x-rays
US7873585B2 (en) 2007-08-31 2011-01-18 Kla-Tencor Technologies Corporation Apparatus and methods for predicting a semiconductor parameter across an area of a wafer
US8263929B2 (en) * 2008-08-06 2012-09-11 Hitachi High-Technologies Corporation Standard member for correction, scanning electron microscope using same, and scanning electron microscope correction method
US7929667B1 (en) 2008-10-02 2011-04-19 Kla-Tencor Corporation High brightness X-ray metrology
WO2010052840A1 (ja) * 2008-11-05 2010-05-14 株式会社日立ハイテクノロジーズ 校正用標準部材およびその作製方法並びにそれを用いた走査電子顕微鏡
US8068662B2 (en) 2009-03-30 2011-11-29 Hermes Microvision, Inc. Method and system for determining a defect during charged particle beam inspection of a sample
JP5764380B2 (ja) 2010-04-29 2015-08-19 エフ イー アイ カンパニFei Company Sem画像化法
US9046475B2 (en) 2011-05-19 2015-06-02 Applied Materials Israel, Ltd. High electron energy based overlay error measurement methods and systems
US10107621B2 (en) 2012-02-15 2018-10-23 Nanometrics Incorporated Image based overlay measurement with finite gratings
US10801975B2 (en) 2012-05-08 2020-10-13 Kla-Tencor Corporation Metrology tool with combined X-ray and optical scatterometers
US10013518B2 (en) 2012-07-10 2018-07-03 Kla-Tencor Corporation Model building and analysis engine for combined X-ray and optical metrology
WO2014062972A1 (en) 2012-10-18 2014-04-24 Kla-Tencor Corporation Symmetric target design in scatterometry overlay metrology
US9581430B2 (en) 2012-10-19 2017-02-28 Kla-Tencor Corporation Phase characterization of targets
US10769320B2 (en) 2012-12-18 2020-09-08 Kla-Tencor Corporation Integrated use of model-based metrology and a process model
US9291554B2 (en) 2013-02-05 2016-03-22 Kla-Tencor Corporation Method of electromagnetic modeling of finite structures and finite illumination for metrology and inspection
US10101670B2 (en) 2013-03-27 2018-10-16 Kla-Tencor Corporation Statistical model-based metrology
CN105338901B (zh) * 2013-06-28 2019-03-08 皇家飞利浦有限公司 狭缝扫描相位衬度成像中的校正
US9846132B2 (en) 2013-10-21 2017-12-19 Kla-Tencor Corporation Small-angle scattering X-ray metrology systems and methods
US9885962B2 (en) 2013-10-28 2018-02-06 Kla-Tencor Corporation Methods and apparatus for measuring semiconductor device overlay using X-ray metrology
US9494535B2 (en) 2014-04-21 2016-11-15 Kla-Tencor Corporation Scatterometry-based imaging and critical dimension metrology
US10324050B2 (en) 2015-01-14 2019-06-18 Kla-Tencor Corporation Measurement system optimization for X-ray based metrology
AT14686U1 (de) * 2015-01-27 2016-04-15 Plansee Se Streustrahlenraster
US10545104B2 (en) 2015-04-28 2020-01-28 Kla-Tencor Corporation Computationally efficient X-ray based overlay measurement
US10352695B2 (en) 2015-12-11 2019-07-16 Kla-Tencor Corporation X-ray scatterometry metrology for high aspect ratio structures
KR102184603B1 (ko) 2016-04-22 2020-11-30 케이엘에이 코포레이션 송신, 소형 각도 x선 스캐터로메트리의 작은 스폿 크기를 위한 빔 성형 슬릿
US10775323B2 (en) 2016-10-18 2020-09-15 Kla-Tencor Corporation Full beam metrology for X-ray scatterometry systems
US10481111B2 (en) 2016-10-21 2019-11-19 Kla-Tencor Corporation Calibration of a small angle X-ray scatterometry based metrology system
US11073487B2 (en) 2017-05-11 2021-07-27 Kla-Tencor Corporation Methods and systems for characterization of an x-ray beam with high spatial resolution

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11573175B2 (en) 2020-12-22 2023-02-07 Industrial Technology Research Institute Calibration assembly for scan device and calibration system
TWI806172B (zh) * 2021-08-06 2023-06-21 日商川崎車輛股份有限公司 構造物之製造方法、構造物製造用之識別符、構造物之製造系統及機械加工程式
TWI815602B (zh) * 2021-12-14 2023-09-11 大陸商西安奕斯偉材料科技股份有限公司 檢測單晶爐同軸度的方法、裝置、設備及電腦存儲介質

Also Published As

Publication number Publication date
CN112105917B (zh) 2022-09-16
JP2021519428A (ja) 2021-08-10
TWI803609B (zh) 2023-06-01
US10816486B2 (en) 2020-10-27
CN112105917A (zh) 2020-12-18
WO2019191335A1 (en) 2019-10-03
KR20200127048A (ko) 2020-11-09
JP7133030B2 (ja) 2022-09-07
KR102416916B1 (ko) 2022-07-05
US20190302039A1 (en) 2019-10-03

Similar Documents

Publication Publication Date Title
TWI803609B (zh) 用於基於x射線之量測系統之校正及對準之多層目標
JP7379620B2 (ja) 高空間分解能を有するx線ビームの特性評価のための方法及びシステム
TWI739935B (zh) 計量系統
KR102184603B1 (ko) 송신, 소형 각도 x선 스캐터로메트리의 작은 스폿 크기를 위한 빔 성형 슬릿
TWI783988B (zh) 傳輸小角度x射線散射度量系統
TW201825867A (zh) 用於x 射線散射測量系統之全光束度量
CN110383053B (zh) 用于小角度x射线散射测量的x射线变焦镜头
TW202138756A (zh) 用於以x射線為基礎之計量學之晶片傾斜之測量及控制