TW202138756A - 用於以x射線為基礎之計量學之晶片傾斜之測量及控制 - Google Patents

用於以x射線為基礎之計量學之晶片傾斜之測量及控制 Download PDF

Info

Publication number
TW202138756A
TW202138756A TW110105986A TW110105986A TW202138756A TW 202138756 A TW202138756 A TW 202138756A TW 110105986 A TW110105986 A TW 110105986A TW 110105986 A TW110105986 A TW 110105986A TW 202138756 A TW202138756 A TW 202138756A
Authority
TW
Taiwan
Prior art keywords
wafer
ray
orientation
measurement
measurement point
Prior art date
Application number
TW110105986A
Other languages
English (en)
Inventor
貝利 布萊森罕
瑞吉羅 約瑟夫 A 迪
張岩
羅伯特 普雷斯
阮輝
Original Assignee
美商科磊股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商科磊股份有限公司 filed Critical 美商科磊股份有限公司
Publication of TW202138756A publication Critical patent/TW202138756A/zh

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N23/00Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00
    • G01N23/20Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by using diffraction of the radiation by the materials, e.g. for investigating crystal structure; by using scattering of the radiation by the materials, e.g. for investigating non-crystalline materials; by using reflection of the radiation by the materials
    • G01N23/201Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by using diffraction of the radiation by the materials, e.g. for investigating crystal structure; by using scattering of the radiation by the materials, e.g. for investigating non-crystalline materials; by using reflection of the radiation by the materials by measuring small-angle scattering
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/956Inspecting patterns on the surface of objects
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N23/00Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00
    • G01N23/20Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by using diffraction of the radiation by the materials, e.g. for investigating crystal structure; by using scattering of the radiation by the materials, e.g. for investigating non-crystalline materials; by using reflection of the radiation by the materials
    • G01N23/2055Analysing diffraction patterns
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B2210/00Aspects not specifically covered by any group under G01B, e.g. of wheel alignment, caliper-like sensors
    • G01B2210/56Measuring geometric parameters of semiconductor structures, e.g. profile, critical dimensions or trench depth
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N2223/00Investigating materials by wave or particle radiation
    • G01N2223/05Investigating materials by wave or particle radiation by diffraction, scatter or reflection
    • G01N2223/054Investigating materials by wave or particle radiation by diffraction, scatter or reflection small angle scatter
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N2223/00Investigating materials by wave or particle radiation
    • G01N2223/10Different kinds of radiation or particles
    • G01N2223/101Different kinds of radiation or particles electromagnetic radiation
    • G01N2223/1016X-ray
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N2223/00Investigating materials by wave or particle radiation
    • G01N2223/30Accessories, mechanical or electrical features
    • G01N2223/305Accessories, mechanical or electrical features computer simulations
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N2223/00Investigating materials by wave or particle radiation
    • G01N2223/60Specific applications or type of materials
    • G01N2223/611Specific applications or type of materials patterned objects; electronic devices
    • G01N2223/6116Specific applications or type of materials patterned objects; electronic devices semiconductor wafer
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N2223/00Investigating materials by wave or particle radiation
    • G01N2223/60Specific applications or type of materials
    • G01N2223/646Specific applications or type of materials flaws, defects
    • G01N2223/6462Specific applications or type of materials flaws, defects microdefects

Landscapes

  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Biochemistry (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • General Health & Medical Sciences (AREA)
  • Immunology (AREA)
  • Pathology (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Analysing Materials By The Use Of Radiation (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Length Measuring Devices By Optical Means (AREA)

Abstract

本文描述用於測量一晶片在一X射線散射測量位置處或一X射線散射測量位置附近之定向之方法及系統。在一態樣中,一種以X射線散射測量為基礎之計量系統包含在無介入載台移動之情況下基於一單一測量來測量晶片定向之一晶片定向測量系統。在一些實施例中,一定向測量點與一X射線測量點重合。在一些實施例中,同時執行一X射線散射測量及一晶片定向測量。在另一態樣中,由一晶片定向測量系統偵測之信號經時間、空間或時間及空間過濾以改良追蹤。在另一態樣中,一晶片定向測量系統經校準以識別該晶片相對於一入射X射線束之定向。在另一態樣中,一受測量晶片依一封閉迴路或開放迴路方式基於該測量定向定位。

Description

用於以X射線為基礎之計量學之晶片傾斜之測量及控制
所描述之實施例係關於X射線計量系統及方法,更特定言之,所描述之實施例係關於用於提高測量準確度之方法及系統。
諸如邏輯及記憶體裝置之半導體裝置通常由應用於一樣本之一系列處理步驟製造。半導體裝置之各種特徵及多個結構層級由此等處理步驟形成。例如,其中之微影係涉及在一半導體晶片上產生一圖案之一半導體製程。半導體製程之額外實例包含(但不限於)化學機械拋光、蝕刻、沈積及離子植入。多個半導體裝置可製作於一單一半導體晶片上且接著分離成個別半導體裝置。
計量程序在一半導體製程期間之各種步驟中用於偵測晶片上之缺陷以促進較高良率。包含散射測量及反射測量實施方案及相關聯分析演算法之諸多以計量學為基礎之技術常用於特徵化奈米級結構之臨界尺寸、膜厚、組成及其他參數。
通常,散射臨界尺寸測量執行於由薄膜及/或重複週期性結構組成之目標上。在裝置製造期間,此等膜及週期性結構通常表示實際裝置幾何結構及材料結構或一中間設計。隨著裝置(例如邏輯及記憶體裝置)朝向更小奈米級尺寸發展,特徵化變得更困難。併入複雜三維幾何結構及具有各種物理性質之材料之裝置造成特徵化困難。例如,現代記憶體結構通常係使光輻射難以穿透至底層之高縱橫比三維結構。利用紅外線至可見光之光學計量工具可穿透諸多半透明材料層,但提供良好穿透深度之較長波長無法提供對小異常之足够敏感度。另外,特徵化複雜結構(例如FinFET)所需之參數數目不斷增加導致參數相關性不斷增加。因此,特徵化目標之參數通常無法與可用測量可靠地解耦合。
在一實例中,已試圖採用較長波長(例如近紅外線)來克服利用多晶矽作為堆疊中交替材料之一者之3D FLASH裝置之穿透問題。然而,3D FLASH之鏡面結構本質上引起光強度隨著照明更深入傳播至膜堆疊中而降低。此引起敏感度損失及深度相關問題。在此情境中,SCD僅能夠以高敏感度及低相關性成功提取一組減少計量尺寸。
在另一實例中,不透明高k材料越來越多用於現代半導體結構中。光輻射通常無法穿透由此等材料建構之層。因此,使用諸如橢偏計或反射計之薄膜散射測量工具之測量變得越來越有挑戰。
回應於此等挑戰,已開發更複雜光學計量工具。例如,已開發具有多個照明角、更短照明波長、更寬照明波長範圍及自反射信號獲取更完整資訊(例如測量多個穆勒矩陣元素及更習知反射率或橢偏信號)之工具。然而,此等方法無法可靠地克服與諸多先進目標(例如複雜3D結構、小於10 nm之結構、採用不透明材料之結構)之測量及測量應用(例如線邊緣粗糙度及線寬粗糙度測量)相關聯之基本挑戰。
原子力顯微鏡(AFM)及掃描穿隧顯微鏡(STM)能夠達成原子解析度,但其可僅探測樣本之表面。另外,AFM及STM顯微鏡需要長掃描時間。掃描電子顯微鏡(SEM)達成中等解析度位準,但無法穿透結構至足够深度。因此,高縱橫比孔未很好地特徵化。另外,樣本所需之充電對成像效能具有一不利影響。
為克服穿透深度問題,諸如TEM、SEM等等之傳統成像技術與諸如聚焦離子束(FIB)機械加工、離子銑削、毯覆或選擇性蝕刻等等之破壞性樣品製備技術一起採用。例如,透射電子顯微鏡(TEM)達成高解析度位準且能够探測任意深度,但TEM需要破壞性切開樣本。材料移除及測量之若干反覆大體上提供在整個三維結構中測量臨界計量參數所需之資訊。但此等技術需要破壞樣本及長時間處理。歸因於蝕刻及計量步驟之漂移,完成此等類型之測量之複雜性及時間引入大不準確度。另外,此等技術需要多次反覆,其引入對位誤差。
小角度X射線散射測量(SAXS)系統已展示解決挑戰性測量應用之前景。以下各者中描述將SAXS技術應用於臨界尺寸(CD-SAXS)及疊對(OVL-SAXS)之測量之各種態樣:1) Zhuang及Fielden之名稱為「High-brightness X-ray metrology」之美國專利第7,929,667號、2) Bakeman、Shchegrov、Zhao及Tan之名稱為「Model Building And Analysis Engine For Combined X-Ray And Optical Metrology」之美國公開專利第2014/0019097號、3) Veldman、Bakeman、Shchegrov及Mieher之名稱為「Methods and Apparatus For Measuring Semiconductor Device Overlay Using X-Ray Metrology」之美國公開專利第2015/0117610號、4) Hench、Shchegrov及Bakeman之名稱為「Measurement System Optimization For X-Ray Based Metrology」之美國公開專利第2016/0202193號、5) Dziura、Gellineau及Shchegrov之名稱為「X-ray Metrology For High Aspect Ratio Structures」之美國公開專利第2017/0167862號及6) Gellineau、Dziura、Hench、Veldman及Zalubovsky之名稱為「Full Beam Metrology for X-Ray Scatterometry Systems」之美國公開專利第2018/0106735號。上述專利文件讓與KLA-Tencor Corporation, Milpitas, California (USA)。
SAXS亦已應用於材料之特徵化及其他非半導體相關應用。例示性系統已由包含Xenocs SAS (www.xenocs.com)、Bruker Corporation (www.bruker.com)及Rigaku Corporation (www.rigaku.com/en)之若干公司商業化。
科技文獻中亦描述關於半導體結構之CD-SAXS計量學之研究。大多數研究小組已採用歸因於其大體積、成本等等而不適合用於一半導體製造設施中之高亮度X射線同步加速器源。此一系統之一實例描述於Lemailet、Germer、Kline等人之名稱為「Intercomparison between optical and x-ray scatterometry measurements of FinFET structures」( Proc. SPIE,v.8681,p. 86810Q (2013))之論文中。最近,(美國)國家標準技術研究所(NIST)之一小組已開始採用類似於美國專利第7,929,667號中所描述之X射線源之小型及明亮X射線源來研究。此研究描述於名稱為「X-ray scattering critical dimensional metrology using a compact x-ray source for next generation semiconductor devices」(J. Micro/Nanolith. MEMS MOEMS 16(1),014001 (2017年1月至3月))之一論文中。
一般而言,X射線束與目標之相互作用必須被校準且與計量系統對準以確保有效測量。例示性特徵化包含將X射線束之峰值强度精確定位於目標上、測量X射線束强度分佈、識別X射線束之邊界使得僅一定百分比之光束通量位於邊界外部、精確估計晶片傾斜等等。例示性對準包含使X射線束與一光學視覺系統對準、使X射線束與工具之特定機械特徵(例如晶片旋轉軸線等等)對準、使晶片相對於入射X射線束角對準等等。
測量點處之晶片傾斜顯著影響X射線散射測量。在沒有精確瞭解晶片在晶片上之X射線照明光束之入射位置處相對於入射X射線束之定向之情況下,發生不可接受之X射線散射測量誤差。
不幸地,受測量半導體晶片通常不平坦。一半導體晶片通常包含跨其表面安置於不同厚度處之不同材料。此不均質性導致跨晶片表面之不同機械剛度及跨晶片表面之一不均勻曲率。因此,具有一300毫米直徑之一無約束晶片通常具有一弓形或複雜形狀。在一些實例中,一晶片之表面自一理想平面變動超過1毫米,且一晶片之表面之定向可取決於晶片表面上之位置而變動+/-2度。
可藉由使用採用真空或靜電致動器之一晶片夾頭來部分減小晶片傾斜以迫使晶片之背面與一機械平坦晶片夾頭接觸。儘管此約束顯著減小跨晶片之整個表面之晶片傾斜(即,整體晶片平坦度),但其對解決其中X射線照明光束入射於晶片上之相對較小區域上之晶片平坦度(即,局部晶片平坦度)幾乎無作用。
此外,跨晶片背面支撐晶片之一晶片夾頭之使用僅適合於一反射X射線散射測量系統。一透射SAXS系統需要使用一邊緣夾持晶片夾頭以允許散射X射線不失真地傳播至偵測器。由於約束晶片之能力有限,一透射SAXS系統必須在一相對無約束狀態中測量晶片。
通常,使用一距離感測器估計晶片傾斜。距離感測器測量晶片表面與參考框架之間的距離。將晶片移動至相對於距離感測器之至少三個不同位置中且在此等位置之各者處測量距離。採用內插來估計一最佳擬合平面,其基於晶片上之不同位置處所測量之距離測量來估計晶片表面之定向。
此方法具有若干缺點。首先,在多個不同位置處對距離取樣很耗時。各測量需要移動載台,其降低工具產量。此外,估計晶片傾斜所需之載台移動序列排除同時X射線測量一特定位置處之晶片。其次,由最佳擬合平面提供之估計之準確度及精確度受諸多實際因數影響。一受測量半導體晶片通常包含安置於晶片表面上之不同結構。此等結構可高於或低於一理想平面(例如平均晶片表面平面)。歸因於存在具有不同高度之結構之晶片高度變動將誤差引入至最佳擬合平面之定向之估計中。為降低此誤差源之影響,要測量晶片表面上之更多位置且要使用自所有此等點之距離來估計最佳擬合平面之定向。在測量足够點之後,藉由平均化來有效最小化來自不同結構之高度變動影響。然而,在較多位置處執行距離測量急劇且不可接受地降低工具產量。最後,一X射線測量點附近之一半導體晶片之實際形狀通常係無法由一最佳擬合平面準確估計之一複雜形狀。因此,使用一最佳擬合平面估計一特定位置處之晶片傾斜可導致一不準確角度測量,其導致具有不可接受誤差之X射線散射測量。
歸因於日益減小解析度要求、多參數相關性、包含高從橫比結構之日益複雜幾何結構及不透明材料之日益增多使用,未來計量應用面臨挑戰。估計X射線散射測量之一點處之晶片定向之既有方法既耗時又限制準確度。因此,為滿足先進製造節點之要求,期望用於X射線散射測量系統中之晶片傾斜之改良測量之方法及系統。
本文描述用於測量一晶片在一X射線散射測量位置處或一X射線散射測量位置附近之定向之方法及系統。
在一態樣中,一以X射線散射測量為基礎之計量系統(諸如一透射小角度X射線散射測量(T-SAXS)計量工具100或一反射小角度X射線散射測量(R-SAXS)計量工具)包含一晶片定向測量系統。晶片定向測量系統在無介入載台移動之情況下基於一單一測量來產生一測量位置處之一晶片定向測量。藉由測量一點處之晶片定向,測量不受晶片翹曲影響。
在一些實施例中,一定向測量點與一X射線測量點重合。在一些其他實施例中,一定向測量點不與一X射線測量點重合。然而,若一晶片定向測量點與一X射線測量點空間分離,則其必須適度靠近以避免過度誤差。在一實例中,與一X射線測量點空間分離之一晶片定向測量點應定位於X射線測量點之500微米內。在另一實例中,與一X射線測量點空間分離之一晶片定向測量點應定位於X射線測量點之100微米內。
在一些實施例中,同時執行一X射線散射測量及一晶片定向測量。
在另一態樣中,由一晶片定向測量系統偵測之信號經過濾以改良測量位置處之晶片表面之實際定向之追蹤。在一些實施例中,偵測信號隨時間平均化以濾除背面晶片瑕疵及信號偏差之影響。在一些其他實施例中,基於偵測器上之點之預期形狀來空間上過濾偵測信號。
在一些實施例中,偵測信號隨時間收集且變換至頻域。頻率分量之振幅指示受測量晶片之位置穩定性且頻率分量本身指示受測量晶片之模態特性。依此方式,晶片定向測量系統用於在測量期間監測晶片模態動力學及位置穩定性。
在另一態樣中,一晶片定向測量系統經校準以識別晶片相對於一入射X射線束之定向。
在一些實施例中,在多個測量位點處測量至一受測量晶片之正面之一距離之一距離感測器用於估計晶片在定向測量點處之定向。由距離感測器估計之定向被視為定向測量系統在晶片定向測量點處之測量定向。
在一些其他實施例中,X射線計量系統本身用於估計晶片在定向測量點處之定向。晶片透過圍繞法向入射之推定定向之一系列定向旋轉。分析測量繞射圖案以找到繞射圖案圍繞其對稱之定向。此定向被視為零角,即,法向於入射X射線照明光束之晶片之定向角。零角被視為晶片定向測量系統在定向測量點處之測量零角。
一般而言,晶片定向測量系統之校準可執行於各測量位點或晶片表面上之一或多個測量位點處。
在另一態樣中,一以X射線為基礎之計量系統之一晶片定位系統基於由本文中所描述之一晶片定向測量系統提供之測量來定位一受測量晶片。
在一些實施例中,一晶片定位系統基於由晶片定向測量系統提供之晶片定向測量依一封閉迴路方式控制。在一些實施例中,一晶片定位系統基於由晶片定向測量系統提供之晶片定向測量依一開放迴路方式控制。在一實例中,晶片在一晶片上之若干不同測量位點處之定向之一圖基於由晶片定向測量系統在各不同測量位點處執行之定向測量來產生。圖用於校正在各測量位點處執行之各X射線散射測量所採用之定向設定點。
在一些實施例中,晶片定向測量系統安裝至其上安裝X射線照明源之一參考框架。在此等實施例中,晶片定向測量系統直接測量相對於入射X射線束之定向。
在一些其他實施例中,晶片定向測量系統安裝至相對於X射線照明源移動之一載台參考框架。在此等實施例中,晶片定向測量系統間接測量相對於入射X射線束之定向。
本文中所描述之一晶片定向測量系統可實施為一透射X射線計量系統、一反射X射線計量系統或可依反射及透射兩種模式操作之一以X射線散射測量為基礎之計量系統之部分。
上述內容係一概要且因此必然含有細節之簡化、概括及省略;因此,熟習技術者應瞭解,概要僅供說明且絕非意在限制。本文中所描述之裝置及/或程序之其他態樣、發明特徵及優點將自本文中所闡述之非限制性詳細描述明白。
相關申請案之交叉參考 本專利申請案根據35 U.S.C. §119主張2020年2月20日申請之美國臨時專利申請案第62/979,274號之優先權,該案之全文以引用的方式併入本文中。
現將詳細參考其實例繪示於附圖中之本發明之背景實例及一些實施例。
本文描述用於快速且準確地測量一晶片在一X射線散射測量位置處或一X射線散射測量位置附近之定向之方法及系統。在一些實施例中,在一晶片表面上之一特定位置處同時執行一定向測量及一X射線散射測量。舉非限制性實例而言,本文中所描述之定向測量系統及技術用作一透射小角度X射線散射測量(T-SAXS)計量系統或一反射小角度X射線散射測量(R-SAXS)計量系統之部分。
一半導體製造環境中之實際X射線散射測量需要使用一小光束點大小(例如跨有效照明點之50微米至700微米)在相對於一樣本(例如半導體晶片)之表面之入射角及方位角之一大範圍內測量。需要晶片在測量點處之定向之精確測量來達成準確X射線測量結果。另外,需要使晶片之測量定向與相對於入射X射線束之晶片之實際定向準確相關之校準以在入射角及方位角之全範圍內準確判定相對於入射X射線束之晶片定向。
圖1繪示至少一新穎態樣中包含一晶片定向測量系統200之用於測量一樣本之特性之一T-SAXS計量工具100之一實施例。如圖1中所展示,系統100可用於在由一照明光束照明之一樣本101之X射線測量點102上執行T-SAXS測量。
在所描繪之實施例中,計量工具100包含一X射線照明子系統125,其包含一X射線照明源110、聚焦光學器件111、光束發散控制狹縫112、中間狹縫113及光束成形狹縫機構120。X射線照明源110經組態以產生適合於T-SAXS測量之X射線輻射。在一些實施例中,X射線照明源110經組態以產生0.01奈米至1奈米之間的波長。一般而言,可考量能够依足以實現高產量、線上計量之通量位準產生高亮度X射線之任何適合高亮度X射線照明源來供應T-SAXS測量之X射線照明。在一些實施例中,一X射線源包含使X射線源能够依不同、可選擇波長遞送X射線輻射之一可調諧單色器。
在一些實施例中,發射具有大於15 keV之光子能之輻射之一或多個X射線源用於確保X射線源依允許足夠透射穿過整個裝置及晶片基板之波長供應光。舉非限制性實例而言,一粒子加速器源、一液體陽極源、一旋轉陽極源、一固定固體陽極源、一微焦源、一微焦旋轉陽極源、一以電漿為基礎之源及一逆康普頓(Compton)源之任何者可用作X射線照明源110。在一實例中,可考量購自Lyncean Technologies, Inc., Palo Alto, California (USA)之一逆康普頓源。逆康普頓源具有能够在一光子能範圍內產生X射線以藉此使X射線源能够依不同、可選擇波長遞送X射線輻射之一額外優點。
例示性X射線源包含經組態以轟擊固體或液體目標以激發X射線輻射之電子束源。用於產生高亮度液體金屬X射線照明之方法及系統描述於KLA Tencor Corp.在2011年4月19日發佈之美國專利第7,929,667號中,該專利之全文以引用的方式併入本文中。
X射線照明源110在具有有限橫向尺寸(即,正交於光束軸之非零尺寸)之一源區域上產生X射線發射。聚焦光學器件111將源輻射聚焦至定位於樣本101上之一計量目標上。有限橫向源尺寸導致由來自源之邊緣之射線117界定之目標上之有限點大小。在一些實施例中,聚焦光學器件111包含橢圓形聚焦光學元件。
一光束發散控制狹縫112定位於聚焦光學器件111與光束成形狹縫機構120之間的光束路徑中。光束發散控制狹縫112限制提供至受測量樣本之照明之發散。一額外中間狹縫113定位於光束發散控制狹縫112與光束成形狹縫機構120之間的光束路徑中。中間狹縫113提供額外光束成形。然而,一般而言,中間狹縫113係選用的。
光束成形狹縫機構120定位於樣本101之前的緊鄰光束路徑中。在一態樣中,光束成形狹縫機構120之狹縫緊密接近樣本101定位以最小化歸因於由有限源大小界定之光束發散之入射光束點大小之擴大。在一實例中,針對一10微米X射線源大小及光束成形狹縫與樣本101之間的一25毫米距離,歸因於由有限源大小產生之陰影之光束點大小之擴展約為1微米。
在一些實施例中,光束成形狹縫機構120包含多個獨立致動之光束成形狹縫。在一實施例中,光束成形狹縫機構120包含四個獨立致動之光束成形狹縫。此等四個光束成形狹縫有效地阻擋入射光束115之一部分且產生具有一框形照明橫截面之一照明光束116。
圖2及圖3描繪兩個不同組態中之圖1中所描繪之光束成形狹縫機構120之一端視圖。如圖2及圖3中所繪示,光束軸垂直於圖式頁面。如圖2中所描繪,入射光束115具有一大橫截面。在一些實施例中,入射光束115具有約1毫米之一直徑。此外,歸因於光束指向誤差,入射光束115在光束成形狹縫126至129內之位置可具有約3毫米之一不準度。為適應入射光束之大小及光束位置之不準度,各狹縫具有約6毫米之一長度L。如圖2中所描繪,各狹縫可在垂直於光束軸之一方向上移動。在圖2之繪示中,狹縫126至129定位於距光束軸之一最大距離處,即,狹縫完全打開且其不限制通過光束成形狹縫機構120之光。
圖3描繪阻擋入射光束115之一部分使得遞送至受測量樣本之出射光束116具有減小大小及界限分明形狀之位置中之光束成形狹縫機構120之狹縫126至129。如圖3中所描繪,狹縫126至129之各者已朝向光束軸向內移動以達成期望輸出光束形狀。
狹縫126至129係由最小化散射且有效阻擋入射輻射之材料建構。例示性材料包含諸如鍺、砷化鎵、磷化銦等等之單晶材料。通常,狹縫材料沿一結晶方向裂開而非鋸開以最小化跨結構邊界之散射。另外,狹縫相對於入射光束定向,使得入射輻射與狹縫材料之內部結構之間的相互作用產生最少量散射。晶體附接至由高密度材料(諸如鎢)製成之各狹縫保持器以完全阻擋狹縫一側上之X射線束。在一些實施例中,各狹縫具有一矩形橫截面,其具有約0.5毫米之一寬度及約1毫米至約2毫米之一高度。如圖2中所描繪,一狹縫之長度L係約6毫米。
一般而言,X射線光學器件使X射線輻射成形且將X射線輻射導引至樣本101。在一些實例中,X射線光學器件包含單色化入射於樣本101上之X射線束之一X射線單色器。在一些實例中,X射線光學器件使用多層X射線光學器件將X射線束準直或聚焦至樣本101之X射線測量區域102上以達小於1毫弧度發散。在此等實例中,多層X射線光學器件亦用作一光束單色器。在一些實施例中,X射線光學器件包含一或多個X射線準直鏡、X射線孔隙、X射線束光闌、折射X射線光學器件、繞射光學器件(諸如波帶片)、蒙特爾(Montel)光學器件、鏡面X射線光學器件(諸如掠入射橢球鏡)、多毛細管光學器件(諸如中空毛細管X射線波導)、多層光學器件或系統或其任何組合。進一步細節描述於美國公開專利第2015/0110249中,該專利之全文以引用的方式併入本文中。
X射線偵測器119收集自樣本101散射之X射線輻射114且根據一T-SAXS測量模態產生指示對入射X射線輻射敏感之樣本101之性質之一輸出信號135。在一些實施例中,當樣本定位系統140定位及定向樣本101以產生角解析散射X射線時,散射X射線114由X射線偵測器119收集。
在一些實施例中,一T-SAXS系統包含具有高動態範圍(例如大於105 )之一或多個光子計數偵測器。在一些實施例中,一單光子計數偵測器偵測所偵測之光子之位置及數目。
在一些實施例中,X射線偵測器解析一或多個X射線光子能且產生指示樣本性質之各X射線能量分量之信號。在一些實施例中,X射線偵測器119包含一CCD陣列、一微通道板、一光二極體陣列、一微帶比例計數器、一充氣比例計數器、一閃爍器或一螢光材料之任何者。
依此方式,偵測器內之X射線光子相互作用由能量及像素位置及計數數目判別。在一些實施例中,X射線光子相互作用藉由比較X射線光子相互作用之能量與一預定上臨限值及一預定下臨限值來判別。在一實施例中,此資訊經由輸出信號135傳送至運算系統130用於進一步處理及儲存。
在另一態樣中,一T-SAXS系統用於基於散射光之一或多個繞射階來判定一樣本之性質(例如結構參數值)。如圖1中所描繪,計量工具100包含用於獲取由偵測器119產生之信號135且至少部分基於所獲取之信號來判定樣本之性質之一運算系統130。
在一些實例中,基於T-SAXS之計量涉及藉由使用測量資料逆解算一預定測量模型來判定樣品之尺寸。測量模型包含數個(約10個)可調參數且表示樣本之幾何及光學性質及測量系統之光學性質。逆解算之方法包含(但不限於)以模型為基礎之回歸、斷層掃瞄、機器學習或其任何組合。依此方式,藉由解算使測量散射X射線强度與模型化結果之間的誤差最小化之一參數化測量模型之值來估計目標輪廓參數。
可期望在入射角及方位角之大範圍內執行測量以提高測量參數值之精確度及準確度。此方法藉由延伸可用於分析之資料集之數目及多樣性來減少參數之間的相關性以包含各種大角度、平面外定向。例如,在一法向定向上,T-SAXS能够解析一特徵之臨界尺寸,但基本上對一特徵之側壁角及高度不敏感。然而,可藉由在平面外角定向之一大範圍內收集測量資料來解析一特徵之側壁角及高度。在其他實例中,在入射角及方位角之大範圍內執行之測量提供足够解析度及穿透深度以在整個深度內特徵化高從橫比結構。
收集依據相對於晶片表面法線之X射線入射角而變化之繞射輻射之强度之測量。多個繞射階中所含之資訊在所考量之各模型參數之間通常係唯一的。因此,X射線散射以小誤差及降低參數相關性產生關注參數之值之估計結果。
照明X射線束116相對於一半導體晶片101之表面法線之各定向由晶片101相對於X射線照明光束115之任何兩個角旋轉描述,或反之亦然。在一實例中,定向可相對於固定於晶片之一座標系統描述。圖4描繪依由一入射角θ及一方位角ϕ描述之一特定定向入射於晶片101上之X射線照明光束116。座標框架XYZ固定至計量系統(例如照明光束116)且座標框架X'Y'Z'固定至晶片101。Y軸與晶片101之表面平面內對準。X及Z不與晶片101之表面對準。Z'與法向於晶片101之表面之一軸線對準,且X'及Y'在與晶片101之表面對準之一平面內。如圖4中所描繪,X射線照明光束116與Z軸對準且因此位於XZ平面內。入射角θ描述X射線照明光束116相對於XZ平面內之晶片之表面法線之定向。此外,方位角ϕ描述XZ平面相對於X'Z'平面之定向。θ與ϕ一起唯一地界定X射線照明光束116相對於晶片101之表面之定向。在此實例中,X射線照明光束相對於晶片101之表面之定向由圍繞法向於晶片101之表面之一軸線(即,Z'軸)之一旋轉及圍繞與晶片101之表面對準之一軸線(即,Y軸)之一旋轉描述。在一些其他實例中,X射線照明光束相對於晶片101之表面之定向由圍繞與晶片101之表面對準之一第一軸線之一旋轉及與晶片101之表面對準且垂直於第一軸線之另一軸線描述。
在一態樣中,計量工具100包含經組態以在六個自由度上相對於照明光束116主動定位樣本101之一樣本定位系統140。另外,樣本定位系統101經組態以對準樣本101且在相對於照明光束116之入射角(例如至少70度)及方位角(例如至少190度)之一大範圍內定向樣本101。在一些實施例中,樣本定位系統140經組態以在與樣本101之表面平面內對準之旋轉角(例如至少70度)之一大範圍內旋轉樣本101。依此方式,樣本101之角解析測量由計量系統100在樣本101之表面上之任何數目個位置及定向上收集。在一實例中,運算系統130將指示樣本101之期望位置之命令信號(未展示)傳送至樣本定位系統140。作為回應,樣本定位系統140產生命令信號至樣本定位系統140之各種致動器以達成樣本101之期望定位。
圖5描繪一實施例中之一樣本定位系統140。在一態樣中,樣本定位系統140提供在所有六個自由度上晶片101相對於照明光束116之位置之主動控制,同時相對於重力向量垂直支撐晶片101 (即,重力向量與晶片表面大致共面)。樣本定位系統140在晶片101之邊緣處支撐晶片101以允許照明光束116在晶片101之主動區域之任何部分上透射穿過晶片101,無需重新安裝晶片101。藉由在晶片101之邊緣處垂直支撐晶片101來有效緩解晶片101之重力誘發下垂。
如圖5中所描繪,樣本定位系統140包含一基底框架141、一橫向對準載台142、一載台參考框架143及安裝至載台參考框架143之一晶片載台144。為了參考,{XBF , YBF , ZBF }座標框架附接至基底框架141,{XNF , YNF , ZNF }座標框架附接至橫向對準載台142,{XRF , YRF , ZRF }座標框架附接至載台參考框架143,且{XSF , YSF , ZSF }座標框架附接至晶片載台144。晶片101由包含致動器150A至150C之一翻轉-傾斜-Z載台156支撐於晶片載台144上。安裝至翻轉-傾斜-Z載台156之一旋轉載台158在相對於照明光束116之方位角ϕ之一範圍內定向晶片101。在所描繪之實施例中,三個線性致動器150A至150C安裝至晶片載台144且支撐旋轉載台158,旋轉載台158繼而支撐晶片101。
致動器145沿XBF 軸相對於基底框架141平移橫向對準載台142。旋轉致動器146使載台參考框架143圍繞與YNF 軸對準之一旋轉軸線153相對於橫向對準載台142旋轉。旋轉致動器146在相對於照明光束116之入射角θ之一範圍內定向晶片101。晶片載台致動器147及148分別沿XRF 及YRF 軸相對於載台參考框架143平移晶片載台144。
在一態樣中,晶片載台144係一開孔雙軸(XY)線性堆疊載台。開孔允許測量光束透射穿過整個晶片(例如300毫米晶片)之任何部分。晶片載台144經配置使得Y軸載台在大致平行於旋轉軸線153之一方向上延伸。此外,Y軸載台在大致與重力向量對準之一方向上延伸。
致動器150A至150C協同操作以在ZSF 方向上相對於晶片載台144平移旋轉載台158及晶片101且圍繞與XSF -YSF 平面共面之軸線相對於晶片載台144翻轉及傾斜旋轉載台158及晶片101。旋轉載台158之致動器149使晶片101圍繞法向於晶片101之表面之一軸線旋轉。在另一態樣中,旋轉載台158之一框架分別藉由包含運動安裝元件157A至157C之一運動安裝系統耦合至致動器150A至150C。在一實例中,各運動安裝元件157A至157C包含附接至一對應致動器之一球體及附接至旋轉載台158之一V形槽。各球體與一對應V形槽兩點接觸。各運動安裝元件在兩個自由度上約束旋轉載台158相對於致動器150A至150C之運動,且三個運動安裝元件157A至157C共同在六個自由度上約束旋轉載台158相對於致動器150A至150C之運動。各運動耦合元件經預載以確保球體始終保持與對應V形槽接觸。在一些實施例中,預載由重力、一機械彈簧機構或其一組合提供。
在另一態樣中,旋轉載台158係一開孔旋轉載台。開孔允許測量光束透射穿過整個晶片(例如300毫米晶片)之任何部分。旋轉載台158經配置使得其旋轉軸線大致垂直於旋轉軸線153。此外,旋轉載台158之旋轉軸線大致垂直於重力向量。晶片101經由邊緣夾持器固定至旋轉載台158以提供具有最小邊緣排除之全晶片覆蓋。
總言之,樣本定位系統140能够主動控制晶片101在六個自由度上相對於照明光束116之位置,使得照明光束116可入射於晶片101之表面上之任何位置處(即,XRF 及YRF 方向上之至少300毫米範圍)。旋轉致動器146能够使載台參考框架143相對於照明光束116旋轉,使得照明光束116可依入射角之一大範圍之任何者(例如大於2度)入射於晶片101之表面處。在一實施例中,旋轉致動器146經組態以使載台參考框架143在至少60度之一範圍內旋轉。安裝至晶片載台144之旋轉致動器158能够使晶片101相對於照明光束116旋轉,使得照明光束116可依方位角之一大範圍(例如至少90度旋轉範圍)之任何者入射於晶片101之表面處。在一些實施例中,方位角之範圍係至少190度旋轉範圍。
在一些其他實施例中,移除橫向對準載台142且由旋轉致動器146使載台參考框架143相對於基底框架141旋轉。在此等實施例中,X射線照明系統包含一或多個致動器,其等移動X射線照明系統之一或多個光學元件以引起X射線照明光束116 (例如)在XBF 方向上相對於基底框架141移動。在此等實施例中,為了本文中所描述之校準之載台參考框架143之移動由X射線照明系統之一或多個光學元件之移動替換,例如將X射線照明光束移動至相對於旋轉軸線153之期望位置。在圖1所描繪之實施例中,運算系統130將命令信號138傳送至致動器子系統111'以藉由移動X射線照明子系統125之一或多個元件來相對於基底框架141重定向X射線發射以達成一期望光束方向。在所描繪之實施例中,致動器子系統111'移動聚焦光學器件111以相對於基底框架141重定向X射線發射且因此相對於旋轉軸線153重新定位X射線發射。
在一態樣中,一以X射線散射測量為基礎之計量系統(諸如圖1中所描繪之T-SAXS計量工具100或圖11中所描繪之R-SAXS計量工具300)包含圖1及圖11中所繪示之一晶片定向測量系統200。晶片定向測量系統200快速且準確地測量一晶片在一X射線散射測量位置處之定向。
如圖1中所描繪,晶片定向測量系統200包含發射導引至晶片101上之X射線測量區域102之一照明光束202之一雷射照明源201。回應於照明光束202而自晶片101反射之光203由聚焦光學器件204聚焦且在偵測器205處偵測。
如圖1中所繪示,晶片定向測量系統200之照明源係一以雷射為基礎之照明源。一以雷射為基礎之照明源係有利的,因為由以雷射為基礎之照明源產生之照明光束202實質上係準直的。此使照明源能夠相對遠離晶片上之定向測量位置定位。通常期望(但非必要)容納必須緊密接近晶片上之X射線測量點定位之X射線散射測量系統之其他光學組件。然而,一般而言,亦可在本專利文件之範疇內考量非以雷射為基礎之一照明源。例如,可採用一LED光源、一白熾光源等等。在此等實施例中,一或多個聚焦光學器件定位於照明光束路徑中以將由照明源產生之光聚焦至晶片上之定向測量點上。
如圖1中所描繪,雷射照明源201通信地耦合至運算系統130。運算系統130將命令信號207傳送至雷射照明源201以控制雷射照明源201之輸出。
如圖1中所描繪,照明光束202照明晶片上之一定向測量點。在一些實施例中,定向測量點在最大範圍之一方向上小於500微米。在一些實施例中,定向測量點在最大範圍之一方向上小於200微米。在一些實施例中,定向測量點在最大範圍之一方向上小於100微米。
在一些實施例中,偵測器205係諸如一攝影機(例如CCD攝影機、CMOS攝影機等等)之二維成像裝置。在圖1所描繪之實例中,照明光束202自晶片之背面反射,且反射光203聚焦至攝影機之感光表面上。偵測器205之感光表面定位於聚焦光學器件204之焦點處。在一些實施例中,聚焦光學器件204之焦距大於75毫米。
偵測器205通信地耦合至運算系統130。偵測器205傳送指示由偵測器205偵測之一影像之信號206。運算系統130基於影像信號206判定入射於偵測器205上之反射光束之入射位置。另外,運算系統130基於反射光束之入射位置及諸如透鏡204之焦距、偵測器205之像素大小等等之已知系統參數來估計晶片101之定向。
如圖6中所繪示,隨著晶片101改變定向,反射光203在偵測器205之感光表面上之入射位置改變。在幾何上,晶片101之機械定向角之一變化θ產生入射光束202與反射光束203之間的光學角之一變化2θ,其係機械角變化之兩倍。
圖6描繪由一實線指示之一標稱位置中之晶片101。反射光203入射於偵測器205上之一特定位置處。在另一例項中,晶片101自標稱位置順時針旋轉。此位置中之晶片被指示為晶片101''且自晶片101''反射之光被指示為反射光203''。如圖6中所指示,反射光203''之入射位置自反射光203之入射位置移位。類似地,在另一例項中,晶片101自標稱位置逆時針旋轉。此位置中之晶片被指示為晶片101'且自晶片101'反射之光被指示為反射光203'。如圖6中所指示,反射光203'之入射位置在與反射光203''之入射位置之移位相反之一方向上自反射光203之入射位置移位。因此,反射光在偵測器205上之入射位置之一變化指示晶片101之定向變化。若經校準,則反射光在偵測器205上之入射位置指示晶片101之表面上之測量點處之晶片101之定向。
如圖7中所繪示,隨著晶片101在法向於晶片101之表面之一方向上平移,反射光203在偵測器205之感光表面上之入射位置不改變。因此,由晶片定向測量系統200提供之晶片定向測量對晶片101之z位置(有時指稱焦點位置)不敏感。圖7描繪由一實線指示之一標稱位置中之晶片101。反射光203入射於偵測器205上之一特定位置處。在另一例項中,晶片101自標稱位置向上平移,如圖式頁面上所觀看。此位置中之晶片被指示為晶片101'且自晶片101'反射之光被指示為反射光203'。如圖7中所指示,反射光203'之入射位置未自反射光203之入射位置移位。類似地,在另一例項中,晶片101自標稱位置向下平移,如圖式頁面上所觀看。此位置中之晶片被指示為晶片101''且自晶片101''反射之光被指示為反射光203''。如圖7中所指示,反射光203'之入射位置未自反射光203之入射位置移位。隨著晶片在法向於晶片之表面之一方向上平移,反射光束跨聚焦透鏡平移。理想地,進入聚焦透鏡之平行射線聚焦至相同點。因此,晶片定向系統200對法向於晶片表面之方向上之晶片位置不敏感。實際上,聚焦透鏡204將係不完美的,但透鏡像差足够低,使得晶片定向系統200對法向於晶片表面之方向上之晶片平移之敏感度可忽略不計。
如圖1中所繪示,晶片定向測量系統200在無任何介入載台移動之情況下基於一單一測量來產生一測量位置處之晶片定向之一測量。依此方式,晶片定向測量系統200無過度延遲地產生晶片定向之一測量。另外,晶片定向測量系統200不受晶片翹曲影響,因為一測量位置處之晶片定向之測量係基於該位置處之一單一測量而非晶片上不同位置處之多個測量。
在一些實施例中,一定向測量點與一X射線測量點重合。換言之,X射線測量點與晶片定向測量點在晶片表面之一區域上空間重疊。在一些此等實施例中,同時執行一X射線散射測量及一晶片定向測量。
圖8描繪一實施例中與一晶片定向測量點重合之一X射線測量點。如圖8中所描繪,X射線測量點102大於晶片定向測量點208。然而,經受晶片定向測量之晶片表面之區域(即,晶片定向測量點208)與X射線測量點102重合。在一些實例中,晶片定向測量點之直徑係100微米,且X射線測量點102之直徑大於100微米。
圖9描繪另一實施例中與一晶片定向測量點重合之一X射線測量點。如圖9中所描繪,X射線測量點102小於晶片定向測量點208。然而,經受X射線測量之晶片表面之區域(即,X射線測量點102)與晶片定向測量點208重合。在一些實例中,晶片定向測量點之直徑係100微米,且X射線測量點102之直徑小於100微米。
在一些其他實施例中,一定向測量點不與一X射線測量點重合。換言之,X射線測量點與晶片定向測量點未在晶片表面之一區域上空間重疊。在一些此等實施例中,同時執行一X射線散射測量及一晶片定向測量。
圖10描繪一實施例中與一晶片定向測量點空間分離之一X射線測量點。如圖10中所描繪,四個不同晶片定向測量點同時投射至晶片101上包圍X射線測量點102之不同位置處。在一實施例中,一孔隙(未展示)定位於自雷射照明源201至晶片101之照明光束路徑中。孔隙將照明光束202分割成入射於晶片101上之四個光束,如圖10中所繪示。自此等四個位置反射之光聚焦至偵測器205上。追蹤四個反射光束入射至偵測器205上之位置以估計X射線測量點102處晶片101之定向。一般而言,緊密接近X射線測量點之任何數目個照明點可用於測量X射線測量點處之晶片定向。然而,若一晶片定向測量點與一X射線測量點空間分離,則其必須適度接近以避免過度誤差。在一實例中,與一X射線測量點空間分離之一晶片定向測量點應定位於X射線測量點之500微米內。在另一實例中,與一X射線測量點空間分離之一晶片定向測量點應定位於X射線測量點之100微米內。
在另一態樣中,運算系統130經組態以過濾自偵測器205收集之影像信號以促進測量位置208處晶片表面之實際定向之追蹤。在一些實施例中,運算系統130隨時間平均化影像信號206 (例如移動平均濾波器)以濾除背面晶片瑕疵及信號偏差之影響。一般而言,一晶片之背面包含引起反射光203假性重定向及反射光203在偵測器205上之入射位置移動之污染物(例如灰塵顆粒)。藉由時間平均化由偵測器205收集之影像來有效濾除此等假性移動。在一些其他實施例中,運算系統130在空間上過濾影像信號206。在一實例中,運算系統130藉由比較一測量點之形狀與偵測器上點之預期形狀來估計一反射光束在偵測器205上之入射位置。基於入射於晶片上之照明光束之形狀及任何光學元件(例如晶片101與偵測器205之間的聚焦光學器件204)之已知性質來獲知預期形狀。使偵測器上之點之預期形狀與偵測器上之實際點擬合,且捨棄預期形狀之外的非零值像素。
運算系統130追蹤隨時間收集之影像信號以估計依據時間而變化之晶片定向。在另一態樣中,運算系統130將依據時間而變化之晶片定向之估計自時域變換至頻域。在一實例中,運算系統130採用一快速傅立葉變換(FFT)演算法來提取時間序列資料之頻率分量。頻率分量之振幅指示受測量晶片之位置穩定性且頻率分量本身指示受測量晶片之模態特性。依此方式,晶片定向測量系統用於在測量期間監測晶片模態動力學及位置穩定性。
如本文中所描述,一晶片定向測量系統基於反射光在偵測器處之入射位置之變化來精確估計一晶片在一定向測量點處之定向變化。在另一態樣中,一晶片定向測量系統經校準以識別晶片相對於一入射X射線束之定向。依此方式,一晶片定向測量系統提供晶片在一定向測量點處相對於入射X射線束之定向之準確估計。
在一些實施例中,測量至一受測量晶片之正面之一距離之一距離感測器用於估計晶片在定向測量點處之定向。在此等實施例中,在圍繞定向測量點之多個位置處執行距離測量且使一平面與資料集擬合以估計晶片相對於安裝有距離感測器之參考框架之定向。由距離感測器估計之定向被視為晶片定向測量系統在定向測量點處之測量定向。自校準定向之變化由本文中所描述之晶片定向測量系統測量。
在一些其他實施例中,X射線計量系統本身用於估計晶片在定向測量點處之定向。在此等實施例中,X射線照明光束經導引至晶片之定向測量點處且繞射光由X射線偵測器(例如偵測器119)偵測。晶片透過圍繞法向入射之推定定向之一系列定向旋轉。測量繞射圖案經分析以找到繞射圖案圍繞其對稱之定向。此定向被視為零角,即,法向於入射X射線照明光束之晶片之定向角。零角被視為晶片定向測量系統在定向測量點處之測量零角。自校準零角之變化由本文中所描述之晶片定向測量系統測量。
一般而言,晶片定向測量系統之校準可執行於各測量位點或晶片表面上之一或多個測量位點處。
在另一態樣中,一以X射線為基礎之計量系統之一晶片定位系統基於由本文中所描述之一晶片定向測量系統提供之測量來定位一受測量晶片。
在一些實施例中,一晶片定位系統基於由晶片定向測量系統提供之晶片定向測量依一封閉迴路方式控制。在一實例中,運算系統130基於與一X射線測量點102重合之一定向測量點208來估計晶片101之定向。回應於測量定向,運算系統130將控制命令傳送至晶片定位系統140之致動器以基於測量定向與一期望定向之間的差將晶片定位於期望定向上。
在一些實施例中,一晶片定位系統基於由晶片定向測量系統提供之晶片定向測量依一開放迴路方式控制。在一實例中,晶片101在晶片上之若干不同測量位點處之定向之一圖基於由晶片定向測量系統在各不同測量位點執行之定向測量來產生。圖用於校正在各測量位點處執行之各X射線散射測量所採用之定向設定點。
在一實例中,Z致動器150A至150C經控制以調整晶片101之Z位置、Rx定向、Ry定向或其任何組合。在一實例中,晶片101之定向由Z致動器150A至150C校正。傾斜校正可基於晶片傾斜之一圖或由晶片定向測量系統200局部測量之一值。一般而言,晶片101之定向可在兩個正交方向(例如Rx及Ry定向)上測量。
在一些實施例中,晶片定向測量系統安裝至其上安裝X射線照明源之一參考框架。在此等實施例中,晶片定向測量系統直接測量相對於入射X射線束之定向。
在一些其他實施例中,晶片定向測量系統安裝至相對於X射線照明源移動之一載台參考框架。在此等實施例中,晶片定向測量系統間接測量相對於入射X射線束之定向。為獲得相對於X射線照明源之定向之一測量,將相對於載台參考框架之測量定向變換至其上安裝X射線照明源之參考框架。此變換依據載台參考框架相對於其上安裝X射線照明源之參考框架之移動而變化。例如,圖5描繪安裝至一載台參考框架143之一晶片定向測量系統200。在所描繪之實施例中,載台參考框架圍繞軸線153旋轉且在XNF 方向上相對於其上安裝X射線照明源之參考框架141平移。
本文中所描述之一晶片定向測量系統可實施為一透射X射線計量系統、一反射X射線計量系統或可依反射及透射兩種模式操作之一以X射線散射測量為基礎之計量系統之部分。
圖11描繪包含本文中所描述之一晶片定向定位系統之一反射小角度X射線散射測量(RSAXS)計量系統。
在一些實施例中,一半導體晶片之RSAXS測量在波長、入射角及方位角之一範圍內使用一小光束點大小執行。在一態樣中,RSAXS測量使用5度至20度範圍內之掠入射角處之軟X射線(SXR)區域(即,80 eV至3000 eV)中之X射線輻射執行。一特定測量應用之掠射角經選擇以達成至受測量結構中之一期望穿透且最大化使用一小光束點大小(例如小於50微米)之測量資訊量。
如圖11中所展示,RSAXS系統300在由一入射照明光束點照明之一樣本301之一X射線測量區域302上執行RSAXS測量。此外,RSAXS系統300包含上文所描述之測量晶片301在一定向測量點處之定向之晶片定向測量系統200。
在所描繪之實施例中,計量工具300包含一X射線照明源310、聚焦光學器件311、光束發散控制狹縫312及狹縫313。X射線照明源310經組態以產生適合於RSAXS測量之SXR輻射。X射線照明源310係一多色、高亮度、大展度源。在一些實施例中,X射線照明源310經組態以產生80電子伏特至3000電子伏特之間的一範圍內之X射線輻射。一般而言,可考量能够依足以實現高產量、線上計量之通量位準產生高亮度SXR之任何適合高亮度X射線照明源來供應RSAXS測量之X射線照明。
在一些實施例中,一X射線源包含使X射線源能够依不同、可選擇波長遞送X射線輻射之一可調諧單色器。在一些實施例中,一或多個X射線源用於確保X射線源依允許足够穿透至受測量樣本中之波長供應光。
在一些實施例中,照明源310係一高階諧波產生(HHG) X射線源。在一些其他實施例中,照明源310係一擺動器/波動器同步加速器輻射源(SRS)。一例示性擺動器/波動器SRS描述於美國專利第8,941,336號及第8,749,179號中,該等專利之全文以引用的方式併入本文中。
在一些其他實施例中,照明源310係一雷射產生電漿(LPP)光源。在一些此等實施例中,LPP光源包含氙、氪、氬、氖及氮發射材料之任何者。一般而言,一適合LPP目標材料之選擇根據諧振SXR區域中之亮度來最佳化。例如,由氪發射之電漿在矽K邊緣處提供高亮度。在另一實例中,由氙發射之電漿在(80 eV至3000 eV)之整個SXR區域中提供高亮度。因而,氙係在期望寬頻SXR照明時發射材料之一良好選擇。
LPP目標材料選擇亦可根據可靠及長壽命光源操作來最佳化。諸如氙、氪及氬之惰性氣體目標材料係惰性的且可在極少或無需去污處理之情況下再用於一封閉迴路操作中。一例示性SXR照明源描述於美國專利申請案第15/867,633號中,該案之全文以引用的方式併入本文中。
在另一態樣中,由照明源(例如照明源310)發射之波長係可選擇的。在一些實施例中,照明源310係由運算系統330控制以最大化一或多個選定光譜區域中之通量之一LPP光源。目標材料處之雷射峰值强度控制電漿溫度且因此控制發射輻射之光譜區域。藉由調整脈衝能量、脈波寬度或兩者來變動雷射峰值强度。在一實例中,一100皮秒脈衝寬度適合於產生SXR輻射。如圖11中所描繪,運算系統330將命令信號336傳送至照明源310以引起照明源310調整自照明源310發射之波長之光譜範圍。在一實例中,照明源310係一LPP光源,且此LPP光源調整一脈衝持續時間、脈衝頻率及目標材料組成之任何者以實現自LPP光源發射之波長之一期望光譜範圍。
舉非限制性實例而言,可採用一粒子加速器源、一液體陽極源、一旋轉陽極源、一固定固體陽極源、一微焦源、一微焦旋轉陽極源、一以電漿為基礎之源及一逆康普頓源之任何者作為X射線照明源310。
例示性X射線源包含經組態以轟擊固體或液體目標以激發X射線輻射之電子束源。用於產生高亮度液體金屬X射線照明之方法及系統描述於2011年4月19日授予KLA Tencor Corp.之美國專利第7,929,667號中,該專利之全文以引用的方式併入本文中。
X射線照明源310在具有有限橫向尺寸(即,正交於光束軸之非零尺寸)之一源區域上產生X射線發射。在一態樣中,照明源110之源區域以小於20微米之一橫向尺寸為特徵。在一些實施例中,源區域以10微米或更小之一橫向尺寸為特徵。小源大小能够使用高亮度照明樣本上之一小目標區域以因此提高測量精確度、準確度及產量。
一般而言,X射線光學器件使X射線輻射成形且將其導引至樣本301。在一些實例中,X射線光學器件使用多層X射線光學器件將X射線束準直或聚焦至樣本301之X射線測量區域302上以達小於1毫弧度發散。在一些實施例中,X射線光學器件包含一或多個X射線準直鏡、X射線孔隙、X射線束光闌、折射X射線光學器件、繞射光學器件(諸如波帶片)、史瓦茲柴德(Schwarzschild)光學器件、克伯屈-貝茲(Kirkpatrick-Baez)光學器件、蒙特爾(Montel)光學器件、沃爾特(Wolter)光學器件、鏡面X射線光學器件(諸如橢球鏡)、多毛細管光學器件(諸如中空毛細管X射線波導)、多層光學器件或系統或其任何組合。進一步細節描述於美國公開專利第2015/0110249中,該專利之全文以引用的方式併入本文中。
如圖11中所描繪,聚焦光學器件311將源輻射聚焦至定位於樣本301上之一計量目標上。有限橫向源尺寸導致由來自源之邊緣之射線316及由光束狹縫312及313提供之任何光束成形界定之目標上之有限X射線測量點302。
在一些實施例中,聚焦光學器件311包含橢圓形聚焦光學元件。在圖11所描繪之實施例中,聚焦光學器件311在橢圓中心處之放大率約為1。因此,投射至樣本301之表面上之照明點大小係大致相同於照明源之大小,歸因於標稱掠入射角(例如5度至20度)而根據光束展度調整。
在另一態樣中,聚焦光學器件311收集源發射且選擇一或多個離散波長或光譜帶,且依5度至20度範圍內之掠入射角將選定光聚焦至樣本301上。
標稱掠入射角經選擇以達成計量目標之一期望穿透以最大化留在計量目標邊界內之信號資訊量。硬X射線之臨界角非常小,但軟X射線之臨界角明顯更多。由於此額外測量靈活性,RSAXS測量依對掠入射角之精確值之較低敏感度更深入探測至結構中。
在一些實施例中,聚焦光學器件311包含選擇期望波長或波長範圍用於投射至樣本301上之漸變多層。在一些實例中,聚焦光學器件311包含選擇一波長且在入射角之一範圍內將選定波長投射至樣本301上之一漸變多層結構(例如層或塗層)。在一些實例中,聚焦光學器件311包含選擇一波長範圍且在一入射角內將選定波長投射至樣本301上之一漸變多層結構。在一些實例中,聚焦光學器件311包含選擇一波長範圍且在一入射角範圍內將選定波長投射至樣本301上之一漸變多層結構。
漸變多層光學器件較佳地最小化在單層光栅結構太深時發生之光損失。一般而言,多層光學器件選擇反射波長。選定波長之光譜頻寬最佳化提供至樣本301之通量、測量繞射階中之資訊量,且防止透過角色散及偵測器處之繞射峰重疊來退化信號。另外,漸變多層光學器件用於控制發散。各波長處之角發散根據偵測器處之通量及最小空間重疊來最佳化。
在一些實例中,漸變多層光學器件選擇波長以提高來自特定材料界面或結構尺寸之繞射信號之對比度及資訊量。例如,可選擇選定波長以跨元件特定諧振區域(例如矽K邊緣、氮、氧K邊緣等等)。另外,在此等實例中,照明源亦可經調諧以最大化選定光譜區域中之通量(例如HHG光譜調諧、LPP雷射調諧等等)。
在一些其他實例中,在測量時幾乎無先前結構資訊可用。在此等實例中,多個波長(例如3個至4個)經選擇以能够跨吸收邊緣測量繞射圖案。測量信號能够使用(例如)多波長異常繞射技術,在除受測量結構之元素組成之外無先前資訊之情況下無模型測量結構性質。在基於無模型測量估計結構性質之後,可使用以模型為基礎之測量技術進一步細化參數估計。
在一些實例中,受測量之計量目標之異常散射因數(即,散射性質)係先驗未知的。在此等實例中,在多個諧振波長處測量膜多層反射率。布拉格峰之角偏移提供足够資訊來提取異常散射因數。
在一些實例中,非諧振X射線反射率測量提供多層週期及界面粗糙度參數之獨立估計,其改良以模型為基礎之測量之擬合。在一些實施例中,一組合計量工具包含本文中所描述之一多波長SXR繞射子系統及用於提高測量產量之一X射線反射測量子系統。在一實施例中,多波長SXR繞射子系統及X射線反射測量子系統採用能够在不必移動受測量樣本或光學測量子系統之任一者之情況下同時測量或循序測量之正交入射面。在一些實施例中,若由SXR多層鏡提供之AOI範圍對X射線反射測量而言太小,則可採用晶片旋轉、偵測器旋轉或兩者來擴展入射角之範圍。
在一些實施例中,聚焦光學器件311包含各具有一橢圓表面形狀之複數個反射光學元件。各反射光學元件包含一基板及經調諧以反射一不同波長或波長範圍之一多層塗層。在一些實施例中,各反射一不同波長或波長範圍之複數個反射光學元件(例如1個至5個)配置於各入射角處。在另一實施例中,各反射一不同波長或波長範圍之多組(例如2組至5組)反射光學元件各配置於一不同入射角處。在一些實施例中,多組反射光學元件在測量期間將照明光同時投射至樣本301上。在一些其他實施例中,多組反射光學元件在測量期間將照明光循序投射至樣本301上。在此等實施例中,主動快門或孔隙用於控制投射至樣本301上之照明光。
在另一態樣中,藉由主動定位聚焦光學器件之一或多個鏡元件來調整投射至相同計量區域上之波長範圍、AOI、方位角或其任何組合。如圖11中所描繪,運算系統330將命令信號傳送至致動器系統315以引起致動器系統315調整聚焦光學器件311之一或多個光學元件之位置、對準或兩者以達成投射至樣本301上之期望波長範圍、AOI、方位角或其任何組合。
一般而言,針對各波長選擇入射角以最佳化受測量之計量目標對照明光之穿透及吸收。在諸多實例中,測量多層結構且選擇入射角以最大化與期望關注層相關聯之信號資訊。在疊對計量之實例中,(若干)入射波長及(若干)入射角經選擇以最大化由來自先前層及當前層之散射之間的干擾產生之信號資訊。另外,方位角亦經選擇以最佳化信號資訊量。另外,方位角經選擇以確保偵測器處之繞射峰之角分離。
在另一態樣中,一RSAX計量系統(例如計量工具300)包含一或多個光束狹縫或孔隙以使入射於樣本301上之照明光束314成形且選擇性阻擋原本將照明受測量之一計量目標之照明光之一部分。一或多個光束狹縫界定光束大小及形狀,使得X射線照明點適合於受測量之計量目標之區域。另外,一或多個光束狹縫界定照明光束發散以最小化偵測器上繞射階之重疊。
圖11描繪定位於聚焦光學器件311與光束成形狹縫313之間的光束路徑中之一光束發散控制狹縫312。光束發散控制狹縫312限制提供至受測量樣本之照明之發散。光束成形狹縫313定位於光束發散控制狹縫312與樣本301之間的光束路徑中。光束成形狹縫313進一步使入射光束314成形且選擇入射光束314之(若干)照明波長。光束成形狹縫313定位於樣本301之前的緊鄰光束路徑中。在一態樣中,光束成形狹縫313之縫隙緊密接近樣本301定位以最小化歸因於由有限源大小界定之光束發散之入射光束點大小之擴大。
X射線偵測器319收集自樣本101散射之X射線輻射318且根據一RSAXS測量模態產生指示對入射X射線輻射敏感之樣本301之性質之一輸出信號335。在一些實施例中,當樣本定位系統340定位及定向樣本301以產生角解析散射X射線時,散射X射線318由X射線偵測器319收集。
在一些實施例中,一RSAXS系統包含具有高動態範圍(例如大於105 )之一或多個光子計數偵測器。在一些實施例中,一單光子計數偵測器偵測所偵測之光子之位置及數目。
在一些實施例中,X射線偵測器解析一或多個X射線光子能且產生指示樣本之性質之各X射線能量分量之信號。在一些實施例中,X射線偵測器319包含一CCD陣列、一微通道板、一光二極體陣列、一微帶比例計數器、一充氣比例計數器、一閃爍器或一螢光材料之任何者。
依此方式,偵測器內之X射線光子相互作用由能量及像素位置及計數數目判別。在一些實施例中,藉由比較X射線光子相互作用之能量與一預定上臨限值及一預定下臨限值來判別X射線光子相互作用。在一實施例中,此資訊經由輸出信號135傳送至運算系統330用於進一步處理及儲存。
歸因於繞射之角色散,由使用多個照明波長同時照明一週期性目標產生之繞射圖案在偵測器平面處分離。在此等實施例中,採用積分偵測器。使用區域偵測器(例如真空相容背面CCD或混合像素陣列偵測器)測量繞射圖案。角取樣根據布拉格峰積分來最佳化。若採用像素級模型擬合,則角取樣可根據信號資訊量來最佳化。取樣速率經選擇以防止零階信號飽和。
在另一態樣中,一RSAXS系統用於基於散射光之一或多個繞射階來判定一樣本之性質(例如結構參數值)。如圖11中所描繪,計量工具300包含用於獲取由偵測器319產生之信號335且至少部分基於所獲取之信號來判定樣本之性質之一運算系統330。
在一些實例中,基於RSAXS之計量涉及藉由使用測量資料逆解算一預定測量模型來判定樣品之尺寸。測量模型包含數個(約10個)可調參數且表示樣本之幾何及光學性質及測量系統之光學性質。逆解算之方法包含(但不限於)以模型為基礎之回歸、斷層掃瞄、機器學習或其任何組合。依此方式,藉由解算使測量散射X射線强度與模型化結果之間的誤差最小化之一參數化測量模型之值來估計目標輪廓參數。
可期望在波長、入射角及方位角之大範圍內執行測量以提高測量參數值之精確度及準確度。此方法藉由擴展可供分析之資料集之數目及多樣性來降低參數之間的相關性。
收集依據照明波長及相對於晶片表面法線之X射線入射角而變化之繞射輻射之强度之測量。多個繞射階中所含之資訊在所考量之各模型參數之間通常係唯一的。因此,X射線散射產生具有小誤差及降低參數相關性之關注參數值之估計結果。
在另一態樣中,運算系統130經組態以產生一樣本之一測量結構之一結構模型(例如幾何模型、材料模型或幾何與材料組合模型)、產生包含來自結構模型之至少一幾何參數之一T-SAXS回應模型及藉由使用T-SAXS回應模型執行T-SAXS測量資料之一擬合分析來解析至少一樣本參數值。分析引擎用於比較模擬T-SAXS信號與測量資料以藉此允許判定幾何及材料性質,諸如樣品之電子密度。在圖1所描繪之實施例中,運算系統130經組態為一模型構建及分析引擎,其經組態以實施本文中所描述之模型構建及分析功能。
圖12係繪示由運算系統130實施之一例示性模型構建及分析引擎180的一圖式。如圖12中所描繪,模型構建及分析引擎180包含一結構模型構建模組181,其產生一樣本之一測量結構之一結構模型182。在一些實施例中,結構模型182亦包含樣本之材料性質。結構模型182作為輸入接收至T-SAXS回應函數構建模組183。T-SAXS回應函數構建模組183至少部分基於結構模型182產生一T-SAXS回應函數模型184。在一些實例中,T-SAXS回應函數模型184係基於X射線形狀因數,
Figure 02_image001
(1) 其中F係形狀因數,q係散射向量,且ρ(r)係球座標中之樣本之電子密度。接著,X射線散射强度給出如下:
Figure 02_image003
(2) T-SAXS回應函數模型184作為輸入接收至擬合分析模組185。擬合分析模組185比較模型化T-SAXS回應與對應測量資料以判定樣本之幾何及材料性質。
在一些實例中,藉由最小化一卡方值來達成模型化資料與實驗資料擬合。例如,針對T-SAXS測量,一卡方值可界定為
Figure 02_image005
(3)
其中
Figure 02_image007
係「通道」j中之測量T-SAXS信號135,其中指數j描述諸如繞射階、能量、角座標等等之一組系統參數。
Figure 02_image009
係針對一組結構(目標)參數
Figure 02_image011
評估之「通道」j之模型化T-SAXS信號Sj ,其中此等參數描述幾何(CD、側壁角、疊對等等)及材料(電子密度等等)。
Figure 02_image013
係與第j通道相關聯之不準度。NSAXS 係X射線計量中之通道總數目。L係特徵化計量目標之參數數目。
方程式(3)假定與不同通道相關聯之不準度係不相關的。在其中與不同通道相關聯之不準度係相關之實例中,可計算不準度之間的一共變異數。在此等實例中,T-SAXS測量之一卡方值可表示為
Figure 02_image015
(4)
其中
Figure 02_image017
係SAXS通道不準度之共變異數矩陣,且T表示轉置。
在一些實例中,擬合分析模組185藉由使用T-SAXS回應模型184對T-SAXS測量資料135執行一擬合分析來解析至少一樣本參數值。在一些實例中,
Figure 02_image019
係最佳化的。
如上文所描述,藉由最小化卡方值來達成T-SAXS資料之擬合。然而,一般而言,T-SAXS資料之擬合可由其他函數達成。
T-SAXS計量資料之擬合有利於提供對關注幾何及/或材料參數之敏感度之任何類型之T-SAXS技術。只要使用描述T-SAXS光束與樣本相互作用之適當模型,則樣本參數可為判定性的(例如CD、SWA等等)或統計性的(例如側壁粗糙度之高度、粗糙度相關長度等等之均方根)。
一般而言,運算系統130經組態以採用即時臨界尺寸標註(RTCD)來即時存取模型參數,或其可存取預計算模型庫以判定與樣本101相關聯之至少一樣本參數值之一值。一般而言,一些形式之CD引擎可用於評估一樣本之指定CD參數與測量樣本相關聯之CD參數之間的差。用於運算樣本參數值之例示性方法及系統描述於KLA-Tencor Corp.在2010年11月2日發佈之美國專利第7,826,071號中,該專利之全文以引用的方式併入本文中。
在一些實例中,模型構建及分析引擎180藉由側饋分析、前饋分析及並行分析之任何組合來提高測量參數之準確度。側饋分析係指在相同樣本之不同區域上取得多個資料集及將自第一資料集判定之共同參數傳遞至第二資料集用於分析。前饋分析係指在不同樣本上取得資料集及使用一逐步複製準確參數前饋方法將共同參數向前傳遞至後續分析。並行分析係指將一非線性擬合方法並行或同時應用於多個資料集,其中在擬合期間耦合至少一共同參數。
多工具及結構分析係指基於回歸、一查找表(即,「庫」匹配)或多個資料集之另一擬合程序之一前饋、側饋或並行分析。多工具及結構分析之例示性方法及系統描述於KLA-Tencor Corp.在2009年1月13日發佈之美國專利第7,478,019號中,該專利之全文以引用的方式併入本文中。
在另一態樣中,基於在入射X射線束相對於測量目標之一單一定向上執行之T-SAXS測量來判定一或多個關注參數之值之一初始估計。初始估計值實施為關注參數之起始值以使用在多個定向上自T-SAXS測量收集之測量資料來使測量模型回歸。依此方式,使用相對較少運算工作量來判定一關注參數之一接近估計,且藉由實施此接近估計作為一明顯更大資料集上之一回歸之起始點,使用較少總運算工作量來獲得關注參數之一細化估計。
在另一態樣中,計量工具100包含經組態以實施本文中所描述之光束控制功能之一運算系統(例如運算系統130)。在圖1所描繪之實施例中,運算系統130經組態為一光束控制器,其可操作以控制入射照明光束116之諸如强度、發散度、點大小、偏振、光譜及定位之任何照明性質。
如圖1中所繪示,運算系統130通信地耦合至偵測器119。運算系統130經組態以自偵測器119接收測量資料135。在一實例中,測量資料135包含樣本之測量回應之一指示(即,繞射階之强度)。基於偵測器119之表面上之測量回應之分佈,由運算系統130判定照明光束116在樣本101上之入射位置及區域。在一實例中,圖案辨識技術由運算系統130應用以基於測量資料135判定照明光束116在樣本101上之入射位置及區域。在一些實例中,運算系統130將命令信號137傳送至X射線照明源110以選擇期望照明波長。在一些實例中,運算系統130將命令信號138傳送至致動器子系統111'以相對於基底框架141重定向X射線發射以達成一期望光束方向。在一些實例中,運算系統130將命令信號136傳送至光束成形狹縫機構120以改變光束點大小,使得入射照明光束116以期望光束點大小及定向到達樣本101。在一實例中,命令信號136引起圖5中所描繪之旋轉致動器122使光束成形狹縫機構120相對於樣本101旋轉至一期望定向。在另一實例中,命令信號136引起與狹縫126至129之各者相關聯之致動器改變位置以使入射光束116重新成形為一期望形狀及大小。在一些其他實例中,運算系統130將一命令信號傳送至晶片定位系統140以定位及定向樣本101,使得入射照明光束116到達相對於樣本101之期望位置及角定向。
應認識到,本發明中所描述之各種步驟可由一單電腦系統(諸如運算系統130及330)或替代地,一多電腦系統實施。此外,計量系統100及300之不同子系統(諸如樣本定位系統140及340及晶片定向測量系統200)可包含適合於實施本文中所描述之步驟之至少一部分之一電腦系統。因此,以上描述不應被解譯為對本發明之一限制,而是僅為一說明。此外,一或多個運算系統130及330可經組態以執行本文中所描述之任何方法實施例之任何其他步驟。
另外,電腦系統130及330可依本技術中已知之任何方式通信地耦合至X射線照明源110及310、照明源201、光束成形狹縫機構120及320、樣本定位系統140及340及偵測器119、205及319。例如,一或多個運算系統130及330可分別耦合至與X射線照明源110及310、照明源201、光束成形狹縫機構120及320、樣本定位系統140及340及偵測器119、205及319相關聯之運算系統。在另一實例中,X射線照明源110及310、照明源201、光束成形狹縫機構120及320、樣本定位系統140及340及偵測器119、205及319之任何者可分別由耦合至電腦系統130及330之一單電腦系統直接控制。
電腦系統130及330可經組態以藉由可包含有線及/或無線部分之一傳輸媒體自系統之子系統(例如X射線照明源110、201及310、光束成形狹縫機構120及320、樣本定位系統140及340、偵測器119、205及319及其類似者)接收及/或獲取資料或資訊。依此方式,傳輸媒體可分別充當電腦系統130及330與系統100及300之其他子系統之間的一資料鏈路。
計量系統100及300之電腦系統130及330可分別經組態以藉由可包含有線及/或無線部分之一傳輸媒體自其他系統接收及/或獲取資料或資訊(例如測量結果、模型化輸入、模型化結果等等)。依此方式,傳輸媒體可充當電腦系統130及330與其他系統(例如記憶體板載計量系統100及300、外部記憶體或外部系統)之間的一資料鏈路。例如,運算系統130可經組態以經由一資料鏈路自一儲存媒體(即,記憶體132或190)接收X射線測量資料或晶片定向測量資料(例如信號135)。例如,使用偵測器119獲得之光譜結果、使用偵測器205獲得之晶片定向測量結果或兩者可儲存於一永久或半永久記憶體裝置(例如記憶體132或190)中。就此而言,測量結果可自板載記憶體或自一外部記憶體系統輸入。此外,電腦系統130及330可經由一傳輸媒體將資料發送至其他系統。例如,由電腦系統130判定之樣本參數值186可儲存於一永久或半永久記憶體裝置(例如記憶體190)中。就此而言,測量結果可輸出至另一系統。
運算系統130及330可包含(但不限於)一個人電腦系統、雲端運算系統、主機電腦系統、工作站、影像電腦、並行處理器或本技術中已知之任何其他裝置。一般而言,術語「運算系統」可經廣義界定為涵蓋具有執行來自一記憶體媒體之指令之一或多個處理器之任何裝置。
實施方法(諸如本文中所描述之方法)之程式指令134及334可在諸如一導線、電纜或無線傳輸鏈路之一傳輸媒體上傳輸。例如,如圖1中所繪示,儲存於記憶體132中之程式指令在匯流排133上傳輸至處理器131。程式指令134儲存於一電腦可讀媒體(例如記憶體132)中。在另一實例中,如圖11中所繪示,儲存於記憶體332中之程式指令在匯流排333上傳輸至處理器331。程式指令334儲存於一電腦可讀媒體(例如記憶體332)中。例示性電腦可讀媒體包含唯讀記憶體、一隨機存取記憶體、一磁碟或光碟或一磁帶。
圖13繪示適合於由本發明之計量系統100及300實施之一方法400。在一態樣中,應認識到,方法400之資料處理區塊可經由運算系統130或運算系統330之一或多個處理器執行之一預程式化演算法實施。儘管以下描述呈現於計量系統100及300之背景中,但此處應認識到,計量系統100及300之特定結構態樣不表示限制,而是應被解譯為僅供說明。
在區塊401中,在一X射線測量點處使用一X射線照明光束照明一半導體晶片。
在區塊402中,回應於入射X射線照明光束而自半導體晶片偵測一X射線輻射量。
在區塊403中,在半導體晶片上之一定向測量點上使用一光學照明光束照明半導體晶片。
在區塊404中,將回應於入射光學照明光束而自半導體晶片反射之一光量聚焦至一偵測器之一感光表面上。
在區塊405中,在偵測器之感光表面處偵測回應於入射光學照明光束而自半導體晶片反射之光。
在區塊406中,基於自半導體晶片反射之光在偵測器之感光表面上之一入射位置之一變化來估計半導體晶片在定向測量點處之一定向變化。
在區塊407中,基於X射線輻射之偵測量來判定特徵化安置於半導體晶片上之一結構之一關注參數之一值。
在一些實施例中,本文中所描述之散射測量經實施為一製程工具之部分。製程工具之實例包含(但不限於)微影曝光工具、膜沈積工具、植入工具及蝕刻工具。依此方式,一T-SAXS分析之結果用於控制一製程。在一實例中,自一或多個目標收集之T-SAXS測量資料發送至一製程工具。如本文中所描述般分析T-SAXS測量資料且使用結果來調整製程工具之操作。
本文中所描述之散射測量可用於判定各種半導體結構之特性。例示性結構包含(但不限於) FinFET、低維結構(諸如奈米線或石墨烯)、亞10 nm結構、微影結構、貫穿基板通路(TSV)、記憶體結構(諸如DRAM、DRAM 4F2、FLASH、MRAM及高從橫比記憶體結構)。例示性結構特性包含(但不限於)幾何參數(諸如線邊緣粗糙度、線寬粗糙度、孔徑、孔密度、側壁角、輪廓、臨界尺寸、節距、厚度、疊對)及材料參數(諸如電子密度、組成、晶粒結構、形態、應力、應變及元素識別)。在一些實施例中,計量目標係一週期性結構。在一些其他實施例中,計量目標係非週期的。
在一些實例中,使用本文中所描述之T-SAXS測量系統執行包含(但不限於)以下各者之高縱橫比半導體結構之臨界尺寸、厚度、疊對及材料性質之測量:自旋轉移力矩隨機存取記憶體(STT-RAM)、三維NAND記憶體(3D-NAND)或垂直NAND記憶體(V-NAND)、動態隨機存取記憶體(DRAM),三維FLASH記憶體(3D-FLASH)、電阻隨機存取記憶體(Re-RAM)及相變隨機存取記憶體(PC-RAM)。
如本文中所描述,術語「臨界尺寸」包含一結構之任何臨界尺寸(例如底部臨界尺寸、中間臨界尺寸、頂部臨界尺寸、側壁角、光柵高度等等)、任何兩個或更多個結構之間的一臨界尺寸(例如兩個結構之間的距離)及兩個或更多個結構之間的一位移(例如疊對光栅結構之間的疊對位移等等)。結構可包含三維結構、圖案化結構、疊對結構等等。
如本文中所描述,術語「臨界尺寸應用」或「臨界尺寸測量應用」包含任何臨界尺寸測量。
如本文中所描述,術語「計量系統」包含至少部分用於特徵化任何態樣中之一樣本之任何系統,其包含臨界尺寸應用及疊對計量應用。然而,此等技術術語不限制本文中所描述之術語「計量系統」之範疇。另外,本文中所描述之計量系統可經組態用於測量圖案化晶片及/或非圖案化晶片。計量系統可經組態為一LED檢測工具、邊緣檢測工具、背面檢測工具、宏檢測工具或多模式檢測工具(同時涉及來自一或多個平台之資料)及受益於本文中所描述之測量技術之任何其他計量或檢測工具。
本文中描述可用於處理一樣本之一半導體處理系統(例如一檢測系統或一微影系統)之各種實施例。術語「樣本」在本文中用於係指可由本技術中已知之構件處理(例如印刷或檢測缺陷)之一晶片、一倍縮光罩或任何其他樣品。
如本文中所使用,術語「晶片」一般係指由一半導體或非半導體材料形成之基板。實例包含(但不限於)單晶矽、砷化鎵及磷化銦。此等基板通常可在半導體製造設施中找到及/或處理。在一些情況中,一晶片可僅包含基板(即,裸晶片)。替代地,一晶片可包含形成於一基板上之一或多個不同材料層。形成於一晶片上之一或多個層可「經圖案化」或「未經圖案化」。例如,一晶片可包含具有可重複圖案特徵之複數個晶粒。
一「倍縮光罩」可為一倍縮光罩製程之任何階段中之一倍縮光罩,或為可或不可釋放用於一半導體製造設施中之一完成倍縮光罩。一倍縮光罩或一「遮罩」一般界定為具有形成於其上且依一圖案組態之實質上不透明區域之一實質上透明基板。基板可包含(例如)一玻璃材料,諸如非晶SiO2 。一倍縮光罩可在一微影程序之一曝光步驟期間安置於一光阻劑覆蓋晶片上,使得倍縮光罩上之圖案可轉移至光阻劑。
形成於一晶片上之一或多個層可經圖案化或未經圖案化。例如,一晶片可包含各具有可重複圖案特徵之複數個晶粒。此等材料層之形成及處理最終可導致完成裝置。諸多不同類型之裝置可形成於一晶片上,且本文中所使用之術語「晶片」意欲涵蓋其上製造本技術中已知之任何類型之裝置之一晶片。
在一或多個例示性實施例中,所描述之功能可實施於硬體、軟體、韌體或其任何組合中。若實施於軟體中,則功能可作為一或多個指令或程式碼儲存於一電腦可讀媒體上或由電腦可讀媒體傳輸。電腦可讀媒體包含電腦儲存媒體及通信媒體兩者,其包含促進一電腦程式自一位置轉移至另一位置之任何媒體。一儲存媒體可為可由一通用或專用電腦存取之任何可用媒體。舉例而言(但不限制),此等電腦可讀媒體可包括RAM、ROM、EEPROM、CD-ROM或其他光碟儲存、磁碟儲存或其他磁性儲存裝置或可用於以指令或資料結構之形式攜帶或儲存期望程式碼構件且可由一通用或專用電腦或一通用或專用處理器存取之任何其他媒體。此外,任何連接適當稱為一電腦可讀媒體。例如,若使用一同軸電纜、光纖電纜、雙絞線、數位用戶線(DSL)或無線技術(諸如紅外線、無線電及微波)自一網站、伺服器或其他遠端源傳輸軟體,則同軸電纜、光纖電纜、雙絞線、DSL或無線技術(諸如紅外線、無線電及微波)包含於媒體之界定中。本文中所使用之磁碟及光碟包含光碟(CD)、雷射光碟、XRF光碟、數位多功能光碟(DVD)、軟碟及藍光光碟,其中磁碟通常磁性地複製資料,而光碟使用雷射光學地複製資料。上述之組合亦應包含於電腦可讀媒體之範疇內。
儘管上文為了教學而描述某些特定實施例,但本專利文件之教示具有一般適用性且不受限於上述特定實施例。因此,可在不背離申請專利範圍中所闡述之本發明之範疇的情況下實踐所描述之實施例之各種特徵之各種修改、調適及組合。
100:透射小角度X射線散射測量(T-SAXS)計量工具/計量系統 101:樣本/晶片 102:X射線測量點/X射線測量區域 110:X射線照明源 111:聚焦光學器件 111':致動器子系統 112:光束發散控制狹縫 113:中間狹縫 114:X射線輻射 115:入射光束/X射線照明光束 116:出射光束/X射線照明光束 117:射線 119:X射線偵測器 120:光束成形狹縫機構 122:旋轉致動器 125:X射線照明子系統 126:狹縫 127:狹縫 128:狹縫 129:狹縫 130:運算系統/電腦系統 131:處理器 132:記憶體 133:匯流排 134:程式指令 135:輸出信號/測量資料 136:命令信號 137:命令信號 138:命令信號 140:樣本定位系統/晶片定位系統 141:基底框架 142:橫向對準載台 143:載台參考框架 144:晶片載台 145:致動器 146:旋轉致動器 147:晶片載台致動器 148:晶片載台致動器 149:致動器 150A至150C:致動器 153:旋轉軸線 156:翻轉-傾斜-Z載台 157A至157C:運動安裝元件 158:旋轉載台 180:模型構建及分析引擎 181:結構模型構建模組 182:結構模型 183:T-SAXS回應函數構建模組 184:T-SAXS回應函數模型 185:擬合分析模組 186:樣本參數值 190:記憶體 200:晶片定向測量系統 201:雷射照明源 202:照明光束 203:反射光 203':反射光 203'':反射光 204:聚焦光學器件/聚焦透鏡 205:偵測器 206:影像信號 207:命令信號 208:晶片定向測量點/測量位置 300:反射小角度X射線散射測量(R-SAXS)計量工具 301:樣本/晶片 302:X射線測量點/X射線測量區域 310:X射線照明源 311:聚焦光學器件 312:光束發散控制狹縫 313:光束成形狹縫 314:照明光束/入射光束 315:致動器系統 316:射線 318:X射線輻射 319:X射線偵測器 320:光束成形狹縫機構 330:運算系統/電腦系統 331:處理器 332:記憶體 333:匯流排 334:程式指令 335:輸出信號 336:命令信號 340:樣本定位系統 400:方法 401:區塊 402:區塊 403:區塊 404:區塊 405:區塊 406:區塊 407:區塊 L:長度 θ:入射角 ϕ:方位角
圖1係繪示至少一新穎態樣中包含一晶片定向測量系統200之透射小角度X射線散射測量(T-SAXS)計量工具100的一圖式。
圖2描繪一組態中之一光束成形狹縫機構之一端視圖。
圖3描繪另一組態中之一光束成形狹縫機構之一端視圖。
圖4描繪依由角度ϕ及θ描述之一特定定向入射於一晶片上之一X射線照明光束。
圖5係繪示具有移動至其中一X射線照明光束入射於一晶片上之一位置之晶片載台之一樣本定位系統的一圖式。
圖6係繪示一受測量晶片改變定向時之一偵測器之一感光表面上之反射光之入射位置變化的一圖式。
圖7係繪示一受測量晶片改變z位置時之一偵測器之一感光表面上之反射光之入射位置之不敏感度的一圖式。
圖8描繪一實施例中與一晶片定向測量點重合之一X射線測量點。
圖9描繪另一實施例中與一晶片定向測量點重合之一X射線測量點。
圖10描繪一實施例中與一晶片定向測量點空間分離之一X射線測量點。
圖11係繪示至少一新穎態樣中包含一晶片定向測量系統200之反射小角度X射線散射測量(R-SAXS)計量工具300的一圖式。
圖12係繪示根據本文中所描述之方法之經組態以基於X射線散射測量資料來解析樣本參數值之一模型構建及分析引擎的一圖式。
圖13描繪本文中所描述之測量一晶片在一晶片上之一定向測量點處之定向之一例示性方法400的一流程圖。
100:透射小角度X射線散射測量(T-SAXS)計量工具/計量系統
101:樣本/晶片
102:X射線測量點/X射線測量區域
110:X射線照明源
111:聚焦光學器件
111':致動器子系統
112:光束發散控制狹縫
113:中間狹縫
114:X射線輻射
115:入射光束/X射線照明光束
116:出射光束/X射線照明光束
117:射線
119:X射線偵測器
120:光束成形狹縫機構
125:X射線照明子系統
130:運算系統/電腦系統
131:處理器
132:記憶體
133:匯流排
134:程式指令
135:輸出信號/測量資料
136:命令信號
137:命令信號
138:命令信號
140:樣本定位系統/晶片定位系統
200:晶片定向測量系統
201:雷射照明源
202:照明光束
203:反射光
204:聚焦光學器件/聚焦透鏡
205:偵測器
206:影像信號
207:命令信號

Claims (24)

  1. 一種計量系統,其包括: 一X射線照明源,其經組態以產生在一X射線測量點處入射於一半導體晶片上之一X射線照明光束; 一X射線偵測器,其經組態以偵測回應於該入射X射線照明光束而來自該半導體晶片之一X射線輻射量; 一晶片定向測量系統,其包括: 一光學照明源,其經組態以產生導引至該半導體晶片上之一定向測量點之一照明光束; 一光學偵測器,其經組態以偵測回應於該入射光學照明光束而自該半導體晶片反射之光; 一或多個聚焦光學元件,其等經組態以將回應於該入射照明光束而自該半導體晶片反射之該光聚焦至該偵測器上,其中該偵測器定位於該一或多個聚焦光學元件之一焦平面處或該焦平面附近;及 一運算系統,其經組態以基於自該半導體晶片反射之該光在該光學偵測器上之一入射位置之一變化來估計該半導體晶片在該定向測量點處之一定向變化。
  2. 如請求項1之計量系統,其中該X射線照明源及該X射線偵測器經組態成一透射測量模式、一反射測量模式或其一組合。
  3. 如請求項1之計量系統,其中該半導體晶片在該定向測量點處之該定向變化係圍繞正交於法向於該半導體晶片之一平坦表面之一軸線之一軸線。
  4. 如請求項1之計量系統,其中該X射線測量點及該定向測量點在該半導體晶片之一區域上重合。
  5. 如請求項1之計量系統,其中該X射線測量點及該定向測量點同時入射於該半導體晶片上。
  6. 如請求項1之計量系統,其中該光學照明源係以雷射為基礎。
  7. 如請求項1之計量系統,其進一步包括: 一樣本定位系統,其經組態以主動控制該半導體晶片圍繞正交於法向於該半導體晶片之一平坦表面之一軸線之一軸線之一定向,其中該樣本定位系統基於由該定向測量系統測量之該半導體晶片在該定向測量點處之該定向變化來調整該半導體晶片圍繞正交於法向於該半導體晶片之該平坦表面之該軸線之該軸線之該定向。
  8. 如請求項1之計量系統,其進一步包括: 一機器框架,其上附接有該晶片定向測量系統之該光學照明源、該光學偵測器及該一或多個聚焦光學元件及該X射線照明源。
  9. 如請求項1之計量系統,其進一步包括: 一第一機器框架,其上附接有該晶片定向測量系統之該光學照明源、該光學偵測器及該一或多個聚焦光學元件; 一第二機器框架,其上附接有該X射線照明源,其中該第一機器框架相對於該第二機器框架移動。
  10. 如請求項1之計量系統,該運算系統進一步經組態以在時間上、空間上或其一組合上過濾由該光學偵測器在該定向測量點處產生之信號。
  11. 如請求項1之計量系統,該運算系統進一步經組態以將該半導體晶片在該定向測量點處之一定向之一估計自一時域變換至一頻域,其中該頻域中之該半導體晶片之該定向之該估計指示測量期間之晶片模態動力學及位置穩定性。
  12. 一種方法,其包括: 在一X射線測量點處使用一X射線照明光束照明一半導體晶片; 偵測回應於該入射X射線照明光束而來自該半導體晶片之一X射線輻射量; 在該半導體晶片上之一定向測量點上使用一光學照明光束照明該半導體晶片; 將回應於該入射光學照明光束而自該半導體晶片反射之一光量聚焦至一偵測器之一感光表面上; 在該偵測器之該感光表面處偵測回應於該入射光學照明光束而自該半導體晶片反射之光; 基於自該半導體晶片反射之該光在該偵測器之該感光表面上之一入射位置之一變化來估計該半導體晶片在該定向測量點處之一定向變化;及 基於X射線輻射之該偵測量來判定特徵化安置於該半導體晶片上之一結構之一關注參數之一值。
  13. 如請求項12之方法,其中該半導體晶片在該定向測量點處之該定向變化係圍繞正交於法向於該半導體晶片之一平坦表面之一軸線之一軸線。
  14. 如請求項12之方法,其中該X射線測量點及該定向測量點在該半導體晶片之一區域上重合。
  15. 如請求項14之方法,其中該X射線測量點及該定向測量點同時入射於該半導體晶片上。
  16. 如請求項12之方法,其進一步包括: 基於由該定向測量系統測量之該半導體晶片在該定向測量點處之該定向變化來調整該半導體晶片圍繞正交於法向於該半導體晶片之該平坦表面之該軸線之該軸線之該定向。
  17. 如請求項12之方法,其進一步包括: 在時間上、空間上或兩者上過濾由該光學偵測器在該定向測量點處產生之信號。
  18. 如請求項12之方法,其進一步包括: 將該半導體晶片在該定向測量點處之一定向之一估計自一時域變換至一頻域,其中該頻域中之該半導體晶片之該定向之該估計指示測量期間之晶片模態動力學及位置穩定性。
  19. 一種晶片定向測量系統,其包括: 一光學照明源,其經組態以產生導引至一半導體晶片上之一定向測量點之一照明光束; 一光學偵測器,其經組態以偵測回應於該入射光學照明光束而自該半導體晶片反射之光; 一或多個聚焦光學元件,其等經組態以將回應於該入射照明光束而自該半導體晶片反射之該光聚焦至該偵測器上,其中該偵測器定位於該一或多個聚焦光學元件之一焦平面處或該焦平面附近;及 一運算系統,其經組態以基於自該半導體晶片反射之該光在該光學偵測器上之一入射位置之一變化來估計該半導體晶片在該定向測量點處相對於一X射線照明源之一定向變化,其中該X射線照明源經組態以產生在一X射線測量點處入射於該半導體晶片上之一X射線照明光束。
  20. 如請求項19之晶片定向測量系統,其中該X射線測量點及該定向測量點在該半導體晶片之一區域上重合。
  21. 如請求項19之晶片定向測量系統,其中該X射線測量點及該定向測量點同時入射於該半導體晶片之該區域上。
  22. 如請求項19之晶片定向測量系統,其中該光學照明源係以雷射為基礎。
  23. 如請求項19之晶片定向測量系統,該運算系統進一步經組態以在時間上、空間上或其一組合上過濾由該光學偵測器在該定向測量點處產生之信號。
  24. 如請求項19之晶片定向測量系統,該運算系統進一步經組態以將該半導體晶片在該定向測量點處之一定向之一估計自一時域變換至一頻域,其中該頻域中之該半導體晶片之該定向之該估計指示測量期間之晶片模態動力學及位置穩定性。
TW110105986A 2020-02-20 2021-02-20 用於以x射線為基礎之計量學之晶片傾斜之測量及控制 TW202138756A (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202062979274P 2020-02-20 2020-02-20
US62/979,274 2020-02-20
US17/013,618 US11513085B2 (en) 2020-02-20 2020-09-06 Measurement and control of wafer tilt for x-ray based metrology
US17/013,618 2020-09-06

Publications (1)

Publication Number Publication Date
TW202138756A true TW202138756A (zh) 2021-10-16

Family

ID=77365187

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110105986A TW202138756A (zh) 2020-02-20 2021-02-20 用於以x射線為基礎之計量學之晶片傾斜之測量及控制

Country Status (6)

Country Link
US (1) US11513085B2 (zh)
JP (1) JP2023515470A (zh)
KR (1) KR20220140826A (zh)
CN (1) CN115151787A (zh)
TW (1) TW202138756A (zh)
WO (1) WO2021167935A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI836911B (zh) * 2022-12-15 2024-03-21 大陸商西安奕斯偉材料科技股份有限公司 矽片直徑的測量方法、裝置及電腦存儲介質

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20240162074A1 (en) * 2022-11-10 2024-05-16 Kla Corporation Methods And Systems For Measurement Of Semiconductor Structures With Active Tilt Correction

Family Cites Families (58)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5608526A (en) 1995-01-19 1997-03-04 Tencor Instruments Focused beam spectroscopic ellipsometry method and system
US6023338A (en) 1996-07-12 2000-02-08 Bareket; Noah Overlay alignment measurement of wafers
US5859424A (en) 1997-04-08 1999-01-12 Kla-Tencor Corporation Apodizing filter system useful for reducing spot size in optical measurements and other applications
US6197117B1 (en) 1997-07-23 2001-03-06 Applied Materials, Inc. Wafer out-of-pocket detector and susceptor leveling tool
KR100589108B1 (ko) 2000-03-10 2006-06-13 삼성전자주식회사 패터닝 에러를 방지할 수 있는 노광장치
US6429943B1 (en) 2000-03-29 2002-08-06 Therma-Wave, Inc. Critical dimension analysis with simultaneous multiple angle of incidence measurements
US6787773B1 (en) 2000-06-07 2004-09-07 Kla-Tencor Corporation Film thickness measurement using electron-beam induced x-ray microanalysis
US7541201B2 (en) 2000-08-30 2009-06-02 Kla-Tencor Technologies Corporation Apparatus and methods for determining overlay of structures having rotational or mirror symmetry
US7068833B1 (en) 2000-08-30 2006-06-27 Kla-Tencor Corporation Overlay marks, methods of overlay mark design and methods of overlay measurements
US7317531B2 (en) 2002-12-05 2008-01-08 Kla-Tencor Technologies Corporation Apparatus and methods for detecting overlay errors using scatterometry
US20030002043A1 (en) 2001-04-10 2003-01-02 Kla-Tencor Corporation Periodic patterns and technique to control misalignment
US6716646B1 (en) 2001-07-16 2004-04-06 Advanced Micro Devices, Inc. Method and apparatus for performing overlay measurements using scatterometry
JP4938219B2 (ja) 2001-12-19 2012-05-23 ケーエルエー−テンカー コーポレイション 光学分光システムを使用するパラメトリック・プロフィーリング
US6778275B2 (en) 2002-02-20 2004-08-17 Micron Technology, Inc. Aberration mark and method for estimating overlay error and optical aberrations
CN100337089C (zh) 2002-09-20 2007-09-12 Asml荷兰有限公司 器件检验
US6992764B1 (en) 2002-09-30 2006-01-31 Nanometrics Incorporated Measuring an alignment target with a single polarization state
US7842933B2 (en) 2003-10-22 2010-11-30 Applied Materials Israel, Ltd. System and method for measuring overlay errors
US6937337B2 (en) 2003-11-19 2005-08-30 International Business Machines Corporation Overlay target and measurement method using reference and sub-grids
US7321426B1 (en) 2004-06-02 2008-01-22 Kla-Tencor Technologies Corporation Optical metrology on patterned samples
US7478019B2 (en) 2005-01-26 2009-01-13 Kla-Tencor Corporation Multiple tool and structure analysis
JP4585926B2 (ja) 2005-06-17 2010-11-24 株式会社日立ハイテクノロジーズ パターンレイヤーデータ生成装置、それを用いたパターンレイヤーデータ生成システム、半導体パターン表示装置、パターンレイヤーデータ生成方法、及びコンピュータプログラム
US7567351B2 (en) 2006-02-02 2009-07-28 Kla-Tencor Corporation High resolution monitoring of CD variations
JP4887062B2 (ja) 2006-03-14 2012-02-29 株式会社日立ハイテクノロジーズ 試料寸法測定方法、及び試料寸法測定装置
KR100763712B1 (ko) * 2006-07-12 2007-10-04 동부일렉트로닉스 주식회사 웨이퍼 척의 레벨링 보정 장치 및 그 방법
US7406153B2 (en) 2006-08-15 2008-07-29 Jordan Valley Semiconductors Ltd. Control of X-ray beam spot size
US7746459B2 (en) * 2007-08-10 2010-06-29 Kla-Tencor Technologies Corp. Systems configured to inspect a wafer
US7873585B2 (en) 2007-08-31 2011-01-18 Kla-Tencor Technologies Corporation Apparatus and methods for predicting a semiconductor parameter across an area of a wafer
KR101755207B1 (ko) 2008-03-05 2017-07-19 더 보드 오브 트러스티즈 오브 더 유니버시티 오브 일리노이 펴고 접을 수 있는 전자장치
US7929667B1 (en) 2008-10-02 2011-04-19 Kla-Tencor Corporation High brightness X-ray metrology
US8068662B2 (en) 2009-03-30 2011-11-29 Hermes Microvision, Inc. Method and system for determining a defect during charged particle beam inspection of a sample
JP5764380B2 (ja) 2010-04-29 2015-08-19 エフ イー アイ カンパニFei Company Sem画像化法
US9046475B2 (en) 2011-05-19 2015-06-02 Applied Materials Israel, Ltd. High electron energy based overlay error measurement methods and systems
US10107621B2 (en) 2012-02-15 2018-10-23 Nanometrics Incorporated Image based overlay measurement with finite gratings
US10801975B2 (en) 2012-05-08 2020-10-13 Kla-Tencor Corporation Metrology tool with combined X-ray and optical scatterometers
US10013518B2 (en) 2012-07-10 2018-07-03 Kla-Tencor Corporation Model building and analysis engine for combined X-ray and optical metrology
US9129715B2 (en) 2012-09-05 2015-09-08 SVXR, Inc. High speed x-ray inspection microscope
WO2014062972A1 (en) 2012-10-18 2014-04-24 Kla-Tencor Corporation Symmetric target design in scatterometry overlay metrology
US9581430B2 (en) 2012-10-19 2017-02-28 Kla-Tencor Corporation Phase characterization of targets
US10769320B2 (en) 2012-12-18 2020-09-08 Kla-Tencor Corporation Integrated use of model-based metrology and a process model
US9291554B2 (en) 2013-02-05 2016-03-22 Kla-Tencor Corporation Method of electromagnetic modeling of finite structures and finite illumination for metrology and inspection
US9826614B1 (en) 2013-03-15 2017-11-21 Kla-Tencor Corporation Compac X-ray source for semiconductor metrology
US10101670B2 (en) 2013-03-27 2018-10-16 Kla-Tencor Corporation Statistical model-based metrology
US9846132B2 (en) 2013-10-21 2017-12-19 Kla-Tencor Corporation Small-angle scattering X-ray metrology systems and methods
US9885962B2 (en) 2013-10-28 2018-02-06 Kla-Tencor Corporation Methods and apparatus for measuring semiconductor device overlay using X-ray metrology
WO2015167753A2 (en) 2014-04-03 2015-11-05 Massachusetts Institute Of Technology Compact x-ray source for cd-saxs
US9494535B2 (en) 2014-04-21 2016-11-15 Kla-Tencor Corporation Scatterometry-based imaging and critical dimension metrology
KR20150141241A (ko) * 2014-06-09 2015-12-18 삼성전자주식회사 반도체 소자의 검사 방법, 반도체 검사 시스템, 및 이들을 이용한 반도체 소자의 제조방법
US10012606B1 (en) * 2014-06-24 2018-07-03 Kla-Tencor Corporation X-ray based metrology with primary and secondary illumination sources
US10152678B2 (en) 2014-11-19 2018-12-11 Kla-Tencor Corporation System, method and computer program product for combining raw data from multiple metrology tools
US10324050B2 (en) 2015-01-14 2019-06-18 Kla-Tencor Corporation Measurement system optimization for X-ray based metrology
KR102512180B1 (ko) 2015-04-28 2023-03-20 케이엘에이 코포레이션 계산 효율적인 x 선 기반의 오버레이 측정
US10352695B2 (en) 2015-12-11 2019-07-16 Kla-Tencor Corporation X-ray scatterometry metrology for high aspect ratio structures
US10775323B2 (en) 2016-10-18 2020-09-15 Kla-Tencor Corporation Full beam metrology for X-ray scatterometry systems
US10481111B2 (en) 2016-10-21 2019-11-19 Kla-Tencor Corporation Calibration of a small angle X-ray scatterometry based metrology system
US11047806B2 (en) * 2016-11-30 2021-06-29 Kla-Tencor Corporation Defect discovery and recipe optimization for inspection of three-dimensional semiconductor structures
US11073487B2 (en) 2017-05-11 2021-07-27 Kla-Tencor Corporation Methods and systems for characterization of an x-ray beam with high spatial resolution
US11333621B2 (en) * 2017-07-11 2022-05-17 Kla-Tencor Corporation Methods and systems for semiconductor metrology based on polychromatic soft X-Ray diffraction
US11519869B2 (en) 2018-03-20 2022-12-06 Kla Tencor Corporation Methods and systems for real time measurement control

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI836911B (zh) * 2022-12-15 2024-03-21 大陸商西安奕斯偉材料科技股份有限公司 矽片直徑的測量方法、裝置及電腦存儲介質

Also Published As

Publication number Publication date
US11513085B2 (en) 2022-11-29
WO2021167935A1 (en) 2021-08-26
CN115151787A (zh) 2022-10-04
US20210262950A1 (en) 2021-08-26
KR20220140826A (ko) 2022-10-18
JP2023515470A (ja) 2023-04-13

Similar Documents

Publication Publication Date Title
JP7379620B2 (ja) 高空間分解能を有するx線ビームの特性評価のための方法及びシステム
TWI739935B (zh) 計量系統
CN109073902B (zh) 用于小光斑大小透射小角x射线散射术的光束整形狭缝
US10816486B2 (en) Multilayer targets for calibration and alignment of X-ray based measurement systems
CN110383053B (zh) 用于小角度x射线散射测量的x射线变焦镜头
TW201842353A (zh) 傳輸小角度x射線散射度量系統
TW202138756A (zh) 用於以x射線為基礎之計量學之晶片傾斜之測量及控制