CN115151787A - 用于以x射线为基础的计量学的晶片倾斜的测量及控制 - Google Patents

用于以x射线为基础的计量学的晶片倾斜的测量及控制 Download PDF

Info

Publication number
CN115151787A
CN115151787A CN202180015920.9A CN202180015920A CN115151787A CN 115151787 A CN115151787 A CN 115151787A CN 202180015920 A CN202180015920 A CN 202180015920A CN 115151787 A CN115151787 A CN 115151787A
Authority
CN
China
Prior art keywords
wafer
ray
orientation
semiconductor wafer
measurement point
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202180015920.9A
Other languages
English (en)
Inventor
B·布拉森海姆
J·A·迪雷戈洛
张岩
R·普雷斯
H·阮
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
KLA Corp
Original Assignee
KLA Tencor Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by KLA Tencor Corp filed Critical KLA Tencor Corp
Publication of CN115151787A publication Critical patent/CN115151787A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N23/00Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00
    • G01N23/20Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by using diffraction of the radiation by the materials, e.g. for investigating crystal structure; by using scattering of the radiation by the materials, e.g. for investigating non-crystalline materials; by using reflection of the radiation by the materials
    • G01N23/201Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by using diffraction of the radiation by the materials, e.g. for investigating crystal structure; by using scattering of the radiation by the materials, e.g. for investigating non-crystalline materials; by using reflection of the radiation by the materials by measuring small-angle scattering
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/956Inspecting patterns on the surface of objects
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N23/00Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00
    • G01N23/20Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by using diffraction of the radiation by the materials, e.g. for investigating crystal structure; by using scattering of the radiation by the materials, e.g. for investigating non-crystalline materials; by using reflection of the radiation by the materials
    • G01N23/2055Analysing diffraction patterns
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B2210/00Aspects not specifically covered by any group under G01B, e.g. of wheel alignment, caliper-like sensors
    • G01B2210/56Measuring geometric parameters of semiconductor structures, e.g. profile, critical dimensions or trench depth
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N2223/00Investigating materials by wave or particle radiation
    • G01N2223/05Investigating materials by wave or particle radiation by diffraction, scatter or reflection
    • G01N2223/054Investigating materials by wave or particle radiation by diffraction, scatter or reflection small angle scatter
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N2223/00Investigating materials by wave or particle radiation
    • G01N2223/10Different kinds of radiation or particles
    • G01N2223/101Different kinds of radiation or particles electromagnetic radiation
    • G01N2223/1016X-ray
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N2223/00Investigating materials by wave or particle radiation
    • G01N2223/30Accessories, mechanical or electrical features
    • G01N2223/305Accessories, mechanical or electrical features computer simulations
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N2223/00Investigating materials by wave or particle radiation
    • G01N2223/60Specific applications or type of materials
    • G01N2223/611Specific applications or type of materials patterned objects; electronic devices
    • G01N2223/6116Specific applications or type of materials patterned objects; electronic devices semiconductor wafer
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N2223/00Investigating materials by wave or particle radiation
    • G01N2223/60Specific applications or type of materials
    • G01N2223/646Specific applications or type of materials flaws, defects
    • G01N2223/6462Specific applications or type of materials flaws, defects microdefects

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Immunology (AREA)
  • Health & Medical Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Biochemistry (AREA)
  • General Health & Medical Sciences (AREA)
  • Pathology (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Analysing Materials By The Use Of Radiation (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Length Measuring Devices By Optical Means (AREA)

Abstract

本文描述用于测量晶片在X射线散射测量位置处或X射线散射测量位置附近的定向的方法及系统。在一个方面中,一种以X射线散射测量为基础的计量系统包含在没有中介载台移动的情况下基于单个测量来测量晶片定向的晶片定向测量系统。在一些实施例中,定向测量点与X射线测量点重合。在一些实施例中,同时执行X射线散射测量及晶片定向测量。在另一方面中,由晶片定向测量系统检测的信号经时间、空间或时间及空间过滤以改进追踪。在另一方面中,晶片定向测量系统经校准以识别所述晶片相对于入射X射线束的定向。在另一方面中,受测量晶片依封闭回路或开放回路方式基于所述测量定向定位。

Description

用于以X射线为基础的计量学的晶片倾斜的测量及控制
相关申请案的交叉参考
本专利申请案根据35U.S.C.§119主张2020年2月20日申请的序列号为62/979,274的美国临时专利申请案的优先权,所述案的标的物的全文以引用的方式并入本文中。
技术领域
所描述的实施例涉及X射线计量系统及方法,且更特定来说,涉及用于改进测量准确度的方法及系统。
背景技术
例如逻辑及存储器装置的半导体装置通常由应用到样本的一系列处理步骤制造。半导体装置的各种特征及多个结构层级由这些处理步骤形成。例如,其中的光刻是涉及在半导体晶片上产生图案的半导体制程。半导体制程的额外实例包含(但不限于)化学机械抛光、蚀刻、沉积及离子植入。多个半导体装置可制作于单个半导体晶片上且接着分离成个别半导体装置。
计量过程在半导体制程期间的各种步骤中用于检测晶片上的缺陷以促进较高良率。包含散射测量及反射测量实施方案及相关联分析算法的许多以计量学为基础的技术常用于特征化纳米级结构的临界尺寸、膜厚、组合物及其它参数。
通常,散射临界尺寸测量执行在由薄膜及/或重复周期性结构组合物的目标上。在装置制造期间,这些膜及周期性结构通常表示实际装置几何结构及材料结构或中间设计。随着装置(例如逻辑及存储器装置)朝向更小纳米级尺寸发展,特征化变得更困难。并入复杂三维几何结构及具有各种物理性质的材料的装置造成特征化困难。例如,现代存储器结构通常是使光辐射难以穿透到底层的高宽高比三维结构。利用红外线到可见光的光学计量工具可穿透许多半透明材料层,但提供良好穿透深度的较长波长无法提供对小异常的足够敏感度。另外,特征化复杂结构(例如FinFET)所需的参数数目不断增加导致参数相关性不断增加。因此,特征化目标的参数通常无法与可用测量可靠地解耦。
在一个实例中,已试图采用较长波长(例如近红外线)来克服利用多晶硅作为堆叠中交替材料中的一者的3D FLASH装置的穿透问题。然而,3D FLASH的镜面结构本质上引起光强度随着照明更深入传播到膜堆叠中而降低。此引起敏感度损失及深度相关问题。在此情境中,SCD仅能够以高敏感度及低相关性成功提取一组减少计量尺寸。
在另一实例中,不透明高k材料越来越多用于现代半导体结构中。光辐射通常无法穿透由这些材料建构的层。因此,使用例如椭偏计或反射计的薄膜散射测量工具的测量变得越来越有挑战。
响应于这些挑战,已开发更复杂光学计量工具。例如,已开发具有多个照明角、更短照明波长、更宽照明波长范围及从反射信号获取更完整信息(例如测量多个穆勒矩阵元素及更常规反射率或椭偏信号)的工具。然而,这些方法无法可靠地克服与许多先进目标(例如复杂3D结构、小于10nm的结构、采用不透明材料的结构)的测量及测量应用(例如线边缘粗糙度及线宽粗糙度测量)相关联的基本挑战。
原子力显微镜(AFM)及扫描穿隧显微镜(STM)能够达成原子分辨率,但其可仅探测样本的表面。另外,AFM及STM显微镜需要长扫描时间。扫描电子显微镜(SEM)达成中等分辨率水平,但无法穿透结构到足够深度。因此,高宽高比孔没有很好地特征化。另外,样本所需的充电对成像性能具有不利影响。
为克服穿透深度问题,例如TEM、SEM等的传统成像技术与例如聚焦离子束(FIB)机械加工、离子铣削、毯覆或选择性蚀刻等的破坏性样品制备技术一起采用。例如,透射电子显微镜(TEM)达成高分辨率水平且能够探测任意深度,但TEM需要破坏性切开样本。材料去除及测量的若干反复大体上提供在整个三维结构中测量临界计量参数所需的信息。但这些技术需要破坏样本及长时间处理。归因于蚀刻及计量步骤的漂移,完成这些类型的测量的复杂性及时间引入较大不准确度。另外,这些技术需要多次反复,其引入对位误差。
小角度X射线散射测量(SAXS)系统已展示解决挑战性测量应用的前景。以下每一者中描述将SAXS技术应用到临界尺寸(CD-SAXS)及叠加(OVL-SAXS)的测量的各种方面:1)庄(Zhuang)及菲尔登(Fielden)的标题为“高亮度X射线计量(High-brightness X-raymetrology)”的美国专利第7,929,667号;2)贝克曼(Bakeman)、施格罗夫(Shchegrov)、赵(Zhao)及谭(Tan)的标题为“用于组合式X射线及光学计量的模型构建及分析引擎(ModelBuilding And Analysis Engine For Combined X-Ray And Optical Metrology)”的美国公开专利第2014/0019097号;3)维尔德曼(Veldman)、贝克曼(Bakeman)、施格罗夫(Shchegrov)及米赫尔(Mieher)的标题为“使用X射线计量来测量半导体装置叠加的方法及设备(Methods and Apparatus For Measuring Semiconductor Device Overlay UsingX-Ray Metrology)”的美国公开专利第2015/0117610号;4)亨奇(Hench)、施格罗夫(Shchegrov)及贝克曼(Bakeman)的标题为“用于基于X射线的计量的测量系统优化(Measurement System Optimization For X-Ray Based Metrology)”的美国公开专利第2016/0202193号;5)朱拉(Dziura)、热利诺(Gellineau)及施格罗夫(Shchegrov)的标题为“用于高宽高比结构的X射线计量(X-ray Metrology For High Aspect RatioStructures)”的美国公开专利第2017/0167862号;及6)热利诺(Gellineau)、朱拉(Dziura)、亨奇(Hench)、维尔德曼(Veldman)及扎洛夫斯基(Zalubovsky)的标题为“用于X射线散射测量系统的全束计量(Full Beam Metrology for X-Ray ScatterometrySystems)”的美国公开专利第2018/0106735号。上述专利文件转让给科磊公司(KLA-TencorCorporation),米尔皮塔斯(Milpitas),加利福尼亚州(California)(美国)。
SAXS也已应用到材料的特征化及其它非半导体相关应用。示范性系统已由包含赛诺普(Xenocs SAS)(www.xenocs.com)、布鲁克公司(Bruker Corporation)(www.bruker.com)及日本理学株式会社(Rigaku Corporation)(www.rigaku.com/en)的若干公司商业化。
科技文献中也描述关于半导体结构的CD-SAXS计量学的研究。大多数研究小组已采用归因于其大体积、成本等等而不适合用于半导体制造设施中的高亮度X射线同步加速器源。此系统的实例在拉梅特(Lemailet)、杰默(Germer)、克莱因(Kline)等人的标题为“FinFET结构的光学与X射线散射测量之间的相互比较(Intercomparison betweenoptical and x-ray scatterometry measurements of FinFET structures)”(国际光学工程学会公议记录(Proc.SPIE),8681卷,86810Q页(2013年))的论文中描述。最近,(美国)国家标准技术研究所(NIST)的小组已开始采用类似于美国专利第7,929,667号中所描述的X射线源的小型及明亮X射线源来研究。此研究在标题为“使用小型X射线源用于下一代半导体装置的X射线散射临界尺寸计量(X-ray scattering critical dimensional metrologyusing a compact x-ray source for next generation semiconductor devices)”(J.Micro/Nanolith.MEMS MOEMS 16(1),014001(2017年1月到3月))的论文中描述。
一般来说,X射线束与目标的交互必须被校准且与计量系统对准以确保有效测量。示范性特征化包含将X射线束的峰值强度精确定位在目标上、测量X射线束强度分布、识别X射线束的边界使得仅一定百分比的光束通量位于边界外部、精确估计晶片倾斜等等。示范性对准包含使X射线束与光学视觉系统对准、使X射线束与工具的特定机械特征(例如晶片旋转轴等等)对准、使晶片相对于入射X射线束角对准等等。
测量点处的晶片倾斜显著影响X射线散射测量。在没有精确了解晶片在晶片上的X射线照明光束的入射位置处相对于入射X射线束的定向的情况下,发生不可接受的X射线散射测量误差。
不幸地,受测量半导体晶片通常不平坦。半导体晶片通常包含跨其表面放置在不同厚度处的不同材料。此不均质性导致跨晶片表面的不同机械刚度及跨晶片表面的不均匀曲率。因此,具有300毫米直径的无约束晶片通常具有弓形或复杂形状。在一些实例中,晶片的表面从理想平面变动超过1毫米,且晶片的表面的定向可取决于晶片表面上的位置而变动+/-2度。
可通过使用采用真空或静电致动器的晶片夹头来部分减小晶片倾斜以迫使晶片的背面与机械平坦晶片夹头接触。尽管此约束显著减小跨晶片的整个表面的晶片倾斜(即,整体晶片平坦度),但其对解决其中X射线照明光束入射在晶片上的相对较小区域上的晶片平坦度(即,局部晶片平坦度)几乎无作用。
此外,跨晶片背面支撑晶片的晶片夹头的使用仅适合于反射X射线散射测量系统。透射SAXS系统需要使用边缘夹持晶片夹头以允许散射X射线不失真地传播到检测器。由于约束晶片的能力有限,透射SAXS系统必须在相对无约束状态中测量晶片。
通常,使用距离传感器估计晶片倾斜。距离传感器测量晶片表面与参考框架之间的距离。将晶片移动到相对于距离传感器的至少三个不同位置中且在这些位置中的每一者处测量距离。采用内插来估计最佳拟合平面,其基于晶片上的不同位置处所测量的距离测量来估计晶片表面的定向。
此方法具有若干缺点。首先,在多个不同位置处对距离取样很耗时。每个测量需要移动载台,其降低工具产量。此外,估计晶片倾斜所需的载台移动序列排除同时X射线测量特定位置处的晶片。其次,由最佳拟合平面提供的估计的准确度及精确度受许多实际因子影响。受测量半导体晶片通常包含放置在晶片表面上的不同结构。这些结构可高于或低于理想平面(例如平均晶片表面平面)。归因于存在具有不同高度的结构的晶片高度变动将误差引入到最佳拟合平面的定向的估计中。为降低此误差源的影响,要测量晶片表面上的更多位置且要使用从所有这些点的距离来估计最佳拟合平面的定向。在测量足够点后,通过平均化来有效最小化来自不同结构的高度变动影响。然而,在较多位置处执行距离测量急剧且不可接受地降低工具产量。最后,X射线测量点附近的半导体晶片的实际形状通常是无法由最佳拟合平面准确估计的复杂形状。因此,使用最佳拟合平面估计特定位置处的晶片倾斜可导致不准确角度测量,其导致具有不可接受误差的X射线散射测量。
归因于日益减小分辨率要求、多参数相关性、包含高宽高比结构的日益复杂几何结构及不透明材料的日益增多使用,未来计量应用面临挑战。估计X射线散射测量的点处的晶片定向的既有方法既耗时又限制准确度。因此,为满足先进制造节点的要求,期望用于X射线散射测量系统中的晶片倾斜的改进测量的方法及系统。
发明内容
本文描述用于测量晶片在X射线散射测量位置处或X射线散射测量位置附近的定向的方法及系统。
在一个方面中,以X射线散射测量为基础的计量系统(例如透射小角度X射线散射测量(T-SAXS)计量工具100或反射小角度X射线散射测量(R-SAXS)计量工具)包含晶片定向测量系统。所述晶片定向测量系统在没有中介载台移动的情况下基于单个测量来产生测量位置处的晶片定向测量。通过测量点处的晶片定向,测量不受晶片翘曲影响。
在一些实施例中,定向测量点与X射线测量点重合。在一些其它实施例中,定向测量点不与X射线测量点重合。然而,如果晶片定向测量点与X射线测量点空间分离,则其必须适度靠近以避免过度误差。在一个实例中,与X射线测量点空间分离的晶片定向测量点应定位在所述X射线测量点的500微米内。在另一实例中,与X射线测量点空间分离的晶片定向测量点应定位在所述X射线测量点的100微米内。
在一些实施例中,同时执行X射线散射测量及晶片定向测量。
在另一方面中,由晶片定向测量系统检测的信号经过滤以改进所述测量位置处的所述晶片表面的实际定向的追踪。在一些实施例中,所述检测信号随时间平均化以滤除背面晶片瑕疵及信号偏差的影响。在一些其它实施例中,基于所述检测器上的所述点的预期形状来空间上过滤所述检测信号。
在一些实施例中,所述检测信号随时间收集且变换到频域。频率分量的振幅指示受测量晶片的位置稳定性且所述频率分量本身指示所述受测量晶片的模态特性。依此方式,晶片定向测量系统用于在测量期间监测晶片模态动力学及位置稳定性。
在另一方面中,晶片定向测量系统经校准以识别晶片相对于入射X射线束的定向。
在一些实施例中,在多个测量位点处测量到所述受测量晶片的正面的距离的距离传感器用于估计晶片在定向测量点处的定向。由所述距离传感器估计的定向被视为定向测量系统在晶片定向测量点处的测量定向。
在一些其它实施例中,X射线计量系统本身用于估计晶片在定向测量点处的定向。所述晶片透过围绕法向入射的推定定向的一系列定向旋转。分析测量衍射图案以找到衍射图案围绕其对称的定向。此定向被视为零角,即,法向于入射X射线照明光束的晶片的定向角。零角被视为晶片定向测量系统在定向测量点处的测量零角。
一般来说,晶片定向测量系统的校准可执行于每个测量位点或晶片表面上的一或多个测量位点处。
在另一方面中,以X射线为基础的计量系统的晶片定位系统基于由本文中所描述的晶片定向测量系统提供的测量来定位受测量晶片。
在一些实施例中,晶片定位系统基于由晶片定向测量系统提供的晶片定向测量依封闭回路方式控制。在一些实施例中,晶片定位系统基于由晶片定向测量系统提供的晶片定向测量依开放回路方式控制。在一个实例中,晶片在晶片上的若干不同测量位点处的定向的图基于由晶片定向测量系统在每个不同测量位点处执行的定向测量来产生。所述图用于校正在每个测量位点处执行的每个X射线散射测量所采用的定向设置点。
在一些实施例中,晶片定向测量系统安装到其上安装X射线照明源的参考框架。在这些实施例中,晶片定向测量系统直接测量相对于入射X射线束的定向。
在一些其它实施例中,晶片定向测量系统安装到相对于X射线照明源移动的载台参考框架。在这些实施例中,晶片定向测量系统间接测量相对于入射X射线束的定向。
本文中所描述的晶片定向测量系统可实施为透射X射线计量系统、反射X射线计量系统或可依反射及透射两种模式操作的以X射线散射测量为基础的计量系统的部分。
上述内容是概要且因此必然含有细节的简化、概括及省略;因此,所属领域的技术人员应了解,概要仅供说明且绝非意在限制。本文中所描述的装置及/或过程的其它方面、发明特征及优点将从本文中所阐述的非限制性详细描述明白。
附图说明
图1是说明至少一个新颖方面中包含晶片定向测量系统200的透射小角度X射线散射测量(T-SAXS)计量工具100的图。
图2描绘一个配置中的光束成形狭缝机制的端视图。
图3描绘另一配置中的光束成形狭缝机制的端视图。
图4描绘依由角度φ及θ描述的特定定向入射在晶片上的X射线照明光束。
图5是说明具有移动到其中X射线照明光束入射在晶片上的位置的晶片载台的样本定位系统的图。
图6是说明受测量晶片改变定向时的检测器的感光表面上的反射光的入射位置变化的图。
图7是说明受测量晶片改变z位置时的检测器的感光表面上的反射光的入射位置的不敏感度的图。
图8描绘一个实施例中与晶片定向测量点重合的X射线测量点。
图9描绘另一实施例中与晶片定向测量点重合的X射线测量点。
图10描绘一个实施例中与晶片定向测量点空间分离的X射线测量点。
图11是说明至少一个新颖方面中包含晶片定向测量系统200的反射小角度X射线散射测量(R-SAXS)计量工具300的图。
图12是说明根据本文中所描述的方法的经配置以基于X射线散射测量数据来解析样本参数值的模型构建及分析引擎的图。
图13描绘本文中所描述的测量晶片在晶片上的定向测量点处的定向的示范性方法400的流程图。
具体实施方式
现将详细参考其实例说明在附图中的本发明的背景实例及一些实施例。
本文描述用于快速且准确地测量晶片在X射线散射测量位置处或X射线散射测量位置附近的定向的方法及系统。在一些实施例中,在晶片表面上的特定位置处同时执行定向测量及X射线散射测量。举非限制性实例来说,本文中所描述的定向测量系统及技术用作透射小角度X射线散射测量(T-SAXS)计量系统或反射小角度X射线散射测量(R-SAXS)计量系统的部分。
半导体制造环境中的实际X射线散射测量需要使用小光束点大小(例如跨有效照明点的50微米到700微米)在相对于样本(例如半导体晶片)的表面的入射角及方位角的大范围内测量。需要晶片在测量点处的定向的精确测量来达成准确X射线测量结果。另外,需要使晶片的测量定向与相对于入射X射线束的晶片的实际定向准确相关的校准以在入射角及方位角的全范围内准确确定相对于入射X射线束的晶片定向。
图1说明至少一个新颖方面中包含晶片定向测量系统200的用于测量样本的特性的T-SAXS计量工具100的实施例。如图1中所展示,系统100可用于在由照明光束照明的样本101的X射线测量点102上执行T-SAXS测量。
在所描绘的实施例中,计量工具100包含X射线照明子系统125,其包含X射线照明源110、聚焦光学器件111、光束发散控制狭缝112、中间狭缝113及光束成形狭缝机制120。X射线照明源110经配置以产生适合于T-SAXS测量的X射线辐射。在一些实施例中,X射线照明源110经配置以产生0.01纳米到1纳米之间的波长。一般来说,可考虑能够依足以实现高产量、在线计量的通量水平产生高亮度X射线的任何适合高亮度X射线照明源来供应T-SAXS测量的X射线照明。在一些实施例中,X射线源包含使X射线源能够依不同、可选择波长递送X射线辐射的可调谐单色器。
在一些实施例中,发射具有大于15keV的光子能的辐射的一或多个X射线源用于确保X射线源依允许足够透射穿过整个装置及晶片衬底的波长供应光。举非限制性实例来说,粒子加速器源、液体阳极源、旋转阳极源、固定固体阳极源、微焦源、微焦旋转阳极源、以等离子体为基础的源及逆康普顿(Compton)源中的任何一者可用作X射线照明源110。在一个实例中,可考虑购自林肯科技有限公司(Lyncean Technologies,Inc.),帕洛阿托(PaloAlto),加利福尼亚州(California)(美国)的逆康普顿源。逆康普顿源具有能够在光子能范围内产生X射线以借此使X射线源能够依不同、可选择波长递送X射线辐射的额外优点。
示范性X射线源包含经配置以轰击固体或液体目标以激发X射线辐射的电子束源。用于产生高亮度液体金属X射线照明的方法及系统在科磊公司(KLA Tencor Corp.)在2011年4月19日发布的美国专利第7,929,667号中描述,所述专利的全文以引用的方式并入本文中。
X射线照明源110在具有有限横向尺寸(即,正交于光束轴的非零尺寸)的源区域上产生X射线发射。聚焦光学器件111将源辐射聚焦到定位在样本101上的计量目标上。有限横向源尺寸导致由来自源的边缘的射线117界定的目标上的有限点大小。在一些实施例中,聚焦光学器件111包含椭圆形聚焦光学元件。
光束发散控制狭缝112定位在聚焦光学器件111与光束成形狭缝机制120之间的光束路径中。光束发散控制狭缝112限制提供到受测量样本的照明的发散。额外中间狭缝113定位在光束发散控制狭缝112与光束成形狭缝机制120之间的光束路径中。中间狭缝113提供额外光束成形。然而,一般来说,中间狭缝113是任选的。
光束成形狭缝机制120定位在样本101之前的紧邻光束路径中。在一个方面中,光束成形狭缝机制120的狭缝紧密接近样本101定位以最小化归因于由有限源大小界定的光束发散的入射光束点大小的扩大。在一个实例中,针对10微米X射线源大小及光束成形狭缝与样本101之间的25毫米距离,归因于由有限源大小产生的阴影的光束点大小的扩展约为1微米。
在一些实施例中,光束成形狭缝机制120包含多个独立致动的光束成形狭缝。在一个实施例中,光束成形狭缝机制120包含四个独立致动的光束成形狭缝。这四个光束成形狭缝有效地阻挡入射光束115的一部分且产生具有框形照明横截面的照明光束116。
图2及3描绘两个不同配置中的图1中所描绘的光束成形狭缝机制120的端视图。如图2及3中所说明,光束轴垂直于图页面。如图2中所描绘,入射光束115具有大横截面。在一些实施例中,入射光束115具有约1毫米的直径。此外,归因于光束指向误差,入射光束115在光束成形狭缝126到129内的位置可具有约3毫米的不准度。为适应入射光束的大小及光束位置的不准度,每个狭缝具有约6毫米的长度L。如图2中所描绘,每个狭缝可在垂直于光束轴的方向上移动。在图2的说明中,狭缝126到129定位在距光束轴的最大距离处,即,狭缝完全打开且其不限制通过光束成形狭缝机制120的光。
图3描绘阻挡入射光束115的一部分使得递送到受测量样本的出射光束116具有减小大小及界限分明形状的位置中的光束成形狭缝机制120的狭缝126到129。如图3中所描绘,狭缝126到129中的每一者已朝向光束轴向内移动以达成期望输出光束形状。
狭缝126到129是由最小化散射且有效阻挡入射辐射的材料建构。示范性材料包含例如锗、砷化镓、磷化铟等等的单晶材料。通常,狭缝材料沿结晶方向裂开而非锯开以最小化跨结构边界的散射。另外,狭缝相对于入射光束定向,使得入射辐射与狭缝材料的内部结构之间的交互产生最少量散射。晶体附接到由高密度材料(例如钨)制成的每个狭缝保持器以完全阻挡狭缝侧上的X射线束。在一些实施例中,每个狭缝具有矩形横截面,其具有约0.5毫米的宽度及约1毫米到约2毫米的高度。如图2中所描绘,狭缝的长度L为约6毫米。
一般来说,X射线光学器件使X射线辐射成形且将X射线辐射引导到样本101。在一些实例中,X射线光学器件包含单色化入射在样本101上的X射线束的X射线单色器。在一些实例中,X射线光学器件使用多层X射线光学器件将X射线束准直或聚焦到样本101的X射线测量区域102上以达小于1毫弧度发散。在这些实例中,多层X射线光学器件也用作光束单色器。在一些实施例中,X射线光学器件包含一或多个X射线准直镜、X射线孔隙、X射线束光阑、折射X射线光学器件、衍射光学器件(例如波带片)、蒙特尔(Montel)光学器件、镜面X射线光学器件(例如掠入射椭球镜)、多毛细管光学器件(例如中空毛细管X射线波导)、多层光学器件或系统或其任何组合。进一步细节在美国公开专利第2015/0110249中描述,所述专利的全文以引用的方式并入本文中。
X射线检测器119收集从样本101散射的X射线辐射114且根据T-SAXS测量模态产生指示对入射X射线辐射敏感的样本101的性质的输出信号135。在一些实施例中,当样本定位系统140定位及定向样本101以产生角解析散射X射线时,散射X射线114由X射线检测器119收集。
在一些实施例中,T-SAXS系统包含具有高动态范围(例如大于105)的一或多个光子计数检测器。在一些实施例中,单光子计数检测器检测所检测的光子的位置及数目。
在一些实施例中,X射线检测器解析一或多个X射线光子能且产生指示样本性质的每个X射线能量分量的信号。在一些实施例中,X射线检测器119包含CCD阵列、微信道板、光二极管阵列、微带比例计数器、充气比例计数器、闪烁器或荧光材料中的任何一者。
依此方式,检测器内的X射线光子交互由能量及像素位置及计数数目判别。在一些实施例中,X射线光子交互通过比较X射线光子交互的能量与预定上阈值及预定下阈值来判别。在一个实施例中,此信息经由输出信号135传送到计算系统130用于进一步处理及存储。
在另一方面中,T-SAXS系统用于基于散射光的一或多个衍射阶来确定样本的性质(例如结构参数值)。如图1中所描绘,计量工具100包含用于获取由检测器119产生的信号135且至少部分基于所获取的信号来确定样本的性质的计算系统130。
在一些实例中,基于T-SAXS的计量涉及通过使用测量数据逆解算预定测量模型来确定样品的尺寸。测量模型包含数个(约10个)可调参数且表示样本的几何及光学性质及测量系统的光学性质。逆解算的方法包含(但不限于)以模型为基础的回归、断层扫描、机器学习或其任何组合。依此方式,通过解算使测量散射X射线强度与模型化结果之间的误差最小化的参数化测量模型的值来估计目标轮廓参数。
可期望在入射角及方位角的大范围内执行测量以提高测量参数值的精确度及准确度。此方法通过延伸可用于分析的数据集的数目及多样性来减少参数之间的相关性以包含各种大角度、平面外定向。例如,在法向定向上,T-SAXS能够解析特征的临界尺寸,但基本上对特征的侧壁角及高度不敏感。然而,可通过在平面外角定向的大范围内收集测量数据来解析特征的侧壁角及高度。在其它实例中,在入射角及方位角的大范围内执行的测量提供足够分辨率及穿透深度以在整个深度内特征化高宽高比结构。
收集依据相对于晶片表面法线的X射线入射角而变化的衍射辐射的强度的测量。多个衍射阶中所含的信息在所考虑的每个模型参数之间通常是唯一的。因此,X射线散射以小误差及降低参数相关性产生关注参数的值的估计结果。
照明X射线束116相对于半导体晶片101的表面法线的每个定向由晶片101相对于X射线照明光束115的任何两个角旋转描述,或反之亦然。在一个实例中,定向可相对于固定在晶片的坐标系统描述。图4描绘依由入射角θ及方位角φ描述的特定定向入射在晶片101上的X射线照明光束116。坐标框架XYZ固定到计量系统(例如照明光束116)且坐标框架X'Y'Z'固定到晶片101。Y轴与晶片101的表面平面内对准。X及Z不与晶片101的表面对准。Z'与法向于晶片101的表面的轴对准,且X'及Y'在与晶片101的表面对准的平面内。如图4中所描绘,X射线照明光束116与Z轴对准且因此位于XZ平面内。入射角θ描述X射线照明光束116相对于XZ平面内的晶片的表面法线的定向。此外,方位角φ描述XZ平面相对于X'Z'平面的定向。θ与φ一起唯一地界定X射线照明光束116相对于晶片101的表面的定向。在此实例中,X射线照明光束相对于晶片101的表面的定向由围绕法向于晶片101的表面的轴(即,Z'轴)的旋转及围绕与晶片101的表面对准的轴(即,Y轴)的旋转描述。在一些其它实例中,X射线照明光束相对于晶片101的表面的定向由围绕与晶片101的表面对准的第一轴的旋转及与晶片101的表面对准且垂直于第一轴的另一轴描述。
在一个方面中,计量工具100包含经配置以在六个自由度上相对于照明光束116主动定位样本101的样本定位系统140。另外,样本定位系统101经配置以对准样本101且在相对于照明光束116的入射角(例如至少70度)及方位角(例如至少190度)的大范围内定向样本101。在一些实施例中,样本定位系统140经配置以在与样本101的表面平面内对准的旋转角(例如至少70度)的大范围内旋转样本101。依此方式,样本101的角解析测量由计量系统100在样本101的表面上的任何数目个位置及定向上收集。在一个实例中,计算系统130将指示样本101的期望位置的命令信号(未展示)传送到样本定位系统140。作为响应,样本定位系统140产生命令信号到样本定位系统140的各种致动器以达成样本101的期望定位。
图5描绘一个实施例中的样本定位系统140。在一个方面中,样本定位系统140提供在所有六个自由度上晶片101相对于照明光束116的位置的主动控制,同时相对于重力向量垂直支撑晶片101(即,重力向量与晶片表面大致共面)。样本定位系统140在晶片101的边缘处支撑晶片101以允许照明光束116在晶片101的主动区域的任何部分上透射穿过晶片101,无需重新安装晶片101。通过在晶片101的边缘处垂直支撑晶片101来有效缓解晶片101的重力诱发下垂。
如图5中所描绘,样本定位系统140包含基底框架141、横向对准载台142、载台参考框架143及安装到载台参考框架143的晶片载台144。为了参考,{XBF,YBF,ZBF}坐标框架附接到基底框架141,{XNF,YNF,ZNF}坐标框架附接到横向对准载台142,{XRF,YRF,ZRF}坐标框架附接到载台参考框架143,且{XSF,YSF,ZSF}坐标框架附接到晶片载台144。晶片101由包含致动器150A到150C的翻转-倾斜-Z载台156支撑在晶片载台144上。安装到翻转-倾斜-Z载台156的旋转载台158在相对于照明光束116的方位角φ的范围内定向晶片101。在所描绘的实施例中,三个线性致动器150A到150C安装到晶片载台144且支撑旋转载台158,旋转载台158又支撑晶片101。
致动器145沿XBF轴相对于基底框架141平移横向对准载台142。旋转致动器146使载台参考框架143围绕与YNF轴对准的旋转轴153相对于横向对准载台142旋转。旋转致动器146在相对于照明光束116的入射角θ的范围内定向晶片101。晶片载台致动器147及148分别沿XRF及YRF轴相对于载台参考框架143平移晶片载台144。
在一个方面中,晶片载台144是开孔双轴(XY)线性堆叠载台。开孔允许测量光束透射穿过整个晶片(例如300毫米晶片)的任何部分。晶片载台144经布置使得Y轴载台在大致平行于旋转轴153的方向上延伸。此外,Y轴载台在大致与重力向量对准的方向上延伸。
致动器150A到150C协同操作以在ZSF方向上相对于晶片载台144平移旋转载台158及晶片101且围绕与XSF-YSF平面共面的轴相对于晶片载台144翻转及倾斜旋转载台158及晶片101。旋转载台158的致动器149使晶片101围绕法向于晶片101的表面的轴旋转。在另一方面中,旋转载台158的框架分别通过包含运动安装元件157A到157C的运动安装系统耦合到致动器150A到150C。在一个实例中,每个运动安装元件157A到157C包含附接到对应致动器的球体及附接到旋转载台158的V形槽。每个球体与对应V形槽两点接触。每个运动安装元件在两个自由度上约束旋转载台158相对于致动器150A到150C的运动,且三个运动安装元件157A到157C共同在六个自由度上约束旋转载台158相对于致动器150A到150C的运动。每个运动耦合元件经预载以确保球体始终保持与对应V形槽接触。在一些实施例中,预载由重力、机械弹簧机制或其组合提供。
在另一方面中,旋转载台158是开孔旋转载台。开孔允许测量光束透射穿过整个晶片(例如300毫米晶片)的任何部分。旋转载台158经布置使得其旋转轴大致垂直于旋转轴153。此外,旋转载台158的旋转轴大致垂直于重力向量。晶片101经由边缘夹持器固定到旋转载台158以提供具有最小边缘排除的全晶片覆盖。
总之,样本定位系统140能够主动控制晶片101在六个自由度上相对于照明光束116的位置,使得照明光束116可入射在晶片101的表面上的任何位置处(即,XRF及YRF方向上的至少300毫米范围)。旋转致动器146能够使载台参考框架143相对于照明光束116旋转,使得照明光束116可依入射角的大范围中的任何一者(例如大于2度)入射在晶片101的表面处。在一个实施例中,旋转致动器146经配置以使载台参考框架143在至少60度的范围内旋转。安装到晶片载台144的旋转致动器158能够使晶片101相对于照明光束116旋转,使得照明光束116可依方位角的大范围(例如至少90度旋转范围)中的任何一者入射在晶片101的表面处。在一些实施例中,方位角的范围是至少190度旋转范围。
在一些其它实施例中,去除横向对准载台142且由旋转致动器146使载台参考框架143相对于基底框架141旋转。在这些实施例中,X射线照明系统包含一或多个致动器,其移动X射线照明系统的一或多个光学元件以引起X射线照明光束116(例如)在XBF方向上相对于基底框架141移动。在这些实施例中,为了本文中所描述的校准的载台参考框架143的移动由X射线照明系统的一或多个光学元件的移动替换,例如将X射线照明光束移动到相对于旋转轴153的期望位置。在图1所描绘的实施例中,计算系统130将命令信号138传送到致动器子系统111'以通过移动X射线照明子系统125的一或多个元件来相对于基底框架141重定向X射线发射以达成期望光束方向。在所描绘的实施例中,致动器子系统111'移动聚焦光学器件111以相对于基底框架141重定向X射线发射且因此相对于旋转轴153重新定位X射线发射。
在一个方面中,以X射线散射测量为基础的计量系统(例如图1中所描绘的T-SAXS计量工具100或图11中所描绘的R-SAXS计量工具300)包含图1及11中所说明的晶片定向测量系统200。晶片定向测量系统200快速且准确地测量晶片在X射线散射测量位置处的定向。
如图1中所描绘,晶片定向测量系统200包含发射引导到晶片101上的X射线测量区域102的照明光束202的激光照明源201。响应于照明光束202而从晶片101反射的光203由聚焦光学器件204聚焦且在检测器205处检测。
如图1中所说明,晶片定向测量系统200的照明源是以激光为基础的照明源。以激光为基础的照明源是有利的,因为由以激光为基础的照明源产生的照明光束202大体上是准直的。此使照明源能够相对远离晶片上的定向测量位置定位。通常期望(但非必要)容纳必须紧密接近晶片上的X射线测量点定位的X射线散射测量系统的其它光学组件。然而,一般来说,也可在本专利文件的范围内考虑非以激光为基础的照明源。例如,可采用LED光源、白炽光源等等。在这些实施例中,一或多个聚焦光学器件定位在照明光束路径中以将由照明源产生的光聚焦到晶片上的定向测量点上。
如图1中所描绘,激光照明源201通信地耦合到计算系统130。计算系统130将命令信号207传送到激光照明源201以控制激光照明源201的输出。
如图1中所描绘,照明光束202照明晶片上的定向测量点。在一些实施例中,定向测量点在最大范围的方向上小于500微米。在一些实施例中,定向测量点在最大范围的方向上小于200微米。在一些实施例中,定向测量点在最大范围的方向上小于100微米。
在一些实施例中,检测器205是例如摄影机(例如CCD摄影机、CMOS摄影机等等)的二维成像装置。在图1所描绘的实例中,照明光束202从晶片的背面反射,且反射光203聚焦到摄影机的感光表面上。检测器205的感光表面定位在聚焦光学器件204的焦点处。在一些实施例中,聚焦光学器件204的焦距大于75毫米。
检测器205通信地耦合到计算系统130。检测器205传送指示由检测器205检测的图像的信号206。计算系统130基于图像信号206确定入射在检测器205上的反射光束的入射位置。另外,计算系统130基于反射光束的入射位置及例如透镜204的焦距、检测器205的像素大小等等的已知系统参数来估计晶片101的定向。
如图6中所说明,随着晶片101改变定向,反射光203在检测器205的感光表面上的入射位置改变。在几何上,晶片101的机械定向角的变化θ产生入射光束202与反射光束203之间的光学角的变化2θ,其为机械角变化的两倍。
图6描绘由实线指示的标称位置中的晶片101。反射光203入射在检测器205上的特定位置处。在另一例子中,晶片101从标称位置顺时针旋转。此位置中的晶片被指示为晶片101”且从晶片101”反射的光被指示为反射光203”。如图6中所指示,反射光203”的入射位置从反射光203的入射位置移位。类似地,在另一例子中,晶片101从标称位置逆时针旋转。此位置中的晶片被指示为晶片101'且从晶片101'反射的光被指示为反射光203'。如图6中所指示,反射光203'的入射位置在与反射光203”的入射位置的移位相反的方向上从反射光203的入射位置移位。因此,反射光在检测器205上的入射位置的变化指示晶片101的定向变化。如果经校准,那么反射光在检测器205上的入射位置指示晶片101的表面上的测量点处的晶片101的定向。
如图7中所说明,随着晶片101在法向于晶片101的表面的方向上平移,反射光203在检测器205的感光表面上的入射位置不改变。因此,由晶片定向测量系统200提供的晶片定向测量对晶片101的z位置(有时称为焦点位置)不敏感。图7描绘由实线指示的标称位置中的晶片101。反射光203入射在检测器205上的特定位置处。在另一例子中,晶片101从标称位置向上平移,如图页面上所观看。此位置中的晶片被指示为晶片101'且从晶片101'反射的光被指示为反射光203'。如图7中所指示,反射光203'的入射位置未从反射光203的入射位置移位。类似地,在另一例子中,晶片101从标称位置向下平移,如图页面上所观看。此位置中的晶片被指示为晶片101”且从晶片101”反射的光被指示为反射光203”。如图7中所指示,反射光203'的入射位置未从反射光203的入射位置移位。随着晶片在法向于晶片的表面的方向上平移,反射光束跨聚焦透镜平移。理想地,进入聚焦透镜的平行射线聚焦到相同点。因此,晶片定向系统200对法向于晶片表面的方向上的晶片位置不敏感。实际上,聚焦透镜204将为不完美的,但透镜像差足够低,使得晶片定向系统200对法向于晶片表面的方向上的晶片平移的敏感度可忽略不计。
如图1中所说明,晶片定向测量系统200在没有任何中介载台移动的情况下基于单个测量来产生测量位置处的晶片定向的测量。依此方式,晶片定向测量系统200没有过度延迟地产生晶片定向的测量。另外,晶片定向测量系统200不受晶片翘曲影响,因为测量位置处的晶片定向的测量是基于所述位置处的单个测量而非晶片上不同位置处的多个测量。
在一些实施例中,定向测量点与X射线测量点重合。换句话说,X射线测量点与晶片定向测量点在晶片表面的区域上空间重叠。在一些这些实施例中,同时执行X射线散射测量及晶片定向测量。
图8描绘实施例中与晶片定向测量点重合的X射线测量点。如图8中所描绘,X射线测量点102大于晶片定向测量点208。然而,经受晶片定向测量的晶片表面的区域(即,晶片定向测量点208)与X射线测量点102重合。在一些实例中,晶片定向测量点的直径是100微米,且X射线测量点102的直径大于100微米。
图9描绘另一实施例中与晶片定向测量点重合的X射线测量点。如图9中所描绘,X射线测量点102小于晶片定向测量点208。然而,经受X射线测量的晶片表面的区域(即,X射线测量点102)与晶片定向测量点208重合。在一些实例中,晶片定向测量点的直径是100微米,且X射线测量点102的直径小于100微米。
在一些其它实施例中,定向测量点不与X射线测量点重合。换句话说,X射线测量点与晶片定向测量点未在晶片表面的区域上空间重叠。在一些这些实施例中,同时执行X射线散射测量及晶片定向测量。
图10描绘实施例中与晶片定向测量点空间分离的X射线测量点。如图10中所描绘,四个不同晶片定向测量点同时投射到晶片101上包围X射线测量点102的不同位置处。在一个实施例中,孔隙(未展示)定位在从激光照明源201到晶片101的照明光束路径中。孔隙将照明光束202分割成入射在晶片101上的四个光束,如图10中所说明。从这些四个位置反射的光聚焦到检测器205上。追踪四个反射光束入射到检测器205上的位置以估计X射线测量点102处晶片101的定向。一般来说,紧密接近X射线测量点的任何数目个照明点可用于测量X射线测量点处的晶片定向。然而,如果晶片定向测量点与X射线测量点空间分离,那么其必须适度接近以避免过度误差。在一个实例中,与X射线测量点空间分离的晶片定向测量点应定位在X射线测量点的500微米内。在另一实例中,与X射线测量点空间分离的晶片定向测量点应定位在X射线测量点的100微米内。
在另一方面中,计算系统130经配置以过滤从检测器205收集的图像信号以促进测量位置208处晶片表面的实际定向的追踪。在一些实施例中,计算系统130随时间平均化图像信号206(例如移动平均滤波器)以滤除背面晶片瑕疵及信号偏差的影响。一般来说,晶片的背面包含引起反射光203假性重定向及反射光203在检测器205上的入射位置移动的污染物(例如灰尘颗粒)。通过时间平均化由检测器205收集的图像来有效滤除这些假性移动。在一些其它实施例中,计算系统130在空间上过滤图像信号206。在一个实例中,计算系统130通过比较测量点的形状与检测器上点的预期形状来估计反射光束在检测器205上的入射位置。基于入射在晶片上的照明光束的形状及任何光学元件(例如晶片101与检测器205之间的聚焦光学器件204)的已知性质来获知预期形状。使检测器上的点的预期形状与检测器上的实际点拟合,且舍弃预期形状外的非零值像素。
计算系统130追踪随时间收集的图像信号以估计依据时间而变化的晶片定向。在另一方面中,计算系统130将依据时间而变化的晶片定向的估计从时域变换到频域。在一个实例中,计算系统130采用快速傅立叶变换(FFT)算法来提取时间序列数据的频率分量。频率分量的振幅指示受测量晶片的位置稳定性且频率分量本身指示受测量晶片的模态特性。依此方式,晶片定向测量系统用于在测量期间监测晶片模态动力学及位置稳定性。
如本文中所描述,晶片定向测量系统基于反射光在检测器处的入射位置的变化来精确估计晶片在定向测量点处的定向变化。在另一方面中,晶片定向测量系统经校准以识别晶片相对于入射X射线束的定向。依此方式,晶片定向测量系统提供晶片在定向测量点处相对于入射X射线束的定向的准确估计。
在一些实施例中,测量到受测量晶片的正面的距离的距离传感器用于估计晶片在定向测量点处的定向。在这些实施例中,在围绕定向测量点的多个位置处执行距离测量且使平面与数据集拟合以估计晶片相对于安装有距离传感器的参考框架的定向。由距离传感器估计的定向被视为晶片定向测量系统在定向测量点处的测量定向。从校准定向的变化由本文中所描述的晶片定向测量系统测量。
在一些其它实施例中,X射线计量系统本身用于估计晶片在定向测量点处的定向。在这些实施例中,X射线照明光束经引导到晶片的定向测量点处且衍射光由X射线检测器(例如检测器119)检测。晶片透过围绕法向入射的推定定向的一系列定向旋转。测量衍射图案经分析以找到衍射图案围绕其对称的定向。此定向被视为零角,即,法向于入射X射线照明光束的晶片的定向角。零角被视为晶片定向测量系统在定向测量点处的测量零角。从校准零角的变化由本文中所描述的晶片定向测量系统测量。
一般来说,晶片定向测量系统的校准可执行于每个测量位点或晶片表面上的一或多个测量位点处。
在另一方面中,以X射线为基础的计量系统的晶片定位系统基于由本文中所描述的晶片定向测量系统提供的测量来定位受测量晶片。
在一些实施例中,晶片定位系统基于由晶片定向测量系统提供的晶片定向测量依封闭回路方式控制。在一个实例中,计算系统130基于与X射线测量点102重合的定向测量点208来估计晶片101的定向。响应于测量定向,计算系统130将控制命令传送到晶片定位系统140的致动器以基于测量定向与期望定向之间的差将晶片定位在期望定向上。
在一些实施例中,晶片定位系统基于由晶片定向测量系统提供的晶片定向测量依开放回路方式控制。在一个实例中,晶片101在晶片上的若干不同测量位点处的定向的图基于由晶片定向测量系统在每个不同测量位点执行的定向测量来产生。图用于校正在每个测量位点处执行的每个X射线散射测量所采用的定向设置点。
在一个实例中,Z致动器150A到150C经控制以调整晶片101的Z位置、Rx定向、Ry定向或其任何组合。在一个实例中,晶片101的定向由Z致动器150A到150C校正。倾斜校正可基于晶片倾斜的图或由晶片定向测量系统200局部测量的值。一般来说,晶片101的定向可在两个正交方向(例如Rx及Ry定向)上测量。
在一些实施例中,晶片定向测量系统安装到其上安装X射线照明源的参考框架。在这些实施例中,晶片定向测量系统直接测量相对于入射X射线束的定向。
在一些其它实施例中,晶片定向测量系统安装到相对于X射线照明源移动的载台参考框架。在这些实施例中,晶片定向测量系统间接测量相对于入射X射线束的定向。为获得相对于X射线照明源的定向的测量,将相对于载台参考框架的测量定向变换到其上安装X射线照明源的参考框架。此变换依据载台参考框架相对于其上安装X射线照明源的参考框架的移动而变化。例如,图5描绘安装到载台参考框架143的晶片定向测量系统200。在所描绘的实施例中,载台参考框架围绕轴153旋转且在XNF方向上相对于其上安装X射线照明源的参考框架141平移。
本文中所描述的晶片定向测量系统可实施为透射X射线计量系统、反射X射线计量系统或可依反射及透射两种模式操作的以X射线散射测量为基础的计量系统的部分。
图11描绘包含本文中所描述的晶片定向定位系统的反射小角度X射线散射测量(RSAXS)计量系统。
在一些实施例中,半导体晶片的RSAXS测量在波长、入射角及方位角的范围内使用小光束点大小执行。在一个方面中,RSAXS测量使用5度到20度范围内的掠入射角处的软X射线(SXR)区域(即,80eV到3000eV)中的X射线辐射执行。特定测量应用的掠射角经选择以达成到受测量结构中的期望穿透且最大化使用小光束点大小(例如小于50微米)的测量信息量。
如图11中所展示,RSAXS系统300在由入射照明光束点照明的样本301的X射线测量区域302上执行RSAXS测量。此外,RSAXS系统300包含上文所描述的测量晶片301在定向测量点处的定向的晶片定向测量系统200。
在所描绘的实施例中,计量工具300包含X射线照明源310、聚焦光学器件311、光束发散控制狭缝312及狭缝313。X射线照明源310经配置以产生适合于RSAXS测量的SXR辐射。X射线照明源310是多色、高亮度、大展度源。在一些实施例中,X射线照明源310经配置以产生80电子伏特到3000电子伏特之间的范围内的X射线辐射。一般来说,可考虑能够依足以实现高产量、在线计量的通量水平产生高亮度SXR的任何适合高亮度X射线照明源来供应RSAXS测量的X射线照明。
在一些实施例中,X射线源包含使X射线源能够依不同、可选择波长递送X射线辐射的可调谐单色器。在一些实施例中,一或多个X射线源用于确保X射线源依允许足够穿透到受测量样本中的波长供应光。
在一些实施例中,照明源310是高阶谐波产生(HHG)X射线源。在一些其它实施例中,照明源310是摆动器/波动器同步加速器辐射源(SRS)。示范性摆动器/波动器SRS在美国专利第8,941,336号及第8,749,179号中描述,所述专利的全文以引用的方式并入本文中。
在一些其它实施例中,照明源310是激光产生等离子体(LPP)光源。在一些这些实施例中,LPP光源包含氙、氪、氩、氖及氮发射材料中的任何一者。一般来说,适合LPP目标材料的选择根据谐振SXR区域中的亮度来优化。例如,由氪发射的等离子体在硅K边缘处提供高亮度。在另一实例中,由氙发射的等离子体在(80eV到3000eV)的整个SXR区域中提供高亮度。因而,氙是在期望宽带SXR照明时发射材料的良好选择。
LPP目标材料选择也可根据可靠及长寿命光源操作来优化。例如氙、氪及氩的惰性气体目标材料是惰性的且可在极少或无需去污处理的情况下再用于封闭回路操作中。示范性SXR照明源在美国专利申请案第15/867,633号中描述,所述案的全文以引用的方式并入本文中。
在另一方面中,由照明源(例如照明源310)发射的波长是可选择的。在一些实施例中,照明源310是由计算系统330控制以最大化一或多个选定光谱区域中的通量的LPP光源。目标材料处的激光峰值强度控制等离子体温度且因此控制发射辐射的光谱区域。通过调整脉冲能量、脉波宽度或两者来变动激光峰值强度。在一个实例中,100皮秒脉冲宽度适合于产生SXR辐射。如图11中所描绘,计算系统330将命令信号336传送到照明源310以引起照明源310调整从照明源310发射的波长的光谱范围。在一个实例中,照明源310是LPP光源,且此LPP光源调整脉冲持续时间、脉冲频率及目标材料组合物中的任何一者以实现从LPP光源发射的波长的期望光谱范围。
举非限制性实例来说,可采用粒子加速器源、液体阳极源、旋转阳极源、固定固体阳极源、微焦源、微焦旋转阳极源、以等离子体为基础的源及逆康普顿源中的任何一者作为X射线照明源310。
示范性X射线源包含经配置以轰击固体或液体目标以激发X射线辐射的电子束源。用于产生高亮度液体金属X射线照明的方法及系统在2011年4月19日授予科磊公司(KLATencor Corp.)的美国专利第7,929,667号中描述,所述专利的全文以引用的方式并入本文中。
X射线照明源310在具有有限横向尺寸(即,正交于光束轴的非零尺寸)的源区域上产生X射线发射。在一个方面中,照明源110的源区域以小于20微米的横向尺寸为特征。在一些实施例中,源区域以10微米或更小的横向尺寸为特征。小源大小能够使用高亮度照明样本上的小目标区域以因此提高测量精确度、准确度及产量。
一般来说,X射线光学器件使X射线辐射成形且将其引导到样本301。在一些实例中,X射线光学器件使用多层X射线光学器件将X射线束准直或聚焦到样本301的X射线测量区域302上以达小于1毫弧度发散。在一些实施例中,X射线光学器件包含或多个X射线准直镜、X射线孔隙、X射线束光阑、折射X射线光学器件、衍射光学器件(例如波带片)、史瓦兹柴德(Schwarzschild)光学器件、克伯屈-贝兹(Kirkpatrick-Baez)光学器件、蒙特尔(Montel)光学器件、沃尔特(Wolter)光学器件、镜面X射线光学器件(例如椭球镜)、多毛细管光学器件(例如中空毛细管X射线波导)、多层光学器件或系统或其任何组合。进一步细节在美国公开专利第2015/0110249中描述,所述专利的全文以引用的方式并入本文中。
如图11中所描绘,聚焦光学器件311将源辐射聚焦到定位在样本301上的计量目标上。有限横向源尺寸导致由来自源的边缘的射线316及由光束狭缝312及313提供的任何光束成形界定的目标上的有限X射线测量点302。
在一些实施例中,聚焦光学器件311包含椭圆形聚焦光学元件。在图11所描绘的实施例中,聚焦光学器件311在椭圆中心处的放大率约为1。因此,投射到样本301的表面上的照明点大小是大致相同于照明源的大小,归因于标称掠入射角(例如5度到20度)而根据光束展度调整。
在另一方面中,聚焦光学器件311收集源发射且选择一或多个离散波长或光谱带,且依5度到20度范围内的掠入射角将选定光聚焦到样本301上。
标称掠入射角经选择以达成计量目标的期望穿透以最大化留在计量目标边界内的信号信息量。硬X射线的临界角非常小,但软X射线的临界角明显更多。由于此额外测量灵活性,RSAXS测量依对掠入射角的精确值的较低敏感度更深入探测到结构中。
在一些实施例中,聚焦光学器件311包含选择期望波长或波长范围用于投射到样本301上的渐变多层。在一些实例中,聚焦光学器件311包含选择波长且在入射角的范围内将选定波长投射到样本301上的渐变多层结构(例如层或涂层)。在一些实例中,聚焦光学器件311包含选择波长范围且在入射角内将选定波长投射到样本301上的渐变多层结构。在一些实例中,聚焦光学器件311包含选择波长范围且在入射角范围内将选定波长投射到样本301上的渐变多层结构。
渐变多层光学器件优选地最小化在单层光栅结构太深时发生的光损失。一般来说,多层光学器件选择反射波长。选定波长的光谱带宽优化提供到样本301的通量、测量衍射阶中的信息量,且防止透过角色散及检测器处的衍射峰重叠来退化信号。另外,渐变多层光学器件用于控制发散。每个波长处的角发散根据检测器处的通量及最小空间重叠来优化。
在一些实例中,渐变多层光学器件选择波长以增强来自特定材料界面或结构尺寸的衍射信号的对比度及信息量。例如,可选择选定波长以跨元件特定谐振区域(例如硅K边缘、氮、氧K边缘等等)。另外,在这些实例中,照明源也可经调谐以最大化选定光谱区域中的通量(例如HHG光谱调谐、LPP激光调谐等等)。
在一些其它实例中,在测量时几乎没有先前结构信息可用。在这些实例中,多个波长(例如3个到4个)经选择以能够跨吸收边缘测量衍射图案。测量信号能够使用(例如)多波长异常衍射技术,在除受测量结构的元素组合物外没有先前信息的情况下无模型测量结构性质。在基于无模型测量估计结构性质的后,可使用以模型为基础的测量技术进一步细化参数估计。
在一些实例中,受测量的计量目标的异常散射因子(即,散射性质)是先验未知的。在这些实例中,在多个谐振波长处测量膜多层反射率。布拉格峰的角偏移提供足够信息来提取异常散射因子。
在一些实例中,非谐振X射线反射率测量提供多层周期及界面粗糙度参数的独立估计,其改进以模型为基础的测量的拟合。在一些实施例中,组合计量工具包含本文中所描述的多波长SXR衍射子系统及用于改进测量产量的X射线反射测量子系统。在一个实施例中,多波长SXR衍射子系统及X射线反射测量子系统采用能够在不必移动受测量样本或光学测量子系统的任一者的情况下同时测量或循序测量的正交入射面。在一些实施例中,如果由SXR多层镜提供的AOI范围对X射线反射测量来说太小,那么可采用晶片旋转、检测器旋转或两者来扩展入射角的范围。
在一些实施例中,聚焦光学器件311包含各自具有椭圆表面形状的多个反射光学元件。每个反射光学元件包含衬底及经调谐以反射不同波长或波长范围的多层涂层。在一些实施例中,各自反射不同波长或波长范围的多个反射光学元件(例如1个到5个)布置在每个入射角处。在另一实施例中,各自反射不同波长或波长范围的多组(例如2组到5组)反射光学元件各自布置在不同入射角处。在一些实施例中,多组反射光学元件在测量期间将照明光同时投射到样本301上。在一些其它实施例中,多组反射光学元件在测量期间将照明光循序投射到样本301上。在这些实施例中,主动快门或孔隙用于控制投射到样本301上的照明光。
在另一方面中,通过主动定位聚焦光学器件的一或多个镜元件来调整投射到相同计量区域上的波长范围、AOI、方位角或其任何组合。如图11中所描绘,计算系统330将命令信号传送到致动器系统315以引起致动器系统315调整聚焦光学器件311的一或多个光学元件的位置、对准或两者以达成投射到样本301上的期望波长范围、AOI、方位角或其任何组合。
一般来说,针对每个波长选择入射角以优化受测量的计量目标对照明光的穿透及吸收。在许多实例中,测量多层结构且选择入射角以最大化与期望关注层相关联的信号信息。在叠加计量的实例中,入射波长及入射角经选择以最大化由来自先前层及当前层的散射之间的干扰产生的信号信息。另外,方位角也经选择以优化信号信息量。另外,方位角经选择以确保检测器处的衍射峰的角分离。
在另一方面中,RSAX计量系统(例如计量工具300)包含一或多个光束狭缝或孔隙以使入射在样本301上的照明光束314成形且选择性阻挡原本将照明受测量的计量目标的照明光的部分。一或多个光束狭缝界定光束大小及形状,使得X射线照明点适合于受测量的计量目标的区域。另外,一或多个光束狭缝界定照明光束发散以最小化检测器上衍射阶的重叠。
图11描绘定位在聚焦光学器件311与光束成形狭缝313之间的光束路径中的光束发散控制狭缝312。光束发散控制狭缝312限制提供到受测量样本的照明的发散。光束成形狭缝313定位在光束发散控制狭缝312与样本301之间的光束路径中。光束成形狭缝313进一步使入射光束314成形且选择入射光束314的照明波长。光束成形狭缝313定位在样本301之前的紧邻光束路径中。在一个方面中,光束成形狭缝313的缝隙紧密接近样本301定位以最小化归因于由有限源大小界定的光束发散的入射光束点大小的扩大。
X射线检测器319收集从样本101散射的X射线辐射318且根据RSAXS测量模态产生指示对入射X射线辐射敏感的样本301的性质的输出信号335。在一些实施例中,当样本定位系统340定位及定向样本301以产生角解析散射X射线时,散射X射线318由X射线检测器319收集。
在一些实施例中,RSAXS系统包含具有高动态范围(例如大于105)的一或多个光子计数检测器。在一些实施例中,单光子计数检测器检测所检测的光子的位置及数目。
在一些实施例中,X射线检测器解析一或多个X射线光子能且产生指示样本的性质的每个X射线能量分量的信号。在一些实施例中,X射线检测器319包含CCD阵列、微信道板、光二极管阵列、微带比例计数器、充气比例计数器、闪烁器或荧光材料中的任何一者。
依此方式,检测器内的X射线光子交互由能量及像素位置及计数数目判别。在一些实施例中,通过比较X射线光子交互的能量与预定上阈值及预定下阈值来判别X射线光子交互。在一个实施例中,此信息经由输出信号135传送到计算系统330用于进一步处理及存储。
归因于衍射的角色散,由使用多个照明波长同时照明周期性目标产生的衍射图案在检测器平面处分离。在这些实施例中,采用积分检测器。使用区域检测器(例如真空兼容背面CCD或混合像素阵列检测器)测量衍射图案。角取样根据布拉格峰积分来优化。如果采用像素级模型拟合,那么角取样可根据信号信息量来优化。采样率经选择以防止零阶信号饱和。
在另一方面中,RSAXS系统用于基于散射光的一或多个衍射阶来确定样本的性质(例如结构参数值)。如图11中所描绘,计量工具300包含用于获取由检测器319产生的信号335且至少部分基于所获取的信号来确定样本的性质的计算系统330。
在一些实例中,基于RSAXS的计量涉及通过使用测量数据逆解算预定测量模型来确定样品的尺寸。测量模型包含数个(约10个)可调参数且表示样本的几何及光学性质及测量系统的光学性质。逆解算的方法包含(但不限于)以模型为基础的回归、断层扫描、机器学习或其任何组合。依此方式,通过解算使测量散射X射线强度与模型化结果之间的误差最小化的参数化测量模型的值来估计目标轮廓参数。
可期望在波长、入射角及方位角的大范围内执行测量以提高测量参数值的精确度及准确度。此方法通过扩展可供分析的数据集的数目及多样性来降低参数之间的相关性。
收集依据照明波长及相对于晶片表面法线的X射线入射角而变化的衍射辐射的强度的测量。多个衍射阶中所含的信息在所考虑的每个模型参数之间通常是唯一的。因此,X射线散射产生具有小误差及降低参数相关性的关注参数值的估计结果。
在另一方面中,计算系统130经配置以产生样本的测量结构的结构模型(例如几何模型、材料模型或几何与材料组合模型)、产生包含来自结构模型的至少几何参数的T-SAXS响应模型及通过使用T-SAXS响应模型执行T-SAXS测量数据的拟合分析来解析至少样本参数值。分析引擎用于比较模拟T-SAXS信号与测量数据以借此允许确定几何及材料性质,例如样品的电子密度。在图1所描绘的实施例中,计算系统130经配置为模型构建及分析引擎,其经配置以实施本文中所描述的模型构建及分析功能。
图12是说明由计算系统130实施的示范性模型构建及分析引擎180的图。如图12中所描绘,模型构建及分析引擎180包含结构模型构建模块181,其产生样本的测量结构的结构模型182。在一些实施例中,结构模型182也包含样本的材料性质。结构模型182作为输入接收到T-SAXS响应函数构建模块183。T-SAXS响应函数构建模块183至少部分基于结构模型182产生T-SAXS响应函数模型184。在一些实例中,T-SAXS响应函数模型184是基于X射线形状因子,
Figure BDA0003807337370000231
其中F是形状因子,q是散射向量,且ρ(r)是球坐标中的样本的电子密度。接着,X射线散射强度如下给出:
Figure BDA0003807337370000232
T-SAXS响应函数模型184作为输入接收到拟合分析模块185。拟合分析模块185比较模型化T-SAXS响应与对应测量数据以确定样本的几何及材料性质。
在一些实例中,通过最小化卡方值来达成模型化数据与实验数据拟合。例如,针对T-SAXS测量,卡方值可界定为
Figure BDA0003807337370000233
其中
Figure BDA0003807337370000234
是“信道”j中的测量T-SAXS信号135,其中指数j描述例如衍射阶、能量、角坐标等等的一组系统参数。
Figure BDA0003807337370000235
是针对一组结构(目标)参数v1,…,vL评估的“信道”j的模型化T-SAXS信号Sj,其中这些参数描述几何(CD、侧壁角、叠加等等)及材料(电子密度等等)。σSAXS,j是与第j通道相关联的不准度。NSAXS是X射线计量中的信道总数目。L是特征化计量目标的参数数目。
方程式(3)假定与不同通道相关联的不准度是不相关的。在其中与不同通道相关联的不准度是相关的实例中,可运算不准度之间的共变异数。在这些实例中,T-SAXS测量的卡方值可表示为
Figure BDA0003807337370000241
其中VSAXS是SAXS通道不准度的共变异数矩阵,且T表示转置。
在一些实例中,拟合分析模块185通过使用T-SAXS响应模型184对T-SAXS测量数据135执行拟合分析来解析至少样本参数值。在一些实例中,
Figure BDA0003807337370000242
是优化的。
如上文所描述,通过最小化卡方值来达成T-SAXS资料的拟合。然而,一般来说,T-SAXS数据的拟合可由其它函数达成。
T-SAXS计量数据的拟合有利于提供对关注几何及/或材料参数的敏感度的任何类型的T-SAXS技术。只要使用描述T-SAXS光束与样本交互的适当模型,那么样本参数可为确定性的(例如CD、SWA等等)或统计性的(例如侧壁粗糙度的高度、粗糙度相关长度等等的均方根)。
一般来说,计算系统130经配置以采用实时临界尺寸标注(RTCD)来实时存取模型参数,或其可存取预计算模型库以确定与样本101相关联的至少样本参数值的值。一般来说,一些形式的CD引擎可用于评估样本的指定CD参数与测量样本相关联的CD参数之间的差。用于计算样本参数值的示范性方法及系统在科磊公司(KLA-Tencor Corp.)在2010年11月2日发布的美国专利第7,826,071号中描述,所述专利的全文以引用的方式并入本文中。
在一些实例中,模型构建及分析引擎180通过侧馈分析、前馈分析及并行分析的任何组合来改进测量参数的准确度。侧馈分析是指在相同样本的不同区域上取得多个数据集及将从第一数据集确定的共同参数传递到第二数据集用于分析。前馈分析是指在不同样本上取得数据集及使用逐步复制准确参数前馈方法将共同参数向前传递到后续分析。并行分析是指将非线性拟合方法并行或同时应用到多个数据集,其中在拟合期间耦合至少共同参数。
多工具及结构分析是指基于回归、查找表(即,“库”匹配)或多个数据集的另一拟合过程的前馈、侧馈或并行分析。多工具及结构分析的示范性方法及系统在科磊公司(KLA-Tencor Corp.)在2009年1月13日发布的美国专利第7,478,019号中描述,所述专利的全文以引用的方式并入本文中。
在另一方面中,基于在入射X射线束相对于测量目标的单个定向上执行的T-SAXS测量来确定一或多个关注参数的值的初始估计。初始估计值实施为关注参数的起始值以使用在多个定向上从T-SAXS测量收集的测量数据来使测量模型回归。依此方式,使用相对较少计算工作量来确定关注参数的接近估计,且通过实施此接近估计作为明显更大数据集上的回归的起始点,使用较少总计算工作量来获得关注参数的细化估计。
在另一方面中,计量工具100包含经配置以实施本文中所描述的光束控制功能的计算系统(例如计算系统130)。在图1所描绘的实施例中,计算系统130经配置为光束控制器,其可操作以控制入射照明光束116的例如强度、发散度、点大小、偏振、光谱及定位的任何照明性质。
如图1中所说明,计算系统130通信地耦合到检测器119。计算系统130经配置以从检测器119接收测量数据135。在一个实例中,测量数据135包含样本的测量响应的指示(即,衍射阶的强度)。基于检测器119的表面上的测量响应的分布,由计算系统130确定照明光束116在样本101上的入射位置及区域。在一个实例中,图案辨识技术由计算系统130应用以基于测量数据135确定照明光束116在样本101上的入射位置及区域。在一些实例中,计算系统130将命令信号137传送到X射线照明源110以选择期望照明波长。在一些实例中,计算系统130将命令信号138传送到致动器子系统111'以相对于基底框架141重定向X射线发射以达成期望光束方向。在一些实例中,计算系统130将命令信号136传送到光束成形狭缝机制120以改变光束点大小,使得入射照明光束116以期望光束点大小及定向到达样本101。在一个实例中,命令信号136引起图5中所描绘的旋转致动器122使光束成形狭缝机制120相对于样本101旋转到期望定向。在另一实例中,命令信号136引起与狭缝126到129中的每一者相关联的致动器改变位置以使入射光束116重新成形为期望形状及大小。在一些其它实例中,计算系统130将命令信号传送到晶片定位系统140以定位及定向样本101,使得入射照明光束116到达相对于样本101的期望位置及角定向。
应认识到,本发明中所描述的各种步骤可由单个计算机系统(例如计算系统130及330)或替代地,多计算机系统实施。此外,计量系统100及300的不同子系统(例如样本定位系统140及340及晶片定向测量系统200)可包含适合于实施本文中所描述的步骤的至少部分的计算机系统。因此,以上描述不应被解译为对本发明的限制,而是仅为说明。此外,一或多个计算系统130及330可经配置以执行本文中所描述的任何方法实施例的任何其它步骤。
另外,计算机系统130及330可依本技术中已知的任何方式通信地耦合到X射线照明源110及310、照明源201、光束成形狭缝机制120及320、样本定位系统140及340及检测器119、205及319。例如,一或多个计算系统130及330可分别耦合到与X射线照明源110及310、照明源201、光束成形狭缝机制120及320、样本定位系统140及340及检测器119、205及319相关联的计算系统。在另一实例中,X射线照明源110及310、照明源201、光束成形狭缝机制120及320、样本定位系统140及340及检测器119、205及319中的任何一者可分别由耦合到计算机系统130及330的单个计算机系统直接控制。
计算机系统130及330可经配置以通过可包含有线及/或无线部分的传输媒体从系统的子系统(例如X射线照明源110、201及310、光束成形狭缝机制120及320、样本定位系统140及340、检测器119、205及319及其类似者)接收及/或获取数据或信息。依此方式,传输媒体可分别充当计算机系统130及330与系统100及300的其它子系统之间的数据链路。
计量系统100及300的计算机系统130及330可分别经配置以通过可包含有线及/或无线部分的传输媒体从其它系统接收及/或获取数据或信息(例如测量结果、模型化输入、模型化结果等等)。依此方式,传输媒体可充当计算机系统130及330与其它系统(例如存储器板载计量系统100及300、外部存储器或外部系统)之间的数据链路。例如,计算系统130可经配置以经由数据链路从存储媒体(即,存储器132或190)接收X射线测量数据或晶片定向测量数据(例如信号135)。例如,使用检测器119获得的光谱结果、使用检测器205获得的晶片定向测量结果或两者可存储于永久或半永久存储器装置(例如存储器132或190)中。就此来说,测量结果可从板载存储器或从外部存储器系统输入。此外,计算机系统130及330可经由传输媒体将数据发送到其它系统。例如,由计算机系统130确定的样本参数值186可存储在永久或半永久存储器装置(例如存储器190)中。就此来说,测量结果可输出到另一系统。
计算系统130及330可包含(但不限于)个人计算机系统、云端计算系统、主计算机系统、工作站、图像计算机、并行处理器或本技术中已知的任何其它装置。一般来说,术语“计算系统”可经广义界定为涵盖具有执行来自存储器媒体的指令的一或多个处理器的任何装置。
实施方法(例如本文中所描述的方法)的程序指令134及334可在例如导线、电缆或无线传输链路的传输媒体上传输。例如,如图1中所说明,存储在存储器132中的程序指令在总线133上传输到处理器131。程序指令134存储在计算机可读媒体(例如存储器132)中。在另一实例中,如图11中所说明,存储在存储器332中的程序指令在总线333上传输到处理器331。程序指令334存储在计算机可读媒体(例如存储器332)中。示范性计算机可读媒体包含只读存储器、随机存取存储器、磁盘或光盘或磁带。
图13说明适合于由本发明的计量系统100及300实施的方法400。在一个方面中,应认识到,方法400的数据处理框可经由计算系统130或计算系统330的一或多个处理器执行的预编程算法实施。尽管以下描述呈现在计量系统100及300的背景中,但此处应认识到,计量系统100及300的特定结构方面不表示限制,而是应被解译为仅供说明。
在框401中,在X射线测量点处使用X射线照明光束照明半导体晶片。
在框402中,响应于入射X射线照明光束而从半导体晶片检测X射线辐射量。
在框403中,在半导体晶片上的定向测量点上使用光学照明光束照明半导体晶片。
在框404中,将响应于入射光学照明光束而从半导体晶片反射的光量聚焦到检测器的感光表面上。
在框405中,在检测器的感光表面处检测响应于入射光学照明光束而从半导体晶片反射的光。
在框406中,基于从半导体晶片反射的光在检测器的感光表面上的入射位置的变化来估计半导体晶片在定向测量点处的定向变化。
在框407中,基于X射线辐射的检测量来确定特征化放置在半导体晶片上的结构的关注参数的值。
在一些实施例中,本文中所描述的散射测量经实施为制程工具的部分。制程工具的实例包含(但不限于)光刻曝光工具、膜沉积工具、植入工具及蚀刻工具。依此方式,T-SAXS分析的结果用于控制制程。在一个实例中,从一或多个目标收集的T-SAXS测量数据发送到制程工具。如本文中所描述分析T-SAXS测量数据且使用结果来调整制程工具的操作。
本文中所描述的散射测量可用于确定各种半导体结构的特性。示范性结构包含(但不限于)FinFET、低维结构(例如纳米线或石墨烯)、亚10nm结构、光刻结构、贯穿衬底通孔(TSV)、存储器结构(例如DRAM、DRAM 4F2、FLASH、MRAM及高宽高比存储器结构)。示范性结构特性包含(但不限于)几何参数(例如线边缘粗糙度、线宽粗糙度、孔径、孔密度、侧壁角、轮廓、临界尺寸、间距、厚度、叠加)及材料参数(例如电子密度、组合物、裸片结构、形态、应力、应变及元素识别)。在一些实施例中,计量目标是周期性结构。在一些其它实施例中,计量目标是非周期的。
在一些实例中,使用本文中所描述的T-SAXS测量系统执行包含(但不限于)以下每一者的高宽高比半导体结构的临界尺寸、厚度、叠加及材料性质的测量:自旋转移力矩随机存取存储器(STT-RAM)、三维NAND存储器(3D-NAND)或垂直NAND存储器(V-NAND)、动态随机存取存储器(DRAM),三维FLASH存储器(3D-FLASH)、电阻随机存取存储器(Re-RAM)及相变随机存取存储器(PC-RAM)。
如本文中所描述,术语“临界尺寸”包含结构的任何临界尺寸(例如底部临界尺寸、中间临界尺寸、顶部临界尺寸、侧壁角、光栅高度等等)、任何两个或更多个结构之间的临界尺寸(例如两个结构之间的距离)及两个或更多个结构之间的位移(例如叠加光栅结构之间的叠加位移等等)。结构可包含三维结构、图案化结构、叠加结构等等。
如本文中所描述,术语“临界尺寸应用”或“临界尺寸测量应用”包含任何临界尺寸测量。
如本文中所描述,术语“计量系统”包含至少部分用于特征化任何方面中的样本的任何系统,其包含临界尺寸应用及叠加计量应用。然而,这些技术术语不限制本文中所描述的术语“计量系统”的范围。另外,本文中所描述的计量系统可经配置用于测量图案化晶片及/或非图案化晶片。计量系统可经配置为LED检测工具、边缘检测工具、背面检测工具、宏检测工具或多模式检测工具(同时涉及来自一或多个平台的数据)及受益于本文中所描述的测量技术的任何其它计量或检测工具。
本文中描述可用于处理样本的半导体处理系统(例如检测系统或光刻系统)的各种实施例。术语“样本”在本文中用于是指可由本技术中已知的构件处理(例如印刷或检测缺陷)的晶片、光罩或任何其它样品。
如本文中所使用,术语“晶片”一般是指由半导体或非半导体材料形成的衬底。实例包含(但不限于)单晶硅、砷化镓及磷化铟。这些衬底通常可在半导体制造设施中找到及/或处理。在一些情况中,晶片可仅包含衬底(即,裸晶片)。替代地,晶片可包含形成在衬底上的一或多个不同材料层。形成在晶片上的一或多个层可“经图案化”或“未经图案化”。例如,晶片可包含具有可重复图案特征的多个裸片。
“光罩”可为光罩制程的任何阶段中的光罩,或为可或不可释放用于半导体制造设施中的完成光罩。光罩或“掩模”一般界定为具有形成在其上且依图案配置的大体上不透明区域的大体上透明衬底。衬底可包含(例如)玻璃材料,例如非晶SiO2。光罩可在光刻过程的曝光步骤期间放置在抗蚀剂覆盖晶片上,使得光罩上的图案可转移到抗蚀剂。
形成在晶片上的一或多个层可经图案化或未经图案化。例如,晶片可包含各自具有可重复图案特征的多个裸片。这些材料层的形成及处理最终可导致完成装置。许多不同类型的装置可形成在晶片上,且本文中所使用的术语“晶片”希望涵盖其上制造本技术中已知的任何类型的装置的晶片。
在一或多个示范性实施例中,所描述的功能可实施在硬件、软件、固件或其任何组合中。如果实施在软件中,那么功能可作为一或多个指令或过程代码存储在计算机可读媒体上或由计算机可读媒体传输。计算机可读媒体包含计算机存储媒体及通信媒体两者,其包含促进计算机过程从一个位置转移到另一位置的任何媒体。存储媒体可为可由通用或专用计算机存取的任何可用媒体。举例来说(但不限制),这些计算机可读媒体可包括RAM、ROM、EEPROM、CD-ROM或其它光盘存储装置、磁盘存储装置或其它磁性存储装置或可用于以指令或数据结构的形式携带或存储期望程序代码构件且可由通用或专用计算机或通用或专用处理器存取的任何其它媒体。此外,任何连接适当称为计算机可读媒体。例如,如果使用同轴电缆、光纤电缆、双绞线、数字用户线(DSL)或无线技术(例如红外线、无线电及微波)从网站、服务器或其它远程源传输软件,那么同轴电缆、光纤电缆、双绞线、DSL或无线技术(例如红外线、无线电及微波)包含在媒体的界定中。本文中所使用的磁盘及光盘包含光盘(CD)、激光光盘、XRF光盘、数字多功能光盘(DVD)、软盘及蓝光光盘,其中磁盘通常磁性地复制数据,而光盘使用激光光学地复制数据。上述的组合也应包含在计算机可读媒体的范围内。
尽管上文为了教学而描述某些特定实施例,但本专利文件的教示具有一般适用性且不受限于上述特定实施例。因此,可在不背离权利要求书中所阐述的本发明的范围的情况下实践所描述的实施例的各种特征的各种修改、调适及组合。

Claims (24)

1.一种计量系统,其包括:
X射线照明源,其经配置以产生在X射线测量点处入射在半导体晶片上的X射线照明光束;
X射线检测器,其经配置以检测响应于所述入射X射线照明光束而来自所述半导体晶片的X射线辐射量;
晶片定向测量系统,其包括:
光学照明源,其经配置以产生引导到所述半导体晶片上的定向测量点的照明光束;
光学检测器,其经配置以检测响应于所述入射光学照明光束而从所述半导体晶片反射的光;
一或多个聚焦光学元件,其经配置以将响应于所述入射照明光束而从所述半导体晶片反射的所述光聚焦到所述检测器上,其中所述检测器定位在所述一或多个聚焦光学元件的焦平面处或所述焦平面附近;及
计算系统,其经配置以基于从所述半导体晶片反射的所述光在所述光学检测器上的入射位置的变化来估计所述半导体晶片在所述定向测量点处的定向变化。
2.根据权利要求1所述的计量系统,其中所述X射线照明源及所述X射线检测器经配置成透射测量模式、反射测量模式或其组合。
3.根据权利要求1所述的计量系统,其中所述半导体晶片在所述定向测量点处的所述定向变化是围绕正交于法向于所述半导体晶片的平坦表面的轴的轴。
4.根据权利要求1所述的计量系统,其中所述X射线测量点及所述定向测量点在所述半导体晶片的区域上重合。
5.根据权利要求1所述的计量系统,其中所述X射线测量点及所述定向测量点同时入射在所述半导体晶片上。
6.根据权利要求1所述的计量系统,其中所述光学照明源是以激光为基础。
7.根据权利要求1所述的计量系统,其进一步包括:
样本定位系统,其经配置以主动控制所述半导体晶片围绕正交于法向于所述半导体晶片的平坦表面的轴的轴的定向,其中所述样本定位系统基于由所述定向测量系统测量的所述半导体晶片在所述定向测量点处的所述定向变化来调整所述半导体晶片围绕正交于法向于所述半导体晶片的所述平坦表面的所述轴的所述轴的所述定向。
8.根据权利要求1所述的计量系统,其进一步包括:
机器框架,其上附接有所述晶片定向测量系统的所述光学照明源、所述光学检测器及所述一或多个聚焦光学元件及所述X射线照明源。
9.根据权利要求1所述的计量系统,其进一步包括:
第一机器框架,其上附接有所述晶片定向测量系统的所述光学照明源、所述光学检测器及所述一或多个聚焦光学元件;
第二机器框架,其上附接有所述X射线照明源,其中所述第一机器框架相对于所述第二机器框架移动。
10.根据权利要求1所述的计量系统,所述计算系统进一步经配置以在时间上、空间上或其组合上过滤由所述光学检测器在所述定向测量点处产生的信号。
11.根据权利要求1所述的计量系统,所述计算系统进一步经配置以将所述半导体晶片在所述定向测量点处的定向的估计从时域变换到频域,其中所述频域中的所述半导体晶片的所述定向的所述估计指示测量期间的晶片模态动力学及位置稳定性。
12.一种方法,其包括:
在X射线测量点处使用X射线照明光束照明半导体晶片;
检测响应于所述入射X射线照明光束而来自所述半导体晶片的X射线辐射量;
在所述半导体晶片上的定向测量点上使用光学照明光束照明所述半导体晶片;
将响应于所述入射光学照明光束而从所述半导体晶片反射的光量聚焦到检测器的感光表面上;
在所述检测器的所述感光表面处检测响应于所述入射光学照明光束而从所述半导体晶片反射的光;
基于从所述半导体晶片反射的所述光在所述检测器的所述感光表面上的入射位置的变化来估计所述半导体晶片在所述定向测量点处的定向变化;及
基于X射线辐射的所述检测量来确定特征化放置在所述半导体晶片上的结构的关注参数的值。
13.根据权利要求12所述的方法,其中所述半导体晶片在所述定向测量点处的所述定向变化是围绕正交于法向于所述半导体晶片的平坦表面的轴的轴。
14.根据权利要求12所述的方法,其中所述X射线测量点及所述定向测量点在所述半导体晶片的区域上重合。
15.根据权利要求14所述的方法,其中所述X射线测量点及所述定向测量点同时入射在所述半导体晶片上。
16.根据权利要求12所述的方法,其进一步包括:
基于由所述定向测量系统测量的所述半导体晶片在所述定向测量点处的所述定向变化来调整所述半导体晶片围绕正交于法向于所述半导体晶片的所述平坦表面的所述轴的所述轴的所述定向。
17.根据权利要求12所述的方法,其进一步包括:
在时间上、空间上或两者上过滤由所述光学检测器在所述定向测量点处产生的信号。
18.根据权利要求12所述的方法,其进一步包括:
将所述半导体晶片在所述定向测量点处的定向的估计从时域变换到频域,其中所述频域中的所述半导体晶片的所述定向的所述估计指示测量期间的晶片模态动力学及位置稳定性。
19.一种晶片定向测量系统,其包括:
光学照明源,其经配置以产生引导到半导体晶片上的定向测量点的照明光束;
光学检测器,其经配置以检测响应于所述入射光学照明光束而从所述半导体晶片反射的光;
一或多个聚焦光学元件,其经配置以将响应于所述入射照明光束而从所述半导体晶片反射的所述光聚焦到所述检测器上,其中所述检测器定位在所述一或多个聚焦光学元件的焦平面处或所述焦平面附近;及
计算系统,其经配置以基于从所述半导体晶片反射的所述光在所述光学检测器上的入射位置的变化来估计所述半导体晶片在所述定向测量点处相对于X射线照明源的定向变化,其中所述X射线照明源经配置以产生在X射线测量点处入射在所述半导体晶片上的X射线照明光束。
20.根据权利要求19所述的晶片定向测量系统,其中所述X射线测量点及所述定向测量点在所述半导体晶片的区域上重合。
21.根据权利要求19所述的晶片定向测量系统,其中所述X射线测量点及所述定向测量点同时入射在所述半导体晶片的所述区域上。
22.根据权利要求19所述的晶片定向测量系统,其中所述光学照明源是以激光为基础。
23.根据权利要求19所述的晶片定向测量系统,所述计算系统进一步经配置以在时间上、空间上或其组合上过滤由所述光学检测器在所述定向测量点处产生的信号。
24.根据权利要求19所述的晶片定向测量系统,所述计算系统进一步经配置以将所述半导体晶片在所述定向测量点处的定向的估计从时域变换到频域,其中所述频域中的所述半导体晶片的所述定向的所述估计指示测量期间的晶片模态动力学及位置稳定性。
CN202180015920.9A 2020-02-20 2021-02-17 用于以x射线为基础的计量学的晶片倾斜的测量及控制 Pending CN115151787A (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US202062979274P 2020-02-20 2020-02-20
US62/979,274 2020-02-20
US17/013,618 US11513085B2 (en) 2020-02-20 2020-09-06 Measurement and control of wafer tilt for x-ray based metrology
US17/013,618 2020-09-06
PCT/US2021/018304 WO2021167935A1 (en) 2020-02-20 2021-02-17 Measurement and control of wafer tilt for x-ray based metrology

Publications (1)

Publication Number Publication Date
CN115151787A true CN115151787A (zh) 2022-10-04

Family

ID=77365187

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202180015920.9A Pending CN115151787A (zh) 2020-02-20 2021-02-17 用于以x射线为基础的计量学的晶片倾斜的测量及控制

Country Status (6)

Country Link
US (1) US11513085B2 (zh)
JP (1) JP2023515470A (zh)
KR (1) KR20220140826A (zh)
CN (1) CN115151787A (zh)
TW (1) TW202138756A (zh)
WO (1) WO2021167935A1 (zh)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20240162074A1 (en) * 2022-11-10 2024-05-16 Kla Corporation Methods And Systems For Measurement Of Semiconductor Structures With Active Tilt Correction
CN115876106A (zh) * 2022-12-15 2023-03-31 西安奕斯伟材料科技有限公司 一种硅片直径的测量方法、装置及计算机存储介质

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6197117B1 (en) * 1997-07-23 2001-03-06 Applied Materials, Inc. Wafer out-of-pocket detector and susceptor leveling tool
US20090040525A1 (en) * 2007-08-10 2009-02-12 Azmi Kadkly Systems configured to inspect a wafer
CN102113089A (zh) * 2008-03-05 2011-06-29 伊利诺伊大学评议会 可拉伸和可折叠的电子器件
CN104583872A (zh) * 2012-07-10 2015-04-29 科磊股份有限公司 用于组合式x-射线及光学计量的模型建立及分析引擎
US20150355108A1 (en) * 2014-06-09 2015-12-10 Samsung Electronics Co., Ltd. Inspection system and methods of fabricating and inspecting semiconductor device using the same
US10012606B1 (en) * 2014-06-24 2018-07-03 Kla-Tencor Corporation X-ray based metrology with primary and secondary illumination sources
US20190017946A1 (en) * 2017-07-11 2019-01-17 Kla-Tencor Corporation Methods And Systems For Semiconductor Metrology Based On Polychromatic Soft X-Ray Diffraction
CN109863583A (zh) * 2016-10-21 2019-06-07 科磊股份有限公司 基于小角度x射线散射测量的计量系统的校准
CN109964116A (zh) * 2016-11-30 2019-07-02 科磊股份有限公司 用于三维半导体结构的检验的缺陷发现及配方优化

Family Cites Families (49)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5608526A (en) 1995-01-19 1997-03-04 Tencor Instruments Focused beam spectroscopic ellipsometry method and system
US6023338A (en) 1996-07-12 2000-02-08 Bareket; Noah Overlay alignment measurement of wafers
US5859424A (en) 1997-04-08 1999-01-12 Kla-Tencor Corporation Apodizing filter system useful for reducing spot size in optical measurements and other applications
KR100589108B1 (ko) 2000-03-10 2006-06-13 삼성전자주식회사 패터닝 에러를 방지할 수 있는 노광장치
US6429943B1 (en) 2000-03-29 2002-08-06 Therma-Wave, Inc. Critical dimension analysis with simultaneous multiple angle of incidence measurements
US6787773B1 (en) 2000-06-07 2004-09-07 Kla-Tencor Corporation Film thickness measurement using electron-beam induced x-ray microanalysis
US7541201B2 (en) 2000-08-30 2009-06-02 Kla-Tencor Technologies Corporation Apparatus and methods for determining overlay of structures having rotational or mirror symmetry
US7068833B1 (en) 2000-08-30 2006-06-27 Kla-Tencor Corporation Overlay marks, methods of overlay mark design and methods of overlay measurements
US7317531B2 (en) 2002-12-05 2008-01-08 Kla-Tencor Technologies Corporation Apparatus and methods for detecting overlay errors using scatterometry
US20030002043A1 (en) 2001-04-10 2003-01-02 Kla-Tencor Corporation Periodic patterns and technique to control misalignment
US6716646B1 (en) 2001-07-16 2004-04-06 Advanced Micro Devices, Inc. Method and apparatus for performing overlay measurements using scatterometry
JP4938219B2 (ja) 2001-12-19 2012-05-23 ケーエルエー−テンカー コーポレイション 光学分光システムを使用するパラメトリック・プロフィーリング
US6778275B2 (en) 2002-02-20 2004-08-17 Micron Technology, Inc. Aberration mark and method for estimating overlay error and optical aberrations
CN100337089C (zh) 2002-09-20 2007-09-12 Asml荷兰有限公司 器件检验
US6992764B1 (en) 2002-09-30 2006-01-31 Nanometrics Incorporated Measuring an alignment target with a single polarization state
US7842933B2 (en) 2003-10-22 2010-11-30 Applied Materials Israel, Ltd. System and method for measuring overlay errors
US6937337B2 (en) 2003-11-19 2005-08-30 International Business Machines Corporation Overlay target and measurement method using reference and sub-grids
US7321426B1 (en) 2004-06-02 2008-01-22 Kla-Tencor Technologies Corporation Optical metrology on patterned samples
US7478019B2 (en) 2005-01-26 2009-01-13 Kla-Tencor Corporation Multiple tool and structure analysis
JP4585926B2 (ja) 2005-06-17 2010-11-24 株式会社日立ハイテクノロジーズ パターンレイヤーデータ生成装置、それを用いたパターンレイヤーデータ生成システム、半導体パターン表示装置、パターンレイヤーデータ生成方法、及びコンピュータプログラム
US7567351B2 (en) 2006-02-02 2009-07-28 Kla-Tencor Corporation High resolution monitoring of CD variations
JP4887062B2 (ja) 2006-03-14 2012-02-29 株式会社日立ハイテクノロジーズ 試料寸法測定方法、及び試料寸法測定装置
KR100763712B1 (ko) * 2006-07-12 2007-10-04 동부일렉트로닉스 주식회사 웨이퍼 척의 레벨링 보정 장치 및 그 방법
US7406153B2 (en) 2006-08-15 2008-07-29 Jordan Valley Semiconductors Ltd. Control of X-ray beam spot size
US7873585B2 (en) 2007-08-31 2011-01-18 Kla-Tencor Technologies Corporation Apparatus and methods for predicting a semiconductor parameter across an area of a wafer
US7929667B1 (en) 2008-10-02 2011-04-19 Kla-Tencor Corporation High brightness X-ray metrology
US8068662B2 (en) 2009-03-30 2011-11-29 Hermes Microvision, Inc. Method and system for determining a defect during charged particle beam inspection of a sample
JP5764380B2 (ja) 2010-04-29 2015-08-19 エフ イー アイ カンパニFei Company Sem画像化法
US9046475B2 (en) 2011-05-19 2015-06-02 Applied Materials Israel, Ltd. High electron energy based overlay error measurement methods and systems
US10107621B2 (en) 2012-02-15 2018-10-23 Nanometrics Incorporated Image based overlay measurement with finite gratings
US10801975B2 (en) 2012-05-08 2020-10-13 Kla-Tencor Corporation Metrology tool with combined X-ray and optical scatterometers
US9129715B2 (en) 2012-09-05 2015-09-08 SVXR, Inc. High speed x-ray inspection microscope
WO2014062972A1 (en) 2012-10-18 2014-04-24 Kla-Tencor Corporation Symmetric target design in scatterometry overlay metrology
US9581430B2 (en) 2012-10-19 2017-02-28 Kla-Tencor Corporation Phase characterization of targets
US10769320B2 (en) 2012-12-18 2020-09-08 Kla-Tencor Corporation Integrated use of model-based metrology and a process model
US9291554B2 (en) 2013-02-05 2016-03-22 Kla-Tencor Corporation Method of electromagnetic modeling of finite structures and finite illumination for metrology and inspection
US9826614B1 (en) 2013-03-15 2017-11-21 Kla-Tencor Corporation Compac X-ray source for semiconductor metrology
US10101670B2 (en) 2013-03-27 2018-10-16 Kla-Tencor Corporation Statistical model-based metrology
US9846132B2 (en) 2013-10-21 2017-12-19 Kla-Tencor Corporation Small-angle scattering X-ray metrology systems and methods
US9885962B2 (en) 2013-10-28 2018-02-06 Kla-Tencor Corporation Methods and apparatus for measuring semiconductor device overlay using X-ray metrology
WO2015167753A2 (en) 2014-04-03 2015-11-05 Massachusetts Institute Of Technology Compact x-ray source for cd-saxs
US9494535B2 (en) 2014-04-21 2016-11-15 Kla-Tencor Corporation Scatterometry-based imaging and critical dimension metrology
US10152678B2 (en) 2014-11-19 2018-12-11 Kla-Tencor Corporation System, method and computer program product for combining raw data from multiple metrology tools
US10324050B2 (en) 2015-01-14 2019-06-18 Kla-Tencor Corporation Measurement system optimization for X-ray based metrology
KR102512180B1 (ko) 2015-04-28 2023-03-20 케이엘에이 코포레이션 계산 효율적인 x 선 기반의 오버레이 측정
US10352695B2 (en) 2015-12-11 2019-07-16 Kla-Tencor Corporation X-ray scatterometry metrology for high aspect ratio structures
US10775323B2 (en) 2016-10-18 2020-09-15 Kla-Tencor Corporation Full beam metrology for X-ray scatterometry systems
US11073487B2 (en) 2017-05-11 2021-07-27 Kla-Tencor Corporation Methods and systems for characterization of an x-ray beam with high spatial resolution
US11519869B2 (en) 2018-03-20 2022-12-06 Kla Tencor Corporation Methods and systems for real time measurement control

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6197117B1 (en) * 1997-07-23 2001-03-06 Applied Materials, Inc. Wafer out-of-pocket detector and susceptor leveling tool
US20090040525A1 (en) * 2007-08-10 2009-02-12 Azmi Kadkly Systems configured to inspect a wafer
CN102113089A (zh) * 2008-03-05 2011-06-29 伊利诺伊大学评议会 可拉伸和可折叠的电子器件
CN104583872A (zh) * 2012-07-10 2015-04-29 科磊股份有限公司 用于组合式x-射线及光学计量的模型建立及分析引擎
US20150355108A1 (en) * 2014-06-09 2015-12-10 Samsung Electronics Co., Ltd. Inspection system and methods of fabricating and inspecting semiconductor device using the same
US10012606B1 (en) * 2014-06-24 2018-07-03 Kla-Tencor Corporation X-ray based metrology with primary and secondary illumination sources
CN109863583A (zh) * 2016-10-21 2019-06-07 科磊股份有限公司 基于小角度x射线散射测量的计量系统的校准
CN109964116A (zh) * 2016-11-30 2019-07-02 科磊股份有限公司 用于三维半导体结构的检验的缺陷发现及配方优化
US20190017946A1 (en) * 2017-07-11 2019-01-17 Kla-Tencor Corporation Methods And Systems For Semiconductor Metrology Based On Polychromatic Soft X-Ray Diffraction

Also Published As

Publication number Publication date
TW202138756A (zh) 2021-10-16
US11513085B2 (en) 2022-11-29
WO2021167935A1 (en) 2021-08-26
US20210262950A1 (en) 2021-08-26
KR20220140826A (ko) 2022-10-18
JP2023515470A (ja) 2023-04-13

Similar Documents

Publication Publication Date Title
JP7379620B2 (ja) 高空間分解能を有するx線ビームの特性評価のための方法及びシステム
TWI805594B (zh) 基於多色軟性x射線繞射之用於半導體度量之方法及系統
TWI806285B (zh) 計量系統及方法
CN109073902B (zh) 用于小光斑大小透射小角x射线散射术的光束整形狭缝
US10816486B2 (en) Multilayer targets for calibration and alignment of X-ray based measurement systems
CN110383053B (zh) 用于小角度x射线散射测量的x射线变焦镜头
US11513085B2 (en) Measurement and control of wafer tilt for x-ray based metrology
WO2022132521A1 (en) Methods and systems for compact, small spot size soft x-ray scatterometry

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination