TWI805594B - 基於多色軟性x射線繞射之用於半導體度量之方法及系統 - Google Patents

基於多色軟性x射線繞射之用於半導體度量之方法及系統 Download PDF

Info

Publication number
TWI805594B
TWI805594B TW107123873A TW107123873A TWI805594B TW I805594 B TWI805594 B TW I805594B TW 107123873 A TW107123873 A TW 107123873A TW 107123873 A TW107123873 A TW 107123873A TW I805594 B TWI805594 B TW I805594B
Authority
TW
Taiwan
Prior art keywords
ray
semiconductor wafer
metrology
wavelengths
irradiation
Prior art date
Application number
TW107123873A
Other languages
English (en)
Other versions
TW201917348A (zh
Inventor
丹尼爾 瓦克
歐雷格 可哈達金
安德烈 V 舒傑葛洛夫
亞歷山大 庫茲尼斯夫
尼可雷 亞提湄夫
麥克 費德曼
Original Assignee
美商克萊譚克公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商克萊譚克公司 filed Critical 美商克萊譚克公司
Publication of TW201917348A publication Critical patent/TW201917348A/zh
Application granted granted Critical
Publication of TWI805594B publication Critical patent/TWI805594B/zh

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N23/00Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00
    • G01N23/20Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by using diffraction of the radiation by the materials, e.g. for investigating crystal structure; by using scattering of the radiation by the materials, e.g. for investigating non-crystalline materials; by using reflection of the radiation by the materials
    • G01N23/201Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by using diffraction of the radiation by the materials, e.g. for investigating crystal structure; by using scattering of the radiation by the materials, e.g. for investigating non-crystalline materials; by using reflection of the radiation by the materials by measuring small-angle scattering
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B15/00Measuring arrangements characterised by the use of electromagnetic waves or particle radiation, e.g. by the use of microwaves, X-rays, gamma rays or electrons
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N23/00Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00
    • G01N23/20Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by using diffraction of the radiation by the materials, e.g. for investigating crystal structure; by using scattering of the radiation by the materials, e.g. for investigating non-crystalline materials; by using reflection of the radiation by the materials
    • G01N23/20008Constructional details of analysers, e.g. characterised by X-ray source, detector or optical system; Accessories therefor; Preparing specimens therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67259Position monitoring, e.g. misposition detection or presence detection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67288Monitoring of warpage, curvature, damage, defects or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B2210/00Aspects not specifically covered by any group under G01B, e.g. of wheel alignment, caliper-like sensors
    • G01B2210/56Measuring geometric parameters of semiconductor structures, e.g. profile, critical dimensions or trench depth
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N2223/00Investigating materials by wave or particle radiation
    • G01N2223/05Investigating materials by wave or particle radiation by diffraction, scatter or reflection
    • G01N2223/054Investigating materials by wave or particle radiation by diffraction, scatter or reflection small angle scatter
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N2223/00Investigating materials by wave or particle radiation
    • G01N2223/10Different kinds of radiation or particles
    • G01N2223/101Different kinds of radiation or particles electromagnetic radiation
    • G01N2223/1016X-ray
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N2223/00Investigating materials by wave or particle radiation
    • G01N2223/60Specific applications or type of materials
    • G01N2223/611Specific applications or type of materials patterned objects; electronic devices
    • G01N2223/6116Specific applications or type of materials patterned objects; electronic devices semiconductor wafer

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Pathology (AREA)
  • Health & Medical Sciences (AREA)
  • General Health & Medical Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Immunology (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Biochemistry (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Electromagnetism (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Analysing Materials By The Use Of Radiation (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Length-Measuring Devices Using Wave Or Particle Radiation (AREA)

Abstract

本文中呈現用於基於高亮度多色反射小角度x射線散射量測(RSAXS)度量而執行半導體結構之量測之方法及系統。同時或依序用小照射射束點大小在波長、入射角及方位角之一範圍內執行RSAXS量測。在某些實施例中,用在軟性x射線(SXR)區域中之x射線輻射以在5度至20度之範圍內之掠入射角執行RSAXS量測。在某些實施例中,x射線照射源大小係10微米或更小,且聚焦光學器件以0.2或更小之一縮倍因子將源區投影至一晶圓上,從而達成小於2微米之一入射x射線照射點大小。在另一態樣中,主動聚焦光學器件同時或依序將照射波長、入射角及方位角或其任何組合之經程式化範圍投影至一度量區上。

Description

基於多色軟性X射線繞射之用於半導體度量之方法及系統
所闡述實施例係關於x射線度量系統及方法,且更特定而言係關於用於經改良量測準確度之方法及系統。
通常藉由施加至一樣品之一處理步驟序列製作諸如邏輯及記憶體裝置之半導體裝置。藉由此等處理步驟形成該等半導體裝置之各種特徵及多個結構層級。舉例而言,尤其微影係涉及在一半導體晶圓上產生一圖案之一個半導體製作程序。半導體製作程序之額外實例包含但不限於化學機械拋光、蝕刻、沈積及離子植入。可在一單個半導體晶圓上製作多個半導體裝置,且然後將其分離成個別半導體裝置。
在一半導體製造程序期間在各個步驟處使用度量程序來偵測晶圓上之缺陷以促成較高良率。通常使用若干種基於度量之技術(包含散射量測及反射量測實施方案及相關聯分析演算法)來表徵臨界尺寸、膜厚度、組合物及奈米尺度結構之其他參數。
在傳統上,對由薄膜及/或重複週期性結構組成之目標執行散射量測臨界尺寸量測。在裝置製作期間,此等膜及週期性結構通常表示實際裝置幾何形狀及材料結構或一中間設計。隨著裝置(例如,邏輯及記憶體裝置)朝向較小奈米尺度尺寸移動,表徵變得更困難。裝置併入有複雜三維幾何結構及具有多樣實體性質之材料導致表徵困難。
關於奈米結構之材料組合物及形狀之準確資訊在一前緣前端半導體製作設施之程序開發環境中係有限的。散射量測光學度量系統依賴於準確幾何及分散模型來避免量測偏差。由於對先驗可用之奈米結構之材料組合物及形狀之瞭解有限,因此量測配方開發與驗證係一緩慢且麻煩程序。舉例而言,剖面透射電子顯微學(TEM)影像用於導引光學散射量測模型開發,但TEM成像係緩慢的且破壞性的。
利用紅外線至可見光之散射量測光學度量工具量測來自次波長結構之零級繞射信號。隨著裝置臨界尺寸繼續縮小,散射量測光學度量敏感度及能力降低。此外,當吸收材料存在於受量測之結構中時,光學區域(例如,0.5ev至10ev)中之照射光之穿透及散射限制習用光學度量系統之效用。
類似地,基於電子射束之度量系統由於照射、反向散射及二次發射電子之吸收及散射而艱難地穿透半導體結構。
原子力顯微鏡(AFM)及掃描穿隧顯微鏡(STM)能夠達成原子解析度,但其僅可探測樣品之表面。另外,AFM及STM顯微鏡需要長掃描時間,此使得此等技術在一高產量製造(HVM)設定中係不實際的。
掃描電子顯微鏡(SEM)達成中間解析度位準,但不能穿透結構至充足深度。因此,不能良好地表徵高縱橫比孔。另外,樣品之所需充電對成像效能具有一不利效應。
以一硬性X射線能階(>15keV)採用光子之透射小角度X射線散射量測(T-SAXS)系統已展示解決具挑戰性量測應用之希望。然而,來自淺結構(例如,邏輯度量應用)之硬性x射線之散射係弱的,此嚴重地限制可達成量測解析度及吞吐量。如此,T-SAXS尚未經展示為係在一HVM環境中邏輯度量應用之一可行選項。
T-SAXS系統由於接近法線入射照射而達成在晶圓上之一小射束佔用面積。然而,T-SAXS系統需要高能量光子(例如,>16 keV)來達成穿過受量測之一晶圓之充足透射。通常,繞射效率隨光子能量E比例縮放為1/E2 ,且繞射級之角距以1/E比例縮放。為避免一2D週期性結構之級重疊,立體接受角縮放為1/E2 。此等縮放因子對用於淺結構度量之T-SAXS系統施加一強大懲罰。
另外,來自所有先前經圖案化步驟之繞射圖案在一透射量測中疊加在當前層結構之繞射圖案上。由於預期臨界金屬層之最小節距(亦即,週期)收斂至相差僅10%至20%之值,因此接受角嚴重地受限以在偵測器處將繞射信號分開。否則,所有先前層之幾何資訊必須前饋至表徵當前層之度量系統。通常,在一複雜高產量製造環境之內容脈絡內,獲得且管理所需度量及程序資訊係非常困難的。
習用GI-SAXS系統接近半導體材料之臨界反射角(例如,小於1度之掠射角)且以高於8 keV之光子能量操作以最大化繞射強度。此導致投影至晶圓上之一極其大照射射束點大小(例如,大於1 mm)。此係大的,使得甚至切割道度量目標係不可用的。因此,必須在晶圓上構造極其大之專業度量目標以執行GI-SAXS量測。功能性晶圓面積(real estate)之此損失係成本高的。另外,GI-SAXS量測之表面敏感度係極好的,但高縱橫比結構之穿透由於漸消場行為而非常受限制。
總之,需要具有針對低縱橫比結構及高縱橫比結構兩者之量測能力及與切割道目標相容之一照射射束點大小的一尺寸度量系統。在一項實例中,需要用以估計在HVM吞吐量下高縱橫比(HAR)結構之形狀及邊緣放置參數之一度量系統。另外,應可能開發且驗證度量系統之量測配方且在不具有實質性先前尺寸及材料組合物資訊之情況下在一高產量製造(HVM)環境中操作度量系統。
本文中呈現用於基於高亮度多色反射小角度x射線散射量測(RSAXS)度量而執行半導體結構之量測之方法及系統。以此方式,採用一RSAXS系統以基於散射光之一或多個繞射級而判定一樣品之性質。
用一小照射射束點大小(例如,跨越有效照射點小於50微米)在波長、入射角及方位角之一範圍內執行一半導體晶圓之RSAXS量測。在一項態樣中,用在軟性x射線(SXR)區域(亦即,80eV至3000eV)中之x射線輻射以在5度至20度之範圍內之掠入射角執行RSAXS量測。一特定量測應用之掠射角經選擇以用一小射束點大小(例如,小於50微米)達成至受量測之結構中之一所要穿透且最大化量測資訊量。
在某些實施例中,由一RSAXS度量系統之一照射源發射之波長藉由調整該照射源之操作參數而係可選擇的。
在某些實施例中,聚焦光學器件包含選擇所要波長或波長範圍以投影至一樣品上之漸變式多層。在某些實例中,聚焦光學器件包含選擇一個波長且在一入射角範圍內將該選定波長投影至一樣品上之一漸變式多層結構。在某些實例中,聚焦光學器件包含選擇一波長範圍且在一個入射角內將該等選定波長投影至一樣品上之一漸變式多層結構。在某些實例中,聚焦光學器件包含選擇一波長範圍且且在一入射角範圍內將該等選定波長投影至一樣品上之一漸變式多層結構。
一RSAXS度量系統之x射線照射源在具有有限橫向尺寸(亦即,正交於射束軸線之非零尺寸)之一源區內產生x射線發射。在一項態樣中,照射源110之源區由小於20微米之一橫向尺寸表徵。在某些實施例中,該源區由10微米或更小之一橫向尺寸表徵。小源大小使得能夠以高亮度照射樣品上之一小目標區,因此改良量測精確度、準確度及吞吐量。
在另一態樣中,藉由主動地定位一聚焦光學器件系統之一或多個鏡元件而調整同時或依序投影至一度量區上之波長、入射角及方位角或其任何組合之範圍。
在一額外態樣中,聚焦光學器件以0.2或更小之縮倍因子將該照射源投影至受量測之一樣品上。此使得入射x射線照射點之大小能夠小於2微米。
在另一額外態樣中,一RSAX度量系統包含一或多個射束狹縫或孔隙以將入射於一樣品上之x射線照射射束114整形且選擇性地阻擋將以其他方式照射受量測之一度量目標之照射光之一部分。一或多個射束狹縫界定射束大小及形狀,使得x射線照射點適配在受量測之該度量目標之區內。另外,一或多個射束狹縫界定照射射束髮散度以最小化偵測器上之繞射級重疊。
在另一額外態樣中,一RSAX度量系統包含 一或多個射束狹縫或孔隙以選擇同時照射受量測之一度量目標之一組照射波長。在某些實施例中,一或多個狹縫經組態以使不同照射波長依序通過。
在某些實施例中,一經組合度量工具包含如本文中所闡述之一個多波長SXR繞射子系統及一x射線反射量測子系統以改良量測吞吐量。在一項實施例中,該多波長SXR繞射子系統及該x射線反射量測子系統採用在不必須使受量測之樣品或任一光學量測子系統移動之情況下達成同時量測或順序量測之正交入射平面。
前述內容係一發明內容且因此必須含有細節之簡化、概述及省略;因此,熟習此項技術者將瞭解,發明內容僅係說明性的且不以任何方式為限制性的。在本文中所陳述之非限制性實施方式中,本文中所闡述之裝置及/或程序之其他態樣、發明性特徵及優點將變得顯而易見。
相關申請案之交叉參考
本專利申請案依據35 U.S.C. §119主張於2017年7月11日提出申請之序列號為62/531,187之美國臨時專利申請案之優先權,該美國臨時專利申請案之標的物以其全文引用方式併入本文中。
現在將詳細參考背景技術實例及本發明之某些實施例,本發明之實例圖解說明於附圖中。
呈現用於基於x射線照射而量測與不同半導體製作程序相關聯之半導體結構之結構及材料特性(例如,結構及膜之材料組合物、尺寸特性等)之系統及方法。更具體而言,本文中呈現用於基於高亮度多色反射小角度x射線散射量測(RSAXS)度量而執行半導體結構之量測之方法及系統。
用一小射束點大小(例如,跨越有效照射點小於50微米)在波長、入射角及方位角之一範圍內執行一半導體晶圓之RSAXS量測。在一項態樣中,用在軟性x射線(SXR)區域(亦即,80eV至3000eV)中之x射線輻射以在5度至20度之範圍內之掠入射角執行該等RSAXS量測。一特定量測應用之掠射角經選擇以用一小射束點大小(例如,小於50微米)達成至受量測之結構中之一所要穿透且最大化量測資訊量。
圖1圖解說明在至少一個新穎態樣中用於量測一樣品之特性之一RSAXS度量工具100之一實施例。如圖1中所展示,系統100可用於在由一入射照射射束點照射之一樣品101之一量測區102內執行RSAXS量測。
在所繪示實施例中,度量工具100包含一x射線照射源110、聚焦光學器件111、射束髮散度控制狹縫112及狹縫113。X射線照射源110經組態以產生適合用於RSAXS量測之SXR輻射。X射線照射源110係一個多色高亮度大展度源。在某些實施例中,x射線照射源110經組態以產生在介於80電子伏特至3000電子伏特之間的一範圍內之x射線輻射。一般而言,可預期能夠以足以達成高吞吐量直列式度量之通量位準產生高亮度SXR之任何適合高亮度x射線照射源以供應用於RSAXS量測之x射線照射。
在某些實施例中,一x射線源包含使得x射線源能夠遞送處於不同可選擇波長之x射線輻射之一可調諧單色儀。在某些實施例中, 一或多個x射線源用以確保x射線源供應處於允許至受量測之樣品中之充足穿透之若干波長的光。
在某些實施例中,照射源110係一高諧波產生(HHG) x射線源。在某些其他實施例中,照射源110係一擺動器/波蕩器同步加速器輻射源(SRS)。在第8,941,336號及第8,749,179號美國專利中闡述一例示性擺動器/波蕩器SRS,該等美國專利之內容以其全文引用方式併入本文中。
在某些其他實施例中,照射源110係一雷射產生電漿(LPP)光源。在此等實施例中之某些實施例中,LPP光源包含氙、氪、氬、氖及氮發射材料中之任一者。一般而言,一適合LPP目標材料之選擇針對在共振SXR區域中之亮度而最佳化。舉例而言,由氪發射之電漿在矽K邊緣處提供高亮度。在另一實例中,由氙發射之電漿遍及(80 eV至3000eV)之整個SXR區域提供高亮度。如此,當期望寬頻SXR照射時氙係發射材料之一良好選擇。
LPP目標材料選擇亦可針對可靠且長壽命光源操作而最佳化。諸如氙、氪及氬之惰性氣體目標材料係惰性的且可在具有最少去污染處理或不具有去污染處理之情況下在一閉環操作中再利用。在第15/867,633號美國專利申請案中闡述一例示性SXR照射源,該美國專利申請案之內容以其全文引用方式併入本文中。
在一額外態樣中,由照射源(例如,照射源110)發射之波長係可選擇的。在某些實施例中,照射源110係由運算系統130控制以最大化一或多個選定光譜區域中之通量之一LPP光源。目標材料處之雷射峰值強度控制電漿溫度且因此控制所發射輻射之光譜區域。藉由調整脈衝能量、脈衝寬度或兩者而使雷射峰值強度變化。在一項實例中,一100皮秒脈衝寬度適合用於產生SXR輻射。如圖1中所繪示,運算系統130將命令信號136傳遞至照射源110,命令信號136致使照射源110調整自照射源110發射之波長之光譜範圍。在一項實例中,照射源110係一LPP光源,且該LPP光源調整一脈衝持續時間、脈衝頻率及目標材料組合物中之任一者以實現自LPP光源發射之波長之一所要光譜範圍。
藉由非限制性實例之方式,可採用一粒子加速器源、一液體陽極源、一旋轉陽極源、一固定固體陽極源、一微焦源、一微焦旋轉陽極源、一基於電漿之源及一逆康普頓(Compton)源中之任一者作為x射線照射源110。
例示性x射線源包含經組態以轟擊固體或液體目標以模擬x射線輻射之電子射束源。在2011年4月19日發佈給KLA-Tencor公司之第7,929,667號美國專利中闡述用於產生高亮度液態金屬x射線照射之方法及系統,該美國專利之全文以引用方式併入本文中。
X射線照射源110在具有有限橫向尺寸(亦即,正交於射束軸線之非零尺寸)之一源區內產生x射線發射。在一項態樣中,照射源110之源區由小於20微米之一橫向尺寸表徵。在某些實施例中,該源區由10微米或更小之一橫向尺寸表徵。小源大小使得能夠以高亮度照射樣品上之一小目標區,因此改良量測精確度、準確度及吞吐量。
一般而言,x射線光學器件將x射線輻射整形且將x射線輻射引導至樣品101。在某些實例中,x射線光學器件使用多層x射線光學器件準直x射線射束或以小於1毫弧度發散度將x射線射束聚焦至樣品101之量測區102上。在某些實施例中,x射線光學器件包含一或多個x射線準直鏡、x射線孔隙、x射線射束截捕器、折射x射線光學器件、繞射光學器件(諸如波帶片)、史瓦茲西耳德(Schwarzschild)光學器件、Kirkpatrick-Baez光學器件、Montel光學器件、Wolter 光學器件、鏡面x射線光學器件(諸如橢球面鏡)、多毛細管光學器件(諸如空心毛細管x射線波導)、多層光學器件或系統或者其任一組合。在第2015/0110249號美國專利公開案中闡述額外細節,該美國專利公開案之內容以其全文引用方式併入本文中。
如圖1中所繪示,聚焦光學器件111將源輻射聚焦至位於樣品101上之一度量目標上。有限橫向源尺寸在目標上產生由來自源之邊緣之射線116且由射束狹縫112及113所提供之任何射束整形界定之有限點大小102。
在某些實施例中,聚焦光學器件111包含橢圓形聚焦光學元件。在圖1中所繪示之實施例中,聚焦光學器件111在橢圓之中心處之放大率係大致1。因此,投影至樣品101之表面上之照射點大小係與照射源大致相同之大小,該大小由於標稱掠入射角(例如,5度至20度)而針對射束擴展經調整。
在一額外態樣中,聚焦光學器件111收集源發射且選擇一或多個離散波長或光譜頻帶,且以在範圍5度至20度中之掠入射角將選定光聚焦至樣品101上。
標稱掠入射角經選擇以在保持在度量目標邊界內時達成度量目標之一所要穿透以最大化信號資訊量。硬性x射線之臨界角度係非常小的,但軟性x射線之臨界角度顯著較大。作為此額外量測彈性之一結果,RSAXS量測在對掠入射角之精確值具有較小敏感度之情況下更深入地探測結構。
在某些實施例中,聚焦光學器件111包含選擇所要波長或波長範圍以投影至樣品101上之漸變式多層。在某些實例中,聚焦光學器件111包含選擇 一個波長且在一入射角範圍內將該選定波長投影至樣品101上之一漸變式多層結構(例如,層或塗層)。在某些實例中,聚焦光學器件111包含選擇一波長範圍且在一個入射角內將該等選定波長投影至樣品101上之一漸變式多層結構。在某些實例中,聚焦光學器件111包含選擇一波長範圍且在一入射角範圍內將該等選定波長投影至樣品101上之一漸變式多層結構。
漸變式多層光學器件係較佳的以最小化在單層光柵結構太深時發生之光損失。一般而言,多層光學器件選擇經反射波長。選定波長之光譜頻寬最佳化提供至樣品101之通量、所量測繞射級中之資訊量,且透過偵測器處之角分散及繞射峰值重疊阻止信號降級。另外,採用漸變式多層光學器件來控制發散度。在每一波長下之角發散度針對偵測器處之通量及最少空間重疊而最佳化。
在某些實例中,漸變式多層光學器件選擇波長以增強來自特定材料介面或結構尺寸之繞射信號之反差及資訊量。舉例而言,選定波長可經選擇以橫跨元素特定之共振區域(例如,矽K邊緣、氮、氧K邊緣等)。另外,在此等實例中,照射源亦可經調諧以最大化選定光譜區域中之通量(例如,HHG光譜調諧、LPP雷射調諧等)。
在某些其他實例中,幾乎沒有先前結構資訊在量測時係可用的。在此等實例中,多個波長(例如,3至4個)經選擇以使得能夠跨越吸收邊緣量測繞射圖案。所量測信號使用(舉例而言)多波長反常繞射技術在不具有任何先前資訊(除了受量測之結構之元素組合物)之情況下達成結構性質之無模型量測。在基於無模型量測估計結構性質之後,可使用基於模型之量測技術進一步精細化參數估計。
在某些實例中,受量測之度量目標之反常散射因子(亦即,散射性質)並非預知的。在此等實例中,在多個共振波長下量測膜多層反射率。布拉格峰值之角偏移提供足以提取反常散射因子之資訊。
在某些實例中,非共振x射線反射率量測提供多層週期及介面粗糙度參數之獨立估計,此改良基於模型之量測之擬合。在某些實施例中,一經組合度量工具包含如本文中所闡述之一個多波長SXR繞射子系統及一x射線反射量測子系統以改良量測吞吐量。在一項實施例中,該多波長SXR繞射子系統及該x射線反射量測子系統採用在不必須使受量測之樣品或任一光學量測子系統移動之情況下達成同時量測或順序量測之正交入射平面。在某些實施例中,若由SXR多層鏡提供之AOI範圍對於x射線反射量測而言太小,則可採用晶圓旋轉、偵測器旋轉或兩者來擴展入射角範圍。
在某些實施例中,聚焦光學器件111包含各自具有一橢圓表面形狀之複數個反射光學元件。每一反射光學元件包含一基板及經調諧以反射一不同波長或波長範圍之一個多層塗層。在某些實施例中,各自反射一不同波長或波長範圍之複數個(例如,1至5個)反射光學元件以各入射角配置。在一額外實施例中,各自反射一不同波長或波長範圍之多組(例如,2至5組)反射光學元件各自以一不同入射角來配置。在某些實施例中,該多組反射光學元件在量測期間同時將照射光投影至樣品101上。在某些其他實施例中,該多組反射光學元件在量測期間依序將照射光投影至樣品101上。在此等實施例中,採用主動快門或孔隙來控制投影至樣品101上之照射光。
在某些實施例中,聚焦光學器件111將處於多個波長、方位及AOI之光聚焦在同一度量目標區上。圖2繪示包含以一分段式環形組態安置在射束軸線A周圍之四個鏡元件150A至150D之聚焦光學器件150之一端視圖(亦即,沿著射束軸線)。每一鏡元件包含經調諧以反射一不同波長或波長範圍之一個多層塗層。在某些實施例中,每一鏡元件150A至150D包含一均勻多層設計(亦即,一特定鏡元件之表面在彼特定鏡元件之整個鏡表面區內反射相同波長或波長範圍)。在某些其他實施例中,每一鏡元件包含一非均勻多層設計(亦即,由鏡元件反射之波長或波長範圍取決於鏡表面上之入射位置)。在此等實施例中之某些實施例中,每一鏡元件係橢圓形形狀且在一入射角範圍內將照射光投影至樣品101。另外,由於鏡元件以一環形組態來配置,因此鏡元件在一方位角範圍內將照射光投影至樣品101。儘管圖2繪示四個鏡元件,但一般而言,聚焦光學器件可包含經配置以將處於多個波長、方位及AOI之光聚焦在同一度量目標區上之任一數目個鏡元件。在某些其他實施例中,聚焦光學器件包含巢套在入射平面中之若干個鏡元件(亦即,一巢套式Wolter配置)。
在一額外態樣中,藉由主動地定位聚焦光學器件之一或多個鏡元件而調整投影至同一度量區上之波長、AOI、方位或其任何組合之範圍。如圖1中所繪示,運算系統130將命令信號傳遞至致動器系統115,該等命令信號致使致動器系統115調整聚焦光學器件111之光學元件中之一或多者之位置、對準或兩者以達成投影至樣品101上之波長、AOI、方位或其任何組合之所要範圍。
一般而言,入射角是針對每一波長而選擇以最佳化照射光在受量測之度量目標中之穿透及吸收。在諸多實例中,量測多層結構且入射角經選擇以最大化與所要所關注層相關聯之信號資訊。在疊對度量實例中,波長及入射角經選擇以最大化因來自先前層之散射與來自當前層之散射之間的干擾而產生之信號資訊。另外,方位角亦經選擇以最佳化信號資訊量。另外,方位角經選擇以確保偵測器處之繞射峰值之角距。
在一額外態樣中,一RSAX度量系統(例如,度量工具100)包含一或多個射束狹縫或孔隙以將入射於樣品101上之照射射束114整形且選擇性地阻擋將以其他方式照射受量測之一度量目標之照射光之一部分。一或多個射束狹縫界定射束大小及形狀,使得x射線照射點適配在受量測之度量目標之區內。另外,一或多個射束狹縫界定照射射束髮散度以最小化偵測器上之繞射級重疊。
在另一額外態樣中,一RSAX度量系統(例如,度量工具100)包含一或多個射束狹縫或孔隙以選擇同時照射受量測之一度量目標之一組照射波長。在某些實施例中,包含多個波長之照射同時入射於受量測之一度量目標上。在此等實施例中,一或多個狹縫經組態以使包含多個照射波長之照射通過。一般而言,受量測之一度量目標之同時照射係較佳的以增加信號資訊及吞吐量。然而,在實務上,偵測器處之繞射級重疊限制照射波長範圍。在某些實施例中,一或多個狹縫經組態以使不同照射波長依序通過。在某些實例中,在較大角發散度下之順序照射提供較高吞吐量,此乃因當射束髮散度較大時順序照射之信雜比與同時照射相比較可係較高的。當依序執行量測時,繞射級重疊問題並非一問題。此增加量測彈性且改良信雜比。
圖1繪示位於聚焦光學器件111與射束整形狹縫113之間的射束路徑中之一射束髮散度控制狹縫112。射束髮散度控制狹縫112限制提供至受量測之樣品之照射之發散度。射束整形狹縫113位於射束髮散度控制狹縫112與樣品101之間的射束路徑中。射束整形狹縫113進一步將入射射束114整形且選擇入射射束114之照射波長。射束整形狹縫113位於緊接在樣品101之前之射束路徑中。在一項態樣中,射束整形狹縫113之狹縫位於緊密接近於樣品101處以最小化入射射束點大小由於有限源大小所界定之射束髮散度而發生之放大。
在某些實施例中,射束整形狹縫113包含多個獨立地經致動之射束整形狹縫。在一項實施例中,射束整形狹縫113包含四個獨立地經致動之射束整形狹縫。此四個射束整形狹縫有效地阻擋傳入射束之一部分且產生具有一盒形照射剖面之一照射射束114。
射束整形狹縫113之狹縫由最小化散射且有效地阻擋入射輻射之材料構造。例示性材料包含單晶材料,諸如鍺、砷化鎵、磷化銦等。通常,狹縫材料沿著一結晶方向裂開,而非鋸開,以跨越結構邊界最小化散射。另外,狹縫相對於傳入射束而定向,使得傳入輻射與狹縫材料之內部結構之間的相互作用產生最少量之散射。晶體附接至由高密度材料(例如,鎢)製成之每一狹縫固持器以達成在狹縫之一側上完全阻抗x射線射束。
X射線偵測器119收集自樣品101散射之x射線輻射118且根據一RSAXS量測模態產生指示對入射x射線輻射敏感之樣品101之性質之一輸出信號135。在某些實施例中,由x射線偵測器119收集經散射x射線118,而樣品定位系統140將樣品101定位且定向以產生以角度方式解析之經散射x射線。
在某些實施例中,一RSAXS系統包含具有高動態範圍(例如,大於105 )之一或多個光子計數偵測器。在某些實施例中,一單個光子計數偵測器偵測所偵測光子之位置及數目。
在某些實施例中,x射線偵測器解析一或多個x射線光子能量且針對每一x射線能量分量產生指示樣品之性質之信號。在某些實施例中,x射線偵測器119包含以下各項中之任一者:一CCD陣列、一微通道板、一光電二極體陣列、一微帶比例計數器、一以氣體填充之比例計數器、一閃爍器或一螢光材料。
以此方式,除像素位置及計數數目之外,亦藉由能量來區分偵測器內之X射線光子相互作用。在某些實施例中,藉由比較X射線光子相互作用之能量與一預定上部臨限值及一預定下部臨限值而區分X射線光子相互作用。在一項實施例中,經由輸出信號135將此資訊傳遞至運算系統130以達成進一步處理及儲存。
由用多個照射波長同時照射一週期性目標產生之繞射圖案由於繞射之角分散而在偵測器平面處經分開。在此等實施例中,採用整合偵測器。使用區偵測器(例如,真空相容背側CCD或混合像素陣列偵測器)量測繞射圖案。角取樣針對布拉格峰值整合而最佳化。若採用像素位準模型擬合,則角取樣針對信號資訊量而最佳化。取樣速率經選擇以阻止零級信號之飽和。
在一額外態樣中,採用一RSAXS系統來基於經散射光之一或多個繞射級而判定一樣品之性質(例如,結構參數值)。如圖1中所繪示,度量工具100包含用以獲取由偵測器119產生之信號135且至少部分地基於該等所獲取信號而判定樣品之性質之一運算系統130。
在某些實例中,基於RSAXS之度量涉及藉由具有所量測資料之一預定量測模型之反解判定樣本之尺寸。該量測模型包含若干個(大約十個)可調整參數且表示樣品之幾何形狀及光學性質以及量測系統之光學性質。反解方法包含但不限於基於模型之回歸、斷層掃描、機器學習或其任何組合。以此方式,藉由對一參數化量測模型之值求解來估計目標輪廓參數,該等值最小化所量測經散射x射線強度與模型化結果之間的誤差。
在波長、入射角及方位角之大範圍下執行量測以增加所量測參數值之精確度及準確度係合意的。此方法藉由擴展可用於分析之資料集之數目及多樣性而降低參數之間的相關性。
收集對經繞射輻射之強度隨照射波長及相對於晶圓表面法線之x射線入射角而變之量測。含納於多個繞射級中之資訊通常在正在考量之各模型參數之間係唯一的。因此,x射線散射以小誤差及經減小參數相關性產生所關注參數值之估計結果。
藉由晶圓101相對於x射線照射射束114之任何兩個角旋轉闡述照射x射線射束114相對於一半導體晶圓101之表面法線之每一定向,或反之亦然。在一項實例中,可相對於固定至晶圓之一座標系闡述定向。圖3繪示以由一入射角θ及一方位角f闡述之一特定定向入射於晶圓101上之x射線照射射束114。座標系XYZ固定至度量系統(例如,照射射束116)且座標系X’Y’Z’固定至晶圓101。Y軸在平面中與晶圓101之表面對準。X及Z不與晶圓101之表面對準。Z’與法向於晶圓101之表面之一軸對準,且X’及Y’在一平面中與晶圓101之表面對準。如圖3中所繪示,x射線照射射束114與Z軸對準且因此位於XZ平面內。入射角θ闡述在XZ平面中x射線照射射束114相對於晶圓之表面法線之定向。此外,方位角f闡述XZ平面相對於X’Z’平面之定向。θ及f共同唯一地界定x射線照射射束114相對於晶圓101之表面之定向。在此實例中,藉由圍繞法向於晶圓101之表面之一軸(亦即,Z’軸)之一旋轉及圍繞與晶圓101之表面對準之一軸(亦即,Y軸)之一旋轉闡述x射線照射射束相對於晶圓101之表面之定向。在某些其他實例中,藉由圍繞與晶圓101之表面對準之一第一軸及與晶圓101之表面對準且垂直於第一軸之另一軸之一旋轉而闡述x射線照射射束相對於晶圓101之表面之定向。
在一項態樣中,度量工具100包含固定地支撐晶圓101且耦合至樣品定位系統140之一晶圓卡盤103。樣品定位系統140經組態而相對於照射射束114 以六個自由度主動地定位樣品101。在一項實例中,運算系統130將指示樣品101之所要位置之命令信號(未展示)傳遞至樣品定位系統140。作為回應,樣品定位系統140產生至樣品定位系統140之各種致動器以達成樣品101之所要定位之命令信號。
在一額外態樣中,一RSAXS系統之聚焦光學器件以至少5之一縮倍(亦即,0.2或更小之倍率因子)將照射源之一影像投影至受量測之樣品上。如本文中所闡述之一RSAXS系統採用具有由20微米或更小之一橫向尺寸表徵之一源區之一SXR照射源(亦即,源大小係20微米或更小)。在某些實施例中,以至少5之一縮倍因子採用聚焦光學器件(亦即,將源之一影像投影至比源大小小四倍之晶圓上)從而以4微米或更小之一入射照射點大小將照射投影至一樣品上。
圖4圖解說明在另一實施例中之一RSAXS度量工具200之一實施例。如圖4中所圖解說明,系統200可用於在具有小於1微米至2微米之尺寸之一量測區102內執行RSAXS量測。圖4中所繪示之相似編號之元件類似於參考圖1所闡述之彼等元件。如圖4中所繪示,聚焦光學器件111係橢圓光學元件。然而,聚焦光學器件111相對於照射源110及樣品101而配置,使得照射源110與聚焦光學器件111之間的距離A顯著大於聚焦光學器件111與樣品101之間的距離B。在某些實施例中,A/B之比率係至少5。在某些實施例中,A/B之比率係至少10。此致使至樣品101上之照射源縮倍A/B之一因子。在一項實施例中,照射源110之大小係大致10微米且聚焦光學器件111經配置使得A/B係10。在此實施例中,投影至樣品101上之照射點大小係大致1微米。
在某些實施例中,照射源110係具有10微米或更小之一源大小之一LPP光源,且聚焦光學器件111具有大致10之一縮倍因子。此使得RSAXS度量工具200能夠將照射光聚焦至具有1微米至2微米之尺寸之一度量目標上。藉由將入射照射光聚焦至1微米至2微米之一照射點大小,RSAXS度量工具200使得能夠量測位於晶粒中之臨界尺寸目標及疊對目標,而非依賴於位於晶圓切割道區中之較大度量目標。
量測具有1微米至2微米之尺寸之目標之能力減小致力於專業度量目標之晶圓區。另外,量測具有1微米至2微米之尺寸之目標之能力達成裝置結構而非專業度量目標之直接量測。量測裝置結構直接消除裝置與目標偏差。此顯著改良量測品質。另外,對晶粒中目標之量測達成晶粒內參數變化之表徵。例示性所關注參數包含臨界尺寸、疊對及邊緣放置誤差。
在某些實施例中,採用如本文中所闡述之一RSAXS度量系統來量測週期性度量目標。舉例而言,圖5A繪示具有具一節距P之一週期性光柵結構之一度量目標160。然而,在另一態樣中,採用如本文中所闡述之一RSAXS度量系統來量測展現週期性及非週期性兩者之經抽取度量目標。一經抽取度量目標係包含標稱地週期性單位單元之一陣列之一度量目標,且在陣列內之經程式化或隨機位置處對標稱地週期性陣列之一或多個單元進行刪除、位移或結構上修改。舉例而言,圖5B繪示具有為節距P之一標稱地週期性光柵結構之一度量目標161。然而,另外,光柵結構之特定鰭形件不存在於度量目標161中。因此,自受量測之度量目標161之散射之角分佈展現週期性性質(導致區域化繞射峰值)及非週期性行為(亦稱為漫散射)兩者。
一般而言,藉由以一隨機或經程式化方式刪除一標稱地週期性單位單元陣列之元件而增加布拉格峰值之間的漫散射之分佈。漫射強度角分佈與結構因子平方成比例。當採用經抽取度量目標時,布拉格峰值強度減小,但當經散射光散佈在更多像素上方時更多像素包含非零資訊量。由於基於模型之量測能夠使用來自所有像素之資訊,因此量測之資訊量之總體增加改良量測精確度及準確度。一般而言,布拉格峰值強度隨著經刪除單元之分率增加而減小。度量目標之所要抽取最大化總體量測資訊量。在某些實例中,經抽取度量目標解析在其中一度量目標之結構因子在布拉格峰值位置之一大子集處係零(例如,在50%工作循環下之一方波)之情形中發生之非單值性。可採用一RSAXS度量系統來在一順序單波長操作模式中或在一同時多波長操作模式中量測一經抽取度量目標。
在某些實施例中,一度量目標包含經選擇以最大化信號資訊且因此最小化獲取時間之一普通或特定非週期性。邏輯標準單元陣列之設計規則將本原元素放置在一固定網格上且控制窄範圍內之圖案密度變化。邏輯結構度量集中於達成對一局部區域中之平均特徵大小及間隔之一高精確度估計。佔用率及週期性並非所關注的,此乃因在微影程序中良好地控制此等態樣。用於邏輯結構之一度量目標最佳化強度分佈,使得在含有關於所要特徵參數集之高資訊量之角區域中增加散射通量。在一項實例中,一度量目標包含一邊框區域以增強一中心區域之繞射資訊量。
SXR達成對設計規則目標之疊對量測,此乃因照射波長比所量測結構之週期短。此提供優於其中對大於設計規則目標之目標進行疊對量測之現有技術之一顯著益處。使用SXR波長准許在程序涉及規則下之目標設計,亦即,無「非零偏移」。
用於RSAXS量測之一疊對度量目標可包含一維週期性陣列或二維週期性陣列。一維目標展現沿著入射平面之大角發散度,從而增加通量及吞吐量。對於二維目標,角繞射分散對於兩個平面內軸係不相等的。因此,對於與入射平面平行之樣本方向,可強加一額外超週期。在此等實例中,使晶圓旋轉且由一單個子系統對同一目標執行順序正交量測可係有利的。
在另一額外目標中,可採用用於RSAXS量測之一疊對度量目標來量測疊對及臨界尺寸兩者。此亦達成對邊緣放置誤差(EPE)之量測,諸如端線縮短、線與觸點距離等。
在某些實施例中,使x射線照射源110、聚焦光學器件111、狹縫112及113或其任何組合維持在與樣品101相同之大氣環境(例如,氣體淨化環境)中。然而,在某些實施例中,在此等元件之間且在此等元件中之任一者內之光學路徑長度係長的且空氣中之x射線散射對偵測器上之影像造成雜訊。因此,在某些實施例中,使x射線照射源110、聚焦光學器件111以及狹縫112及113中之任一者維持在一區域化真空環境中。在圖1中所繪示之實施例中,使照射源110、聚焦光學器件111以及狹縫112及113維持在一經抽空飛行管117內之一受控制環境(例如,真空)中。照射射束114在入射於樣品101上之前通過在飛行管117之端處之窗120。
類似地,在某些實施例中,樣品101與偵測器119之間的光學路徑長度(亦即,收集射束路徑)係長的且空氣中之x射線散射對偵測器上之影像造成雜訊。因此,在較佳實施例中,使樣品101與偵測器119之間的收集射束路徑長度之一顯著部分維持在藉由一真空窗(例如,真空窗124)與樣品(例如,樣品101)分開之一區域化真空環境中。在某些實施例中,使x射線偵測器119維持在與樣品101與偵測器119之間的射束路徑長度相同之區域化真空環境中。舉例而言,如圖1中所繪示,真空室123維持環繞偵測器119之一區域化真空環境及樣品101與偵測器119之間的射束路徑長度之一顯著部分。
在某些其他實施例中,使x射線偵測器119維持在與樣品101相同之大氣環境(例如,氣體淨化環境)中。此可係有利的以自偵測器119移除熱。然而,在此等實施例中,將樣品101與偵測器119之間的射束路徑長度之一顯著部分維持在一真空室內之一區域化真空環境中係較佳的。
在某些實施例中,使包含樣品101之整個光學系統維持在真空中。然而,一般而言,與使樣品101維持在真空中相關聯之成本由於與樣品定位系統140之構造相關聯之複雜性而係高的。
在另一額外態樣中,運算系統130經組態以產生一樣品之一所量測結構之一結構模型(例如,幾何模型、材料模型或經組合幾何與材料模型),產生包含來自結構模型之至少一個幾何參數之一RSAXS回應模型,且藉由執行RSAXS量測資料與RSAXS回應模型之一擬合分析而解析至少一個樣品參數值。使用分析引擎來比較所模擬RSAXS信號與所量測資料,藉此允許判定樣本之幾何以及材料性質(諸如電子密度)。在圖1中所繪示之實施例中,運算系統130經組態為經組態以實施如本文中所闡述之模型構建與分析功能性之一模型構建與分析引擎。
圖6係圖解說明由運算系統130實施之一例示性模型構建與分析引擎180之一圖式。如圖6中所繪示,模型構建與分析引擎180包含產生一樣品之一所量測結構之一結構模型182之一結構模型構建模組181。在某些實施例中,結構模型182亦包含樣品之材料性質。結構模型182經接收為至RSAXS回應函數構建模組183之輸入。RSAXS回應函數構建模組183至少部分地基於結構模型182而產生一RSAXS回應函數模型184。在某些實例中,RSAXS回應函數模型184基於亦稱為結構因子之x射線形式因子,
Figure 02_image001
其中F係形式因子,q係散射向量,且ρ(r)係球面座標中之樣品之電子密度。然後,x射線散射強度由以下方程式給出
Figure 02_image003
RSAXS回應函數模型184經接收為至擬合分析模組185之輸入。擬合分析模組185比較經模型化RSAXS回應與對應所量測資料以判定樣品之幾何以及材料性質。
在某些實例中,藉由最小化一卡方值而達成經模型化資料至實驗資料之擬合。舉例而言,針對RSAXS量測,可將一卡方值定義為
Figure 02_image005
其中
Figure 02_image007
係「通道」j中之所量測RSAXS信號126,其中指數j闡述一組系統參數,諸如繞射級、能量、角座標等。
Figure 02_image009
係針對「通道」j之經模型化RSAXS信號Sj ,針對一組結構(目標)參數
Figure 02_image011
經評估,其中此等參數闡述幾何(CD、側壁角度、疊對等)及材料(電子密度等)。
Figure 02_image013
係與第j個通道相關聯之不確定因素。NSAXS 係x射線度量中之通道之總數目。L係表徵度量目標之參數之數目。
方程式(3)假定與不同通道相關聯之不確定因素係不相關的。在其中與不同通道相關聯之不確定因素係相關之實例中,可計算該等不確定因素之間的一共變異數。在此等實例中,可將RSAXS量測之一卡方值表達為
Figure 02_image015
其中VSAXS 係SAXS通道不確定因素之共變異數矩陣,且T表示移項。
在某些實例中,擬合分析模組185藉由執行對RSAXS量測資料135與RSAXS回應模型184之一擬合分析而解析至少一個樣品參數值。在某些實例中,將
Figure 02_image019
最佳化。
如上文中所闡述,藉由最小化卡方值而達成RSAXS資料之擬合。然而,一般而言,可藉由其他函數達成RSAXS資料之擬合。
RSAXS度量資料之擬合對於提供對所關注幾何及/或材料參數之敏感度之任一類型之RSAXS技術係有利的。樣品參數可係判定性的(例如,CD、SWA等)或統計的(例如,側壁粗糙度之rms高度、粗糙度相關長度等),只要使用闡述與樣品之RSAXS射束相互作用之恰當模型即可。
一般而言,運算系統130經組態以採用即時臨界尺寸標注(RTCD)來即時存取模型參數,或其可存取經預計算模型之庫以判定與樣品101相關聯之至少一個樣品參數值之一值。一般而言,可使用某種形式之CD引擎來評估一樣品之經指派CD參數與相關於所量測樣品之CD參數之間的差。在2010年11月2日發佈給KLA-Tencor公司之第7,826,071號美國專利中闡述用於計算樣品參數值之例示性方法及系統,該美國專利之全文以引用方式併入本文中。
在某些實例中,模型構建與分析引擎180藉由側饋分析、前饋分析及並行分析之任一組合而改良所量測參數之準確度。側饋分析係指採取關於同一樣品之不同區域之多個資料集且將自第一資料集判定之共同參數傳遞至第二資料集上以供分析。前饋分析係指採取關於不同樣品之資料集且使用一逐步複製確切參數前饋方法將共同參數向前傳遞至後續分析。並行分析係指將一非線性擬合方法並行或同時應用於其中至少一個共同參數在擬合期間耦合之多個資料集。
多重工具與結構分析係指基於回歸、一查找表(亦即,「庫」匹配)或多個資料集之另一擬合程序而進行之一前饋、側饋或並行分析。在2009年1月13日發佈給KLA-Tencor公司之第7,478,019號美國專利中闡述用於多重工具與結構分析之例示性方法及系統,該美國專利之全文以引用方式併入本文中。
在另一額外態樣中,基於在入射x射線射束相對於量測目標之一單個定向處執行之RSAXS量測而判定對一或多個所關注參數之值之一初始估計。初始經估計值經實施為量測模型之一回歸之所關注參數之起始值,該量測模型具有自在多個定向下之RSAXS量測收集之量測資料。以此方式,以相對小量之計算努力判定一所關注參數之一接近估計,且藉由將此接近估計實施為在一大得多之資料集內之一回歸之起始點,以較少總體計算努力獲得所關注參數之一經精細化估計。
在另一態樣中,度量工具100包含經組態以實施如本文中所闡述之射束控制功能性之一運算系統(例如,運算系統130)。在圖1中所繪示之實施例中,運算系統130經組態為可操作以控制入射照射射束114之照射性質(諸如強度、發散度、點大小、偏光、光譜及定位)中之任一者之一射束控制器。
如圖1中所圖解說明,運算系統130通信地耦合至偵測器119。運算系統130經組態以自偵測器119接收量測資料135。在一項實例中,量測資料135包含樣品之所量測回應(亦即,繞射級之強度)之一指示。基於所量測回應在偵測器119之表面上之分佈,照射射束114在樣品101上之入射位置及區由運算系統130判定。在一項實例中,由運算系統130施加圖案辨識技術以基於量測資料135而判定照射射束114在樣品101上之入射位置及區。在某些實例中,運算系統130將命令信號136傳遞至x射線照射源110以選擇所要照射波長。在某些實例中,運算系統130將命令信號137傳遞至致動器子系統115以重新引導x射線發射以達成一所要射束方向。在某些實例中,運算系統130分別將命令信號138及139傳遞至射束整形狹縫112及113,命令信號138及139致使射束整形狹縫112及113改變射束點大小且選擇照射波長使得入射照射射束114以所要射束點大小、定向及波長到達樣品101。在一項實例中,命令信號138及139致使與狹縫112及113相關聯之致動器改變位置以將入射射束114重新整形至一所要形狀及大小且選擇所要波長。在某些其他實例中,運算系統130將一命令信號傳遞至晶圓定位系統140以將樣品101定位且定向,使得入射照射射束114到達相對於樣品101之所要位置及角定向。
在一額外態樣中,使用RSAXS量測資料來基於所偵測繞射級之所量測強度而產生一所量測結構之一影像。在某些實施例中,使一RSAXS回應函數模型一般化以闡述來自一通用電子密度網格之散射。將此模型匹配至所量測信號同時將經模型化電子密度約束在此網格中以強化連續性及稀疏邊緣會提供樣本之一個三維影像。
儘管基於幾何模型之參數反演對於基於RSAXS量測之臨界尺寸(CD)度量係較佳的,但當所量測樣品偏離幾何模型之假定時依據相同RSAXS量測資料產生的樣品之一映圖可用於識別且校正模型誤差。
在某些實例中,比較該影像與藉由相同散射量測資料之一基於幾何模型之參數反演估計之結構特性。使用差異來更新所量測結構之幾何模型且改良量測效能。當量測積體電路以對其製造程序進行控制、監視及疑難排解時,收斂於一準確參數量測模型上之能力係特別重要的。
在某些實例中,該影像係電子密度、吸收率、複折射率或此等材料特性之一組合之一個二維(2-D)映圖。在某些實例中,該影像係電子密度、吸收率、複折射率或此等材料特性之一組合之一個三維(3-D)映圖。該映圖係使用相對少之實體約束來產生。在某些實例中,依據所得映圖直接估計一或多個所關注參數,諸如臨界尺寸(CD)、側壁角度(SWA)、疊對、邊緣放置誤差、節距遊動(pitch walk)等。在某些其他實例中,映圖可在樣本幾何形狀或材料偏離出針對基於模型之CD量測所採用之一參數結構模型所預期之所期望值範圍時用於對晶圓程序進行除錯。在一項實例中,使用映圖與參數結構模型根據其所量測參數所預測的結構之一再現之間的差來更新參數結構模型且改良其量測效能。在第2015/0300965號美國專利公開案中闡述進一步細節,該美國專利公開案之內容以其全文引用方式併入本文中。在第2015/0117610號美國專利公開案中闡述額外細節,該美國專利公開案之內容以其全文引用方式併入本文中。
在一額外態樣中,採用模型構建與分析引擎180來產生用於經組合x射線與光學量測分析之模型。在某些實例中,光學模擬基於(例如)嚴格耦合波分析(RCWA),其中對馬克示威方程式求解以計算光學信號,諸如不同偏光之反射率、橢偏參數、相變等。
一或多個所關注參數之值係基於對在該複數個不同入射角及所偵測光學強度下之x射線繞射級之所偵測強度與一經組合之在幾何學上參數化之回應模型的一經組合擬合分析來判定。藉由可或可不與一x射線度量系統(諸如圖1中所繪示之系統100)機械地整合在一起之一光學度量工具量測光學強度。在第2014/0019097號美國專利公開案及第2013/0304424號美國專利公開案中闡述進一步細節,該等美國專利公開案之內容以其全文引用方式併入本文中。
應認識到,本發明通篇所闡述之各種步驟可由一單個電腦系統130或另一選擇係一個多電腦系統130實施。此外,系統100之不同子系統(諸如樣品定位系統140)可包含適合於執行本文中所闡述之步驟之至少一部分之一電腦系統。因此,前述說明不應解釋為對本發明之一限制而僅係一圖解說明。此外,一或多個運算系統130可經組態以執行本文中所闡述之方法實施例中之任一者之任一(任何)其他步驟。
另外,電腦系統130可以此項技術中已知之任何方式通信地耦合至x射線照射源110、射束整形狹縫112及113、聚焦光學器件致動器系統115、樣品定位系統140及偵測器119。舉例而言,一或多個運算系統130可耦合至分別與x射線照射源110、射束整形狹縫112及113、聚焦光學器件致動器系統115、樣品定位系統140及偵測器119相關聯之運算系統。在另一實例中,x射線照射源110、射束整形狹縫112及113、聚焦光學器件致動器系統115、樣品定位系統140及偵測器119中之任一者可直接受耦合至電腦系統130之一單個電腦系統控制。
電腦系統130可經組態以藉由可包含有線及/或無線部分之一傳輸媒體自系統之子系統(例如,x射線照射源110、射束整形狹縫112及113、聚焦光學器件致動器系統115、樣品定位系統140、偵測器119及諸如此類)接收及/或獲取資料或資訊。以此方式,傳輸媒體可用作電腦系統130與系統100之其他子系統之間的一資料鏈路。
度量系統100之電腦系統130可經組態以藉由可包含有線及/或無線部分之一傳輸媒體自其他系統接收及/或獲取資料或資訊(例如,量測結果、模型化輸入、模型化結果等)。以此方式,傳輸媒體可用作電腦系統130與其他系統(例如,記憶體板上度量系統100、外部記憶體或外部系統)之間的一資料鏈路。舉例而言,運算系統130可經組態以經由一資料鏈路自一儲存媒體(亦即,記憶體132或190)接收量測資料(例如,信號135)。舉例而言,使用偵測器119獲得之光譜結果可儲存於一永久性或半永久性記憶體裝置(例如,記憶體132或190)中。就此而言,量測結果可自板上記憶體或自一外部記憶體系統導入。此外,電腦系統130可經由一傳輸媒體將資料發送至其他系統。例如,由電腦系統130判定之樣品參數值186可儲存於一永久或半永久記憶體裝置(例如,記憶體190)中。就此而言,量測結果可導出至另一系統。
運算系統130可包含但不限於一個人電腦系統、大型電腦系統、工作站、影像電腦、並行處理器或此項技術中已知之任何其他裝置。一般而言,術語「運算系統」可經廣義地定義以囊括具有執行來自一記憶體媒體之指令之一或多個處理器之任一裝置。
實施諸如本文中所闡述之彼等方法之方法之程式指令134可經由一傳輸媒體(諸如一導線、電纜或無線傳輸鏈路)傳輸。舉例而言,如圖1中所圖解說明,儲存於記憶體132中之程式指令經由匯流排133傳輸至處理器131。程式指令134儲存於一電腦可讀媒體(例如,記憶體132)中。例示性電腦可讀取媒體包含唯讀記憶體、一隨機存取記憶體、一磁碟或光碟,或一磁帶。
圖7圖解說明適合用於由本發明之度量系統100及200實施之一方法200。在一項態樣中,應認識到,可經由由運算系統130之一或多個處理器執行之一預程式化演算法實施方法200之資料處理方塊。儘管在度量系統100及200之內容脈絡中呈現以下說明,但在本文中應認識到,度量系統100及200之特定結構態樣不表示限制且應僅解釋為說明性的。
在方塊301中,由一x射線照射源產生一定量之軟性x射線輻射。該軟性x射線輻射包含在自80電子伏特至3,000電子伏特之一光子能量範圍內之多個照射波長。
在方塊302中,將該量之軟性x射線輻射作為以介於5度與20度之間的一標稱掠入射角入射於該半導體晶圓上之一x射線照射射束聚焦至該半導體晶圓上。
在方塊303中,由一RSAX度量系統之一偵測器偵測回應於該入射x射線照射射束而自該半導體晶圓散射之一定量之x射線輻射。
在方塊304中,基於該所偵測量之x射線輻射而判定表徵安置於該半導體晶圓上之一結構之一所關注參數之一值。
在某些實施例中,將如本文中所闡述之散射量測實施為一製作程序工具之一部分。製作程序工具之實例包含但不限於微影曝光工具、膜沈積工具、植入工具及蝕刻工具。以此方式,使用一RSAXS分析之結果來控制一製作程序。在一項實例中,將自一或多個目標收集之RSAXS量測資料發送至一製作程序工具。如本文中所闡述而分析RSAXS量測資料且使用結果來調整製作程序工具之操作以減少在製造半導體結構中出現之誤差。
可使用如本文中所闡述之散射量測來判定各種半導體結構之特性。例示性結構包含但不限於FinFET、低尺寸結構(諸如奈米線或石墨烯)、小於10 nm之結構、微影結構、穿基板通孔(TSV)、記憶體結構(諸如DRAM、DRAM 4F2、FLASH、MRAM)及高縱橫比記憶體結構。例示性結構特性包含但不限於幾何參數(諸如線邊緣粗糙度、線寬度粗糙度、孔大小、孔密度、側壁角度、輪廓、臨界尺寸、節距、厚度、疊對)及材料參數(諸如電子密度、組合物、顆粒結構、形態、應力、應變及元素識別)。在某些實施例中,該度量目標係一週期性結構。在某些其他實施例中,該度量目標係非週期性的。
在某些實例中,用如本文中所闡述之RSAXS量測系統執行高縱橫比半導體結構之臨界尺寸、厚度、疊對及材料性質之量測,該等高縱橫比半導體結構包含但不限於自旋轉矩隨機存取記憶體(STT-RAM)、三維NAND記憶體(3D-NAND)或垂直NAND記憶體(V-NAND)、動態隨機存取記憶體(DRAM)、三維FLASH記憶體(3D-FLASH)、電阻式隨機存取記憶體(Re-RAM)及相變隨機存取記憶體(PC-RAM)。
如本文中所闡述,術語「臨界尺寸」包含一結構之任何臨界尺寸(例如,底部臨界尺寸、中間臨界尺寸、頂部臨界尺寸、側壁角度、光柵高度等)、任何兩個或兩個以上結構之間的一臨界尺寸(例如,兩個結構之間的距離)及兩個或兩個以上結構之間的一位移(例如,疊對光柵結構之間的疊對位移等)。結構可包含三維結構、經圖案化結構、疊對結構等。
如本文中所闡述,術語「臨界尺寸應用」或「臨界尺寸量測應用」包含任何臨界尺寸量測。
如本文中所闡述,術語「度量系統」包含至少部分地用以表徵任一態樣(包含臨界尺寸應用及疊對度量應用)中之一樣品之任一系統。然而,此等技術術語並不限制如本文中所闡述之術語「度量系統」之範疇。另外,本文中所闡述之度量系統可經組態以用於量測經圖案化晶圓及/或未圖案化晶圓。度量系統可經組態為一LED檢驗工具、邊緣檢驗工具、背面檢驗工具、宏觀檢驗工具或多模式檢驗工具(涉及同時來自一或多個平臺之資料),以及受益於本文中所闡述之量測技術之任何其他度量或檢驗工具。
本文中闡述可用於處理一樣品之一半導體處理系統(例如,一檢驗系統或一微影系統)之各種實施例。術語「樣品」在本文中用於指一晶圓、一倍縮光罩或可藉由此項技術中已知之手段處理(例如,印刷或檢驗缺陷)之任何其他樣本。
如本文中所使用,術語「晶圓」一般係指由一半導體或非半導體材料形成之基板。實例包含但不限於單晶矽、砷化鎵及磷化銦。此等基板通常可存在於半導體製作設施中及/或在其中處理。在某些情形中,一晶圓可僅包含基板(即,裸晶圓)。另一選擇係,一晶片可包含形成於一基板上之不同材料之一個或多個層。形成於一晶圓上之一或多個層可為「經圖案化」或「未圖案化」的。舉例而言,一晶圓可包含具有可重複圖案特徵之複數個晶粒。
一「倍縮光罩」可係在一倍縮光罩製作程序之任何階段處之一倍縮光罩或者可或可不釋放以供在一半導體製作設施中使用之一完成倍縮光罩。一倍縮光罩或一「光罩」一般定義為具有在其上形成且組態成一圖案之實質上不透明區域之一實質上透明基板。基板可包含(舉例而言)諸如非晶SiO2 之一玻璃材料。一倍縮光罩可在一微影程序之一曝光步驟期間安置於一抗蝕劑覆蓋之晶圓上面,使得可將該倍縮光罩上之圖案轉印至該抗蝕劑。
形成於一晶圓上之一或多個層可為經圖案化或未圖案化的。舉例而言,一晶圓可包含各自具有可重複圖案特徵之複數個晶粒。此類材料層之形成及處理可最終產生完成裝置。可在一晶圓上形成諸多不同類型之裝置,且如本文中所使用之術語晶圓意欲涵蓋其上製作有此項技術中已知之任何類型之裝置之一晶圓。
在一或多項例示性實施例中,所闡述之功能可以硬體、軟體、韌體或其任何組合實施。若以軟體實施,則該等功能可作為一或多個指令或代碼儲存於一電腦可讀媒體上或者經由一電腦可讀媒體傳輸。電腦可讀媒體包含電腦儲存媒體及通信媒體兩者,包含促進將一電腦程式自一個地方傳送至另一地方之任何媒體。一儲存媒體可係可由一個一般用途或特殊用途電腦存取之任何可用媒體。藉由實例而非限制方式,此類電腦可讀媒體可包括:RAM、ROM、EEPROM、CD-ROM或其他光碟儲存裝置、磁碟儲存裝置或其他磁性儲存裝置或者可用於以指令或資料結構之形式載運或儲存所要程式碼構件且可由一個一般用途或特殊用途電腦或者一個一般用途或特殊用途處理器存取之任何其他媒體。並且,可將任何連接恰當地稱為一電腦可讀媒體。舉例而言,若使用一同軸電纜、光纖電纜、雙絞線、數位用戶線(DSL)或無線技術(諸如紅外線、無線電及微波)自一網站、伺服器或其他遠端源傳輸軟體,則該同軸電纜、光纖電纜、雙絞線、DSL或無線技術(諸如紅外線、無線電及微波)皆包含於媒體之定義內。如本文中所使用,磁碟及碟片包含光碟(CD)、雷射碟片、XRF碟片、數位多功能碟片(DVD)、軟磁碟及藍光碟片,其中磁碟通常以磁性方式複製資料而碟片藉助雷射以光學方式複製資料。上文之組合亦應包含於電腦可讀取媒體之範疇內。
儘管在上文中出於指導性目的而闡述了某些特定實施例,但本專利文件之教示內容具有一般適用性且不限於上文所闡述之特定實施例。因此,可在不背離如申請專利範圍中所陳述之本發明之範疇之情況下實踐對所闡述之實施例之各種特徵之各種修改、改動及組合。
100‧‧‧反射小角度x射線度量工具/系統/度量工具/度量系統/記憶體板上度量系統101‧‧‧樣品/晶圓/半導體晶圓102‧‧‧量測區/有限點大小103‧‧‧晶圓卡盤110‧‧‧照射源/x射線照射源111‧‧‧聚焦光學器件112‧‧‧射束髮散度控制狹縫/射束狹縫/狹縫/射束整形狹縫113‧‧‧狹縫/射束狹縫/射束整形狹縫114‧‧‧x射線照射射束/照射射束/入射射束/照射x射線射束/入射照射射束115‧‧‧致動器系統/致動器子系統/聚焦光學器件致動器系統116‧‧‧射線/照射射束117‧‧‧經抽空飛行管/飛行管118‧‧‧x射線輻射/經散射x射線119‧‧‧x射線偵測器/偵測器120‧‧‧窗123‧‧‧真空室124‧‧‧真空窗130‧‧‧運算系統/電腦系統131‧‧‧處理器132‧‧‧記憶體133‧‧‧匯流排134‧‧‧程式指令135‧‧‧輸出信號/信號/反射小角度x射線量測資料/量測資料136‧‧‧命令信號137‧‧‧命令信號138‧‧‧命令信號139‧‧‧命令信號140‧‧‧樣品定位系統150‧‧‧聚焦光學器件150A‧‧‧鏡元件150B‧‧‧鏡元件150C‧‧‧鏡元件150D‧‧‧鏡元件160‧‧‧度量目標161‧‧‧度量目標180‧‧‧模型構建與分析引擎181‧‧‧結構模型構建模組182‧‧‧結構模型183‧‧‧反射小角度x射線回應函數構建模組184‧‧‧反射小角度x射線回應函數模型/反射小角度x射線回應模型185‧‧‧擬合分析模組186‧‧‧樣品參數值190‧‧‧記憶體200‧‧‧反射小角度x射線度量工具/系統/度量系統A‧‧‧射束軸線/距離B‧‧‧距離P‧‧‧節距θ‧‧‧入射角f‧‧‧方位角
圖1係圖解說明在至少一個新穎態樣中用於量測一樣品之特性之一RSAXS度量工具100之一實施例之一經簡化圖式。
圖2係圖解說明包含以一分段式環形組態安置在射束軸線A周圍之四個鏡元件之聚焦光學器件之一端視圖之一經簡化圖式。
圖3繪示以由一入射角θ及一方位角f闡述之一特定定向入射於一晶圓上之x射線照射射束。
圖4係圖解說明用以在具有小於1微米至2微米之尺寸之一量測區內執行RSAXS量測之一RSAXS度量工具之另一實施例之一經簡化圖式。
圖5A繪示圖解說明具有具一節距P之一週期性光柵結構之一度量目標之一經簡化圖式。
圖5B繪示圖解說明在刪除光柵結構之指定元件之情況下具有為節距P之一標稱地週期性光柵結構之一經抽取度量目標之一經簡化圖式。
圖6係圖解說明一例示性模型建構與分析引擎之一經簡化圖式。
圖7係根據本文中所闡述之方法執行一半導體晶圓之多色RSAXS量測之一方法之一流程圖。
100‧‧‧反射小角度x射線度量工具/系統/度量工具/度量系統/記憶體板上度量系統
101‧‧‧樣品/晶圓/半導體晶圓
102‧‧‧量測區/有限點大小
103‧‧‧晶圓卡盤
110‧‧‧照射源/x射線照射源
111‧‧‧聚焦光學器件
112‧‧‧射束髮散度控制狹縫/射束狹縫/狹縫/射束整形狹縫
113‧‧‧狹縫/射束狹縫/射束整形狹縫
114‧‧‧x射線照射射束/照射射束/入射射束/照射x射線射束/入射照射射束
115‧‧‧致動器系統/致動器子系統/聚焦光學器件致動器系統
116‧‧‧射線/照射射束
117‧‧‧經抽空飛行管/飛行管
118‧‧‧x射線輻射/經散射x射線
119‧‧‧x射線偵測器/偵測器
120‧‧‧窗
123‧‧‧真空室
124‧‧‧真空窗
130‧‧‧運算系統/電腦系統
131‧‧‧處理器
132‧‧‧記憶體
133‧‧‧匯流排
134‧‧‧程式指令
135‧‧‧輸出信號/信號/反射小角度x射線量測資料/量測資料
136‧‧‧命令信號
137‧‧‧命令信號
138‧‧‧命令信號
139‧‧‧命令信號
140‧‧‧樣品定位系統

Claims (27)

  1. 一種度量系統,其包括:一x射線照射源,其經組態以產生包含在自80電子伏特至3,000電子伏特之一光子能量範圍內之多個照射波長的一定量之軟性x射線輻射;一或多個x射線照射光學元件,其安置於該x射線照射源與一半導體晶圓之間的一照射光學路徑中,其中該一或多個x射線照射光學元件將包含該多個照射波長的該量之軟性x射線輻射作為以介於5度與20度之間的一標稱掠入射角(nominal grazing incidence angle)入射於該半導體晶圓上之一x射線照射射束聚焦至該半導體晶圓上;一x射線偵測器,其經組態以偵測回應於該入射x射線照射射束而自該半導體晶圓散射之一定量之x射線輻射;及一運算系統,其經組態以基於該所偵測量之x射線輻射而判定表徵安置於該半導體晶圓上之一結構之一所關注參數之一值。
  2. 如請求項1之度量系統,其中該一或多個x射線照射光學元件同時將包含該多個照射波長的該量之軟性x射線輻射作為以複數個入射角、複數個波長及複數個方位角入射於該半導體晶圓上之一x射線照射射束聚焦至該半導體晶圓上。
  3. 如請求項1之度量系統,其中該一或多個x射線照射光學元件選擇該多個照射波長之一子集且將該多個照射波長之該子集作為入射於該半導體晶圓上之一x射線照射射束聚焦至該半導體晶圓上。
  4. 如請求項3之度量系統,其中該一或多個x射線照射光學元件以複數個入射角及複數個方位角同時將該多個照射波長之該子集聚焦至該半導體晶圓上。
  5. 如請求項3之度量系統,其中該一或多個x射線照射光學元件係選擇該多個照射波長之該子集之漸變式多層光學元件。
  6. 如請求項5之度量系統,該一或多個x射線照射光學元件係配置成一環形組態之橢圓形光學元件,該環形組態同時聚焦以複數個入射角及複數個方位角入射於該半導體晶圓上之該x射線照射射束。
  7. 如請求項1之度量系統,其中該一或多個x射線照射光學元件將該量之軟性x射線輻射聚焦至安置於該半導體晶圓上之一度量目標上,其中該度量目標包含標稱地週期性單位單元之一陣列,其中在該陣列內之經程式化或隨機位置處對標稱地週期性陣列之一或多個單元進行刪除、位移或結構上修改。
  8. 如請求項1之度量系統,其中該所關注參數係一疊對誤差、一臨界尺寸及一邊緣放置誤差中之任一者。
  9. 如請求項1之度量系統,其中該x射線照射源之一源區由10微米或更小之一橫向尺寸表徵。
  10. 如請求項1之度量系統,其中該一或多個x射線照射光學元件以0.2或更小之一倍率因子將該量之軟性x射線輻射聚焦至該半導體晶圓上。
  11. 如請求項1之度量系統,其進一步包括:一第一真空室,其包圍該x射線照射源與該半導體晶圓之間的一照射光學路徑之一顯著部分。
  12. 如請求項1之度量系統,其進一步包括:一第一真空室,其包圍該半導體晶圓與該x射線偵測器之間的一收集射束路徑之一顯著部分。
  13. 如請求項1之度量系統,其進一步包括:一樣品定位系統,其經組態以相對於該x射線照射射束定位該樣品,使得該x射線照射射束在該樣品之表面上之任一位置處入射於該樣品之該表面上且使該樣品圍繞一旋轉軸線相對於該x射線照射射束旋轉,使得該x射線照射射束以複數個入射角在任一位置處入射於該樣品之該表面上且使該樣品圍繞一方位旋轉軸線旋轉,使得該x射線照射射束以複數個方位角在任一位置處入射於該樣品之該表面上。
  14. 一種用於經改良量測準確度之方法,其包括:產生包含在自80電子伏特至3,000電子伏特之一光子能量範圍內之多個照射波長的一定量之軟性x射線輻射; 將包含該多個照射波長的該量之軟性x射線輻射作為以介於5度與20度之間的一標稱掠入射角入射於一半導體晶圓上之一x射線照射射束聚焦至該半導體晶圓上;偵測回應於該入射x射線照射射束而自該半導體晶圓散射之一定量之x射線輻射;及基於該所偵測量之x射線輻射而判定表徵安置於該半導體晶圓上之一結構之一所關注參數之一值。
  15. 如請求項14之方法,其進一步包括:同時將包含該多個照射波長的該量之軟性x射線輻射作為以複數個入射角、複數個波長及複數個方位角入射於該半導體晶圓上之一x射線照射射束聚焦至該半導體晶圓上。
  16. 如請求項14之方法,其進一步包括:選擇該多個照射波長之一子集且將該多個照射波長之該子集聚焦至該半導體晶圓上。
  17. 如請求項16之方法,其進一步包括:以複數個入射角及複數個方位角同時將該多個照射波長之該子集聚焦至該半導體晶圓上。
  18. 如請求項14之方法,其中將該量之軟性x射線輻射聚焦至安置於該半導體晶圓上之一度量目標上,其中該度量目標包含標稱地週期性單位單元 之一陣列,其中在該陣列內之經程式化或隨機位置處對標稱地週期性陣列之一或多個單元進行刪除、位移或結構上修改。
  19. 如請求項14之方法,其中該所關注參數係一疊對誤差、一臨界尺寸及一邊緣放置誤差中之任一者。
  20. 一種度量系統,其包括:一x射線照射源,其經組態以產生包含在自80電子伏特至3,000電子伏特之一光子能量範圍內之多個照射波長的一定量之軟性x射線輻射;一或多個x射線照射光學元件,其安置於該x射線照射源與一半導體晶圓之間的一照射光學路徑中,其中該一或多個x射線照射光學元件將包含該多個照射波長的該量之軟性x射線輻射作為以介於5度與20度之間的一標稱掠入射角入射於一度量目標上之一x射線照射射束聚焦至安置於該半導體晶圓上之該度量目標上,其中該度量目標包含標稱地週期性單位單元之一陣列,其中在該等單位單元中之每一者內之一經程式化或隨機位置處刪除每一標稱地週期性單位單元之一或多個結構元件;一x射線偵測器,其經組態以偵測回應於該入射x射線照射射束而自該半導體晶圓散射之一定量之x射線輻射;及一運算系統,其經組態以基於該所偵測量之x射線輻射而判定表徵安置於該半導體晶圓上之一結構之一所關注參數之一值。
  21. 如請求項20之度量系統,其中該一或多個x射線照射光學元件同時將包含該多個照射波長的該量之軟性x射線輻射作為以複數個入射角、複數 個波長及複數個方位角入射於該度量目標上之一x射線照射射束聚焦至該度量目標上。
  22. 如請求項20之度量系統,其中該一或多個x射線照射光學元件選擇該多個照射波長之一子集且將該多個照射波長之該子集聚焦至該度量目標上。
  23. 如請求項22之度量系統,其中該一或多個x射線照射光學元件以複數個入射角及複數個方位角同時將該多個照射波長之該子集聚焦至該度量目標上。
  24. 如請求項22之度量系統,其中該一或多個x射線照射光學元件係選擇該多個照射波長之該子集之漸變式多層光學元件。
  25. 如請求項24之度量系統,該一或多個x射線照射光學元件係配置成一環形組態之橢圓形光學元件,該環形組態同時聚焦以複數個入射角及複數個方位角入射於該半導體晶圓上之該x射線照射射束。
  26. 如請求項20之度量系統,其中該x射線照射源之一源區由10微米或更小之一橫向尺寸表徵。
  27. 如請求項20之度量系統,其中該一或多個x射線照射光學元件以0.2或更小之一倍率因子將該量之軟性x射線輻射聚焦至該半導體晶圓上。
TW107123873A 2017-07-11 2018-07-10 基於多色軟性x射線繞射之用於半導體度量之方法及系統 TWI805594B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762531187P 2017-07-11 2017-07-11
US62/531,187 2017-07-11
US16/030,849 US11333621B2 (en) 2017-07-11 2018-07-09 Methods and systems for semiconductor metrology based on polychromatic soft X-Ray diffraction
US16/030,849 2018-07-09

Publications (2)

Publication Number Publication Date
TW201917348A TW201917348A (zh) 2019-05-01
TWI805594B true TWI805594B (zh) 2023-06-21

Family

ID=64998771

Family Applications (1)

Application Number Title Priority Date Filing Date
TW107123873A TWI805594B (zh) 2017-07-11 2018-07-10 基於多色軟性x射線繞射之用於半導體度量之方法及系統

Country Status (7)

Country Link
US (1) US11333621B2 (zh)
JP (1) JP7181274B2 (zh)
KR (1) KR102536604B1 (zh)
CN (1) CN110832310A (zh)
IL (1) IL271730B2 (zh)
TW (1) TWI805594B (zh)
WO (1) WO2019014283A1 (zh)

Families Citing this family (46)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20150117599A1 (en) 2013-10-31 2015-04-30 Sigray, Inc. X-ray interferometric imaging system
US10295485B2 (en) 2013-12-05 2019-05-21 Sigray, Inc. X-ray transmission spectrometer system
USRE48612E1 (en) 2013-10-31 2021-06-29 Sigray, Inc. X-ray interferometric imaging system
US10401309B2 (en) 2014-05-15 2019-09-03 Sigray, Inc. X-ray techniques using structured illumination
US10247683B2 (en) 2016-12-03 2019-04-02 Sigray, Inc. Material measurement techniques using multiple X-ray micro-beams
JP6937380B2 (ja) 2017-03-22 2021-09-22 シグレイ、インコーポレイテッド X線分光を実施するための方法およびx線吸収分光システム
US11156548B2 (en) 2017-12-08 2021-10-26 Kla-Tencor Corporation Measurement methodology of advanced nanostructures
US11036898B2 (en) 2018-03-15 2021-06-15 Kla-Tencor Corporation Measurement models of nanowire semiconductor structures based on re-useable sub-structures
US10578566B2 (en) 2018-04-03 2020-03-03 Sigray, Inc. X-ray emission spectrometer system
EP3553506A3 (en) * 2018-04-13 2020-02-12 Malvern Panalytical B.V. Apparatus and method for x-ray analysis with hybrid control of beam divergence
JP7195341B2 (ja) 2018-06-04 2022-12-23 シグレイ、インコーポレイテッド 波長分散型x線分光計
US10658145B2 (en) 2018-07-26 2020-05-19 Sigray, Inc. High brightness x-ray reflection source
US10656105B2 (en) 2018-08-06 2020-05-19 Sigray, Inc. Talbot-lau x-ray source and interferometric system
US10962491B2 (en) 2018-09-04 2021-03-30 Sigray, Inc. System and method for x-ray fluorescence with filtering
WO2020051221A2 (en) 2018-09-07 2020-03-12 Sigray, Inc. System and method for depth-selectable x-ray analysis
EP3861319A4 (en) * 2018-10-04 2022-06-15 Decision Tree, LLC SYSTEMS AND METHODS FOR INTERPRETATION OF HIGH ENERGY INTERACTIONS
US11060846B2 (en) 2018-12-19 2021-07-13 Kla Corporation Scatterometry based methods and systems for measurement of strain in semiconductor structures
EP3699688A1 (en) * 2019-02-19 2020-08-26 ASML Netherlands B.V. Methods and apparatus for metrology
WO2020126248A1 (en) * 2018-12-21 2020-06-25 Asml Netherlands B.V. Methods and apparatus for metrology
US11060982B2 (en) 2019-03-17 2021-07-13 Kla Corporation Multi-dimensional model of optical dispersion
JP6975203B2 (ja) * 2019-06-14 2021-12-01 日本電子株式会社 X線分析システム及びx線分析方法
CN114424054B (zh) * 2019-06-24 2024-03-22 Sms集团有限公司 用于确定多晶产品的材料特性的设备和方法
US11460418B2 (en) 2019-08-26 2022-10-04 Kla Corporation Methods and systems for semiconductor metrology based on wavelength resolved soft X-ray reflectometry
DE112020004169T5 (de) 2019-09-03 2022-05-25 Sigray, Inc. System und verfahren zur computergestützten laminografieröntgenfluoreszenz-bildgebung
US11867595B2 (en) 2019-10-14 2024-01-09 Industrial Technology Research Institute X-ray reflectometry apparatus and method thereof for measuring three dimensional nanostructures on flat substrate
US11579099B2 (en) * 2019-10-14 2023-02-14 Industrial Technology Research Institute X-ray reflectometry apparatus and method thereof for measuring three dimensional nanostructures on flat substrate
TWI753490B (zh) * 2019-10-14 2022-01-21 財團法人工業技術研究院 用於檢測平面基板上之三維奈米結構的x光反射儀與其方法
US11272607B2 (en) 2019-11-01 2022-03-08 Kla Corporation Laser produced plasma illuminator with low atomic number cryogenic target
US11259394B2 (en) 2019-11-01 2022-02-22 Kla Corporation Laser produced plasma illuminator with liquid sheet jet target
CN110823933A (zh) * 2019-11-08 2020-02-21 北京工业大学 一种应用聚焦光系统进行掠入射x衍射方法
US11520321B2 (en) 2019-12-02 2022-12-06 Kla Corporation Measurement recipe optimization based on probabilistic domain knowledge and physical realization
US11610297B2 (en) 2019-12-02 2023-03-21 Kla Corporation Tomography based semiconductor measurements using simplified models
US11698251B2 (en) 2020-01-07 2023-07-11 Kla Corporation Methods and systems for overlay measurement based on soft X-ray Scatterometry
US11175243B1 (en) 2020-02-06 2021-11-16 Sigray, Inc. X-ray dark-field in-line inspection for semiconductor samples
US11513085B2 (en) * 2020-02-20 2022-11-29 Kla Corporation Measurement and control of wafer tilt for x-ray based metrology
US11143604B1 (en) * 2020-04-06 2021-10-12 Kla Corporation Soft x-ray optics with improved filtering
DE112021002841T5 (de) 2020-05-18 2023-03-23 Sigray, Inc. System und Verfahren für Röntgenabsorptionsspektroskopie unter Verwendung eines Kristallanalysators und mehrerer Detektorelemente
WO2022061347A1 (en) 2020-09-17 2022-03-24 Sigray, Inc. System and method using x-rays for depth-resolving metrology and analysis
US11530913B2 (en) 2020-09-24 2022-12-20 Kla Corporation Methods and systems for determining quality of semiconductor measurements
KR20230109735A (ko) 2020-12-07 2023-07-20 시그레이, 아이엔씨. 투과 x-선 소스를 이용한 고처리량 3D x-선 이미징 시스템
US12013355B2 (en) * 2020-12-17 2024-06-18 Kla Corporation Methods and systems for compact, small spot size soft x-ray scatterometry
US11604420B2 (en) 2021-05-03 2023-03-14 Kla Corporation Self-calibrating overlay metrology
US11604063B2 (en) 2021-06-24 2023-03-14 Kla Corporation Self-calibrated overlay metrology using a skew training sample
US12019030B2 (en) 2022-01-18 2024-06-25 Kla Corporation Methods and systems for targeted monitoring of semiconductor measurement quality
WO2023177981A1 (en) 2022-03-15 2023-09-21 Sigray, Inc. System and method for compact laminography utilizing microfocus transmission x-ray source and variable magnification x-ray detector
US11885755B2 (en) 2022-05-02 2024-01-30 Sigray, Inc. X-ray sequential array wavelength dispersive spectrometer

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5757882A (en) * 1995-12-18 1998-05-26 Osmic, Inc. Steerable x-ray optical system
US20080273662A1 (en) * 2007-05-04 2008-11-06 Xradia, Inc. CD-GISAXS System and Method
US20150204802A1 (en) * 2014-01-23 2015-07-23 Heath A. Pois Methods and systems for measuring periodic structures using multi-angle x-ray reflectance scatterometry (xrs)
TW201715198A (zh) * 2015-08-12 2017-05-01 Asml荷蘭公司 計量方法、輻射源、計量裝置及器件製造方法
TW201723424A (zh) * 2015-10-12 2017-07-01 Asml荷蘭公司 用於模擬輻射與結構互動之方法及設備、度量衡方法及設備、元件製造方法

Family Cites Families (67)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5177774A (en) 1991-08-23 1993-01-05 Trustees Of Princeton University Reflection soft X-ray microscope and method
US5608526A (en) 1995-01-19 1997-03-04 Tencor Instruments Focused beam spectroscopic ellipsometry method and system
US6023338A (en) 1996-07-12 2000-02-08 Bareket; Noah Overlay alignment measurement of wafers
US5859424A (en) 1997-04-08 1999-01-12 Kla-Tencor Corporation Apodizing filter system useful for reducing spot size in optical measurements and other applications
US6831963B2 (en) 2000-10-20 2004-12-14 University Of Central Florida EUV, XUV, and X-Ray wavelength sources created from laser plasma produced from liquid metal solutions
US6429943B1 (en) 2000-03-29 2002-08-06 Therma-Wave, Inc. Critical dimension analysis with simultaneous multiple angle of incidence measurements
US6787773B1 (en) 2000-06-07 2004-09-07 Kla-Tencor Corporation Film thickness measurement using electron-beam induced x-ray microanalysis
US7068833B1 (en) 2000-08-30 2006-06-27 Kla-Tencor Corporation Overlay marks, methods of overlay mark design and methods of overlay measurements
US7541201B2 (en) 2000-08-30 2009-06-02 Kla-Tencor Technologies Corporation Apparatus and methods for determining overlay of structures having rotational or mirror symmetry
US7317531B2 (en) 2002-12-05 2008-01-08 Kla-Tencor Technologies Corporation Apparatus and methods for detecting overlay errors using scatterometry
US20030002043A1 (en) 2001-04-10 2003-01-02 Kla-Tencor Corporation Periodic patterns and technique to control misalignment
US6716646B1 (en) 2001-07-16 2004-04-06 Advanced Micro Devices, Inc. Method and apparatus for performing overlay measurements using scatterometry
JP2003098297A (ja) * 2001-09-26 2003-04-03 Nikon Corp 多層膜除去加工装置、多層膜除去加工方法、多層膜反射鏡及びx線露光装置
JP3728495B2 (ja) 2001-10-05 2005-12-21 独立行政法人産業技術総合研究所 多層膜マスク欠陥検査方法及び装置
US7280230B2 (en) 2001-12-19 2007-10-09 Kla-Tencor Technologies Corporation Parametric profiling using optical spectroscopic systems
US6778275B2 (en) 2002-02-20 2004-08-17 Micron Technology, Inc. Aberration mark and method for estimating overlay error and optical aberrations
US6992764B1 (en) 2002-09-30 2006-01-31 Nanometrics Incorporated Measuring an alignment target with a single polarization state
WO2004046655A2 (en) * 2002-11-20 2004-06-03 Mehrdad Nikoohahad System and method for characterizing three-dimensional structures
US7842933B2 (en) 2003-10-22 2010-11-30 Applied Materials Israel, Ltd. System and method for measuring overlay errors
US7035375B2 (en) * 2003-11-05 2006-04-25 Jordan Valley Applied Radiation Ltd. X-ray scattering with a polychromatic source
US6937337B2 (en) 2003-11-19 2005-08-30 International Business Machines Corporation Overlay target and measurement method using reference and sub-grids
US7321426B1 (en) 2004-06-02 2008-01-22 Kla-Tencor Technologies Corporation Optical metrology on patterned samples
US7120228B2 (en) * 2004-09-21 2006-10-10 Jordan Valley Applied Radiation Ltd. Combined X-ray reflectometer and diffractometer
US7609309B2 (en) 2004-11-18 2009-10-27 Kla-Tencor Technologies Corporation Continuous clocking of TDI sensors
US7478019B2 (en) 2005-01-26 2009-01-13 Kla-Tencor Corporation Multiple tool and structure analysis
US7351980B2 (en) 2005-03-31 2008-04-01 Kla-Tencor Technologies Corp. All-reflective optical systems for broadband wafer inspection
JP4585926B2 (ja) 2005-06-17 2010-11-24 株式会社日立ハイテクノロジーズ パターンレイヤーデータ生成装置、それを用いたパターンレイヤーデータ生成システム、半導体パターン表示装置、パターンレイヤーデータ生成方法、及びコンピュータプログラム
US7567351B2 (en) 2006-02-02 2009-07-28 Kla-Tencor Corporation High resolution monitoring of CD variations
JP4887062B2 (ja) 2006-03-14 2012-02-29 株式会社日立ハイテクノロジーズ 試料寸法測定方法、及び試料寸法測定装置
US7435982B2 (en) 2006-03-31 2008-10-14 Energetiq Technology, Inc. Laser-driven light source
DE102006017904B4 (de) 2006-04-13 2008-07-03 Xtreme Technologies Gmbh Anordnung zur Erzeugung von extrem ultravioletter Strahlung aus einem energiestrahlerzeugten Plasma mit hoher Konversionseffizienz und minimaler Kontamination
US7705331B1 (en) 2006-06-29 2010-04-27 Kla-Tencor Technologies Corp. Methods and systems for providing illumination of a specimen for a process performed on the specimen
US7406153B2 (en) 2006-08-15 2008-07-29 Jordan Valley Semiconductors Ltd. Control of X-ray beam spot size
US7959310B2 (en) 2006-09-13 2011-06-14 Carl Zeiss Smt Gmbh Optical arrangement and EUV lithography device with at least one heated optical element, operating methods, and methods for cleaning as well as for providing an optical element
US7873585B2 (en) 2007-08-31 2011-01-18 Kla-Tencor Technologies Corporation Apparatus and methods for predicting a semiconductor parameter across an area of a wafer
DE102008049589A1 (de) 2008-09-30 2010-04-08 Carl Zeiss Smt Ag Optische Abbildungseinrichtung und Abbildungsverfahren für die Mikroskopie
US7929667B1 (en) 2008-10-02 2011-04-19 Kla-Tencor Corporation High brightness X-ray metrology
JP5580032B2 (ja) 2008-12-26 2014-08-27 ギガフォトン株式会社 極端紫外光光源装置
US8624971B2 (en) 2009-01-23 2014-01-07 Kla-Tencor Corporation TDI sensor modules with localized driving and signal processing circuitry for high speed inspection
US8068662B2 (en) 2009-03-30 2011-11-29 Hermes Microvision, Inc. Method and system for determining a defect during charged particle beam inspection of a sample
JP5237186B2 (ja) * 2009-04-30 2013-07-17 株式会社リガク X線散乱測定装置およびx線散乱測定方法
US8000212B2 (en) 2009-12-15 2011-08-16 Cymer, Inc. Metrology for extreme ultraviolet light source
US8368039B2 (en) 2010-04-05 2013-02-05 Cymer, Inc. EUV light source glint reduction system
JP5764380B2 (ja) 2010-04-29 2015-08-19 エフ イー アイ カンパニFei Company Sem画像化法
US9046475B2 (en) 2011-05-19 2015-06-02 Applied Materials Israel, Ltd. High electron energy based overlay error measurement methods and systems
JP5460662B2 (ja) * 2011-09-07 2014-04-02 株式会社日立ハイテクノロジーズ 領域決定装置、観察装置または検査装置、領域決定方法および領域決定方法を用いた観察方法または検査方法
JP2015078835A (ja) * 2012-01-18 2015-04-23 株式会社リガク X線回折装置
US10107621B2 (en) 2012-02-15 2018-10-23 Nanometrics Incorporated Image based overlay measurement with finite gratings
US10801975B2 (en) 2012-05-08 2020-10-13 Kla-Tencor Corporation Metrology tool with combined X-ray and optical scatterometers
US10013518B2 (en) 2012-07-10 2018-07-03 Kla-Tencor Corporation Model building and analysis engine for combined X-ray and optical metrology
US8749179B2 (en) 2012-08-14 2014-06-10 Kla-Tencor Corporation Optical characterization systems employing compact synchrotron radiation sources
WO2014062972A1 (en) 2012-10-18 2014-04-24 Kla-Tencor Corporation Symmetric target design in scatterometry overlay metrology
US9581430B2 (en) 2012-10-19 2017-02-28 Kla-Tencor Corporation Phase characterization of targets
US9879977B2 (en) * 2012-11-09 2018-01-30 Kla-Tencor Corporation Apparatus and method for optical metrology with optimized system parameters
US10769320B2 (en) 2012-12-18 2020-09-08 Kla-Tencor Corporation Integrated use of model-based metrology and a process model
US9291554B2 (en) 2013-02-05 2016-03-22 Kla-Tencor Corporation Method of electromagnetic modeling of finite structures and finite illumination for metrology and inspection
US10101670B2 (en) 2013-03-27 2018-10-16 Kla-Tencor Corporation Statistical model-based metrology
US9915522B1 (en) 2013-06-03 2018-03-13 Kla-Tencor Corporation Optimized spatial modeling for optical CD metrology
US9535018B2 (en) * 2013-07-08 2017-01-03 Kla-Tencor Corporation Combined x-ray and optical metrology
US9449781B2 (en) * 2013-12-05 2016-09-20 Sigray, Inc. X-ray illuminators with high flux and high flux density
US9846132B2 (en) 2013-10-21 2017-12-19 Kla-Tencor Corporation Small-angle scattering X-ray metrology systems and methods
US9885962B2 (en) 2013-10-28 2018-02-06 Kla-Tencor Corporation Methods and apparatus for measuring semiconductor device overlay using X-ray metrology
US9494535B2 (en) * 2014-04-21 2016-11-15 Kla-Tencor Corporation Scatterometry-based imaging and critical dimension metrology
US9915524B2 (en) * 2015-05-11 2018-03-13 Kla-Tencor Corporation Optical metrology with small illumination spot size
US10338481B2 (en) 2015-10-27 2019-07-02 Asml Holding N.V. Polarization independent metrology system
US10880979B2 (en) 2015-11-10 2020-12-29 Kla Corporation Droplet generation for a laser produced plasma light source
US10021773B2 (en) 2015-11-16 2018-07-10 Kla-Tencor Corporation Laser produced plasma light source having a target material coated on a cylindrically-symmetric element

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5757882A (en) * 1995-12-18 1998-05-26 Osmic, Inc. Steerable x-ray optical system
US20080273662A1 (en) * 2007-05-04 2008-11-06 Xradia, Inc. CD-GISAXS System and Method
US20150204802A1 (en) * 2014-01-23 2015-07-23 Heath A. Pois Methods and systems for measuring periodic structures using multi-angle x-ray reflectance scatterometry (xrs)
JP2017504045A (ja) * 2014-01-23 2017-02-02 リヴェラ インコーポレイテッド マルチアングルx線反射散乱計測(xrs)を用いた周期構造を計測する方法およびシステム
TW201715198A (zh) * 2015-08-12 2017-05-01 Asml荷蘭公司 計量方法、輻射源、計量裝置及器件製造方法
TW201723424A (zh) * 2015-10-12 2017-07-01 Asml荷蘭公司 用於模擬輻射與結構互動之方法及設備、度量衡方法及設備、元件製造方法

Also Published As

Publication number Publication date
IL271730B1 (en) 2023-07-01
CN110832310A (zh) 2020-02-21
WO2019014283A1 (en) 2019-01-17
IL271730B2 (en) 2023-11-01
TW201917348A (zh) 2019-05-01
US20190017946A1 (en) 2019-01-17
US11333621B2 (en) 2022-05-17
JP7181274B2 (ja) 2022-11-30
IL271730A (en) 2020-02-27
KR20200018824A (ko) 2020-02-20
KR102536604B1 (ko) 2023-05-24
JP2020526929A (ja) 2020-08-31

Similar Documents

Publication Publication Date Title
TWI805594B (zh) 基於多色軟性x射線繞射之用於半導體度量之方法及系統
TWI806955B (zh) 用於組合之x 射線反射量測術與光電子光譜術之系統及方法
TWI833979B (zh) 計量系統及方法
US10859518B2 (en) X-ray zoom lens for small angle x-ray scatterometry
US11698251B2 (en) Methods and systems for overlay measurement based on soft X-ray Scatterometry
US12013355B2 (en) Methods and systems for compact, small spot size soft x-ray scatterometry