KR20170139669A - 계산 효율적인 x 선 기반의 오버레이 측정 - Google Patents

계산 효율적인 x 선 기반의 오버레이 측정 Download PDF

Info

Publication number
KR20170139669A
KR20170139669A KR1020177034222A KR20177034222A KR20170139669A KR 20170139669 A KR20170139669 A KR 20170139669A KR 1020177034222 A KR1020177034222 A KR 1020177034222A KR 20177034222 A KR20177034222 A KR 20177034222A KR 20170139669 A KR20170139669 A KR 20170139669A
Authority
KR
South Korea
Prior art keywords
overlay
ray
different
measurement
modulation
Prior art date
Application number
KR1020177034222A
Other languages
English (en)
Other versions
KR102512180B1 (ko
Inventor
존 헨치
안드레이 쉬체그로브
마이클 베이크만
Original Assignee
케이엘에이-텐코 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 케이엘에이-텐코 코포레이션 filed Critical 케이엘에이-텐코 코포레이션
Publication of KR20170139669A publication Critical patent/KR20170139669A/ko
Application granted granted Critical
Publication of KR102512180B1 publication Critical patent/KR102512180B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N23/00Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00
    • G01N23/20Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by using diffraction of the radiation by the materials, e.g. for investigating crystal structure; by using scattering of the radiation by the materials, e.g. for investigating non-crystalline materials; by using reflection of the radiation by the materials
    • G01N23/207Diffractometry using detectors, e.g. using a probe in a central position and one or more displaceable detectors in circumferential positions
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N23/00Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00
    • G01N23/20Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by using diffraction of the radiation by the materials, e.g. for investigating crystal structure; by using scattering of the radiation by the materials, e.g. for investigating non-crystalline materials; by using reflection of the radiation by the materials
    • G01N23/20008Constructional details of analysers, e.g. characterised by X-ray source, detector or optical system; Accessories therefor; Preparing specimens therefor
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N23/00Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00
    • G01N23/20Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by using diffraction of the radiation by the materials, e.g. for investigating crystal structure; by using scattering of the radiation by the materials, e.g. for investigating non-crystalline materials; by using reflection of the radiation by the materials
    • G01N23/201Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by using diffraction of the radiation by the materials, e.g. for investigating crystal structure; by using scattering of the radiation by the materials, e.g. for investigating non-crystalline materials; by using reflection of the radiation by the materials by measuring small-angle scattering
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0035Multiple processes, e.g. applying a further resist layer on an already in a previously step, processed pattern or textured surface
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
    • GPHYSICS
    • G21NUCLEAR PHYSICS; NUCLEAR ENGINEERING
    • G21KTECHNIQUES FOR HANDLING PARTICLES OR IONISING RADIATION NOT OTHERWISE PROVIDED FOR; IRRADIATION DEVICES; GAMMA RAY OR X-RAY MICROSCOPES
    • G21K1/00Arrangements for handling particles or ionising radiation, e.g. focusing or moderating
    • G21K1/06Arrangements for handling particles or ionising radiation, e.g. focusing or moderating using diffraction, refraction or reflection, e.g. monochromators
    • G21K1/062Devices having a multilayer structure
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N2223/00Investigating materials by wave or particle radiation
    • G01N2223/60Specific applications or type of materials
    • G01N2223/611Specific applications or type of materials patterned objects; electronic devices

Landscapes

  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Pathology (AREA)
  • Analytical Chemistry (AREA)
  • Biochemistry (AREA)
  • General Health & Medical Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Immunology (AREA)
  • Health & Medical Sciences (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Engineering & Computer Science (AREA)
  • General Engineering & Computer Science (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Analysing Materials By The Use Of Radiation (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Length-Measuring Devices Using Wave Or Particle Radiation (AREA)

Abstract

x 선 회절 측정 데이터에 기초하여 디바이스 구조체의 오버레이 및 에지 배치 오차를 수행하기 위한 방법 및 시스템이 제시된다. 계측 타겟의 상이한 층 사이의 오버레이 오차는 다수의 상이한 입사각 및 방위각에서 측정되는 각각의 x 선 회절 차수 내의 강도 변동에 기초하여 추정된다. 오버레이의 추정은, 저주파 형상 변조가 기저 함수의 세트에 의해 설명되고 고주파 오버레이 변조가, 오버레이를 나타내는 파라미터를 포함하는 아핀 원형 함수에 의해 설명되도록, 공통 차수의 상기 강도 변조의 파라미터화를 수반한다. 오버레이에 추가하여, 계측 타겟의 형상 파라미터가, 측정된 회절 차수의 강도에 대한 측정 모델의 피팅 분석에 기초하여 추정된다. 몇몇 예에서, 오버레이의 추정 및 하나 이상의 형상 파라미터 값의 추정은 동시에 수행된다.

Description

계산 효율적인 X 선 기반의 오버레이 측정
본 특허 출원은, 35 U.S.C. §119 하에서, 2015년 4월 28일자로 출원된 발명의 명칭이 "Model-Free Method and Apparatus for Measuring Semiconductor Device Overlay Using X-ray Metrology Techniques"인 미국 특허 가출원 제62/154,108호로부터의 우선권을 주장하는데, 상기 특허 가출원의 주제는, 참조에 의해 그 전체가 본원에 통합된다.
설명되는 실시형태는 계측 시스템 및 방법에 관한 것으로, 특히 향상된 측정 정확도를 위한 방법 및 시스템에 관한 것이다.
로직 및 메모리 디바이스와 같은 반도체 디바이스는 시료(specimen)에 대해 적용되는 일련의 프로세싱 단계에 의해 통상적으로 제조된다. 반도체 디바이스의 다양한 피쳐(feature) 및 다수의 구조적 레벨(structural level)은 이들 프로세싱 단계에 의해 형성된다. 예를 들면, 다른 것들 중에서도 리소그래피는 반도체 웨이퍼 상에 패턴을 생성하는 것을 수반하는 하나의 반도체 제조 프로세스이다. 반도체 제조 프로세스의 추가적인 예는, 화학적 기계적 연마, 에칭, 퇴적(deposition), 및 이온 주입을 포함하지만, 그러나 이들로 제한되는 것은 아니다. 다수의 반도체 디바이스는 단일의 반도체 웨이퍼 상에서 제조될 수도 있고 그 다음 개개의 반도체 디바이스로 분리될 수도 있다.
계측 프로세스는, 웨이퍼 상에서 결함을 검출하여 더 높은 수율을 촉진하기 위해, 반도체 제조 프로세스 동안의 다양한 단계에서 사용된다. 나노 스케일 구조체의 임계 치수, 막 두께, 조성 및 다른 파라미터의 특성을 묘사하기 위해, 산란측정법 구현(scatterometry implementation)과 반사측정법 구현(reflectometry implementation) 및 관련 분석 알고리즘을 포함하는 다수의 계측 기반 기술이 일반적으로 사용된다.
20 나노미터 미만의 반도체 디바이스 제조 노드에서 제조되는 가장 진보된 로직 및 메모리 디바이스는, 다중 패턴화 프로세스를 사용하여 구성된다. 예시적인 다중 패턴화 프로세스는, 자기 정렬 이중 패턴화(self-aligned double patterning; SADP), 자기 정렬 삼중 패턴화(self-aligned triple patterning; SATP) 및 자기 정렬 사중 패턴화(self-aligned quadruple patterning; SAQP) 기술을 포함한다.
하나의 예에서, SAQP 핀 형성 프로세스가, 종래의 단일의 패턴 리소그래피로 획득 가능한 피치의 1/4인 타겟 피치를 달성한다. 하나의 예에서, 핀 구조체를 생성하기 위해서는 적어도 14 개의 단계가 필요로 된다. 이들 단계는, 소망하는 피치 및 프로파일을 갖는 핀 구조체를 실현하기 위해 정밀하게 제어되어야만 하는 리소그래피, 에칭 및 스트립 단계를 포함한다. SAQP 핀 형성 프로세스에 의해 달성되는 최종 피치 값 및 핀 프로파일(예를 들면, CD, SWA)은, 이전 단계로부터의 구조 파라미터 값(예를 들면, 레지스트 프로파일 파라미터, 스페이서 막 두께, 및 등등)에 의해 영향을 받는다.
현재, 오버레이의 측정은 주로, 광학적 이미징 또는 비이미징 회절(non-imaging diffraction)(산란측정법) 중 어느 하나에 기초한 광학적 방법을 사용하여 수행된다. 그러나, 이들 접근법(approach)은, 많은 고급 타겟(예를 들면, 복잡한 3D 구조체, 10nm보다 작은 구조체, 불투명한 재료를 활용하는 구조체)의 측정 및 측정 애플리케이션(예를 들면, 라인 에지 조도(line edge roughness) 및 라인 폭 조도(line width roughness) 측정)과 관련되는 근본적인 도전 과제를 신뢰성 있게 극복하지 못했다.
디바이스(예를 들면, 로직 및 메모리 디바이스)가 더 작은 나노미터 스케일의 치수를 향해 이동함에 따라, 특성 묘사가 더욱 어려워지게 된다. 복잡한 삼차원 기하학적 구조(geometry) 및 다양한 물리적 특성을 갖는 재료를 통합하는 디바이스는 특성 묘사의 어려움에 기여한다. 예를 들면, 현대의 메모리 구조체는, 종종, 광학적 방사선(optical radiation)이 하부 층(bottom layer)까지 침투하는 것을 어렵게 만드는 높은 종횡비의 삼차원 구조체이다. 적외선 내지 가시 광선을 활용하는 광학적 계측 툴은 반투명 재료의 많은 층을 통과할 수 있지만, 그러나 양호한 침투 깊이를 제공하는 더 긴 파장은 작은 변형에 대해 충분한 감도를 제공하지 못한다. 또한, 복잡한 구조체(예를 들면, FinFET)를 특성 묘사하는 데 필요로 되는 파라미터의 증가하는 수는, 증가하는 파라미터 상관 관계로 이어진다. 결과적으로, 타겟을 특성 묘사하는 파라미터는, 종종, 이용 가능한 측정을 통해 신뢰성 있게 분리될 수 없다. 에지 배치 오차(edge placement error; EPE)와 같은 몇몇 구조 파라미터의 경우, 현재 높은 스루풋의(예를 들면, 광학적) 측정 솔루션은 존재하지 않는다.
다른 예에서, 불투명한 고 유전율 재료가 현대의 반도체 구조체에서 점점 더 많이 사용되고 있다. 광학적 방사선은 종종 이들 재료로 구성되는 층을 투과할 수 없다. 결과적으로, 타원편광 해석기(ellipsometer) 또는 반사계(reflectometer)와 같은 박막 산란측정법 툴을 사용한 측정은 점점 어려워지고 있다.
몇몇 예에서, 광학적 오버레이 계측이 또한 활용되지만, 그러나 광학적 오버레이 측정은 다중 패턴화 기술에 의해 제조되는 구조체를 특성 묘사하기 위해 특별한 계측 타겟을 필요로 한다. 현존하는 방법에서, 오버레이 오차는, 통상적으로, 리소그래피 툴에 의해 웨이퍼 상의 다양한 위치에 형성되는 특별한 타겟 구조체의 측정에 기초하여 평가된다. 타겟 구조체는 많은 형태, 예컨대 박스 내 박스 구조체(box in box structure)를 취할 수도 있다. 이 형태에서, 웨이퍼의 하나의 층 상에 박스가 생성되고, 다른 층 상에 제2의 더 작은 박스가 생성된다. 두 박스의 중심 사이의 정렬을 비교하는 것에 의해 국소화된 오버레이 오차가 측정된다. 이러한 측정은, 타겟 구조체가 이용 가능한 웨이퍼 상의 위치에서 취해진다.
불행히도, 이들 특별한 타겟 구조체는, 종종, 전자 디바이스를 생성하기 위해 활용되고 있는 특정한 반도체 제조 프로세스의 설계 룰을 따르지 않는다. 이것은, 적용 가능한 설계 룰에 따라 제조되는 실제 디바이스 구조체와 관련되는 오버레이 오차의 추정에서 오차로 이어진다.
하나의 예에서, 이미지 기반의 광학적 오버레이 계측은 광학 파장에서 이미징의 해상도에 의해 심각하게 제한된다. 따라서, 설계 룰보다 훨씬 더 큰 피쳐를 갖는 타겟만이 측정될 수 있다. 이미지 기반의 오버레이 계측은, 종종, 설계 룰 임계 치수를 훨씬 초과하는 임계 치수를 갖는 두꺼운 라인을 필요로 하는 광학 현미경으로 패턴이 분해되는 것을 필요로 한다.
다른 예에서, 0차 회절에 기초하는 산란측정법 기반의 광학적 오버레이 계측은, 주기적인 타겟의 피치에 따라 감도가 감소함에 따라, 작은 오버레이 오차에 대해 매우 낮은 감도를 갖는다. 이것은, 피치를, 디바이스의 설계 룰보다 훨씬 더 큰 치수로 몰고 간다. 또한, 이 측정 접근법의 정확도는, 오버레이가 측정되는 층 중 임의의 층에서 임의의 비대칭성의 존재시 극적으로 저하한다. 또한, 이 접근법은, 단일의 측정에서 양의(positive) 오버레이 오차 및 음의 오버레이 오차 사이를 구별할 수 없다.
다른 예에서, 제로보다 더 높은 회절 차수에 기초한 산란측정법 기반의 광학 오버레이 계측은 또한, 넌제로의 전파 회절 차수(nonzero propagating diffraction order)에서 충분한 신호를 생성하기 위해 상대적으로 큰 피치 타겟을 필요로 한다. 몇몇 예에서, 500 내지 800nm 범위의 피치 값이 사용될 수도 있다. 한편, 로직 또는 메모리 애플리케이션(설계 룰 치수)에 대한 실제 디바이스 피치는, 예를 들면, 100 내지 400nm의 범위, 또는 심지어 100nm 미만으로 훨씬 작을 수도 있다. 또한, 이 접근법의 정확도는 오버레이가 측정되는 층 중 임의의 층에서 임의의 비대칭성의 존재시 극적으로 저하한다.
원자력 현미경(Atomic force microscopes; AFM) 및 주사 터널 현미경(scanning-tunneling microscopes; STM)은, 원자 분해능(atomic resolution)을 달성할 수 있지만, 그러나 이들은 시료의 표면만을 조사할 수 있다. 또한, AFM 및 STM 현미경은 긴 주사 시간을 필요로 한다.
주사 전자 현미경(scanning electron microscopes; SEM)은 중간 해상도 레벨을 달성하지만, 그러나 샘플을 파괴하지 않고는 충분한 깊이까지 구조체를 관통할 수 없다. 따라서, 높은 종횡비의 구멍은 특성이 잘 묘사되지 않는다. 또한, 시료의 필수적 대전(charging)은 이미징 성능에 악영향을 미친다.
투과 전자 현미경(transmission electron microscopes; TEM)은 높은 해상도 레벨을 달성하고 임의의 깊이를 조사할 수 있지만, 그러나 TEM은 시료의 파괴적인 절단을 필요로 한다.
다른 예에서, x 선 오버레이 측정 방법은, 고정된(수직) 입사각에서 회절 차수("로브(lobe)") 사이의 회절된 x 선 에너지 재분배를 식별하는 것에 기초한다. 이 접근법은, Jordan Valley Applied Radiation, Ltd에게 양도된 Yokhim 등의 미국 특허 제7,481,579호에서 설명된다. 이 양은 오버레이에 대해 상대적으로 낮은 감도를 가지며 CD 기하학적 파라미터에 강하게 상관 관계가 있는데, 그 이유는 그것이 각각의 회절 차수 내의 강도 분포를 고려하지 않기 때문이다. 따라서, CD의 영향을 조정하기 위해서는, 외부 계측 시스템 또는 계산적으로 고가의 시뮬레이션 중 어느 하나가 필요로 된다. 이들 접근법 중 어느 것이든, CD 파라미터(예를 들면, 비대칭성)와 오버레이 사이의 높은 상관 관계로 인해, 정확도와 정밀도에서 제한된다.
다른 예에서, x 선 오버레이 측정 방법은, 웨이퍼가 웨이퍼 표면의 평면에 놓인 축을 중심으로 회전될 때 측정된 강도 신호의 변조에 기초한다. 추가적인 세부 사항은, Veldman 등등에 의한 미국 특허 공개 공보 제2015/0117610호에서 설명되는데, 이 공개 공보의 내용은 참조에 의해 그 전체가 본원에 통합된다. 이 예에서, 측정된 주기성은 웨이퍼 표면에 수직인 치수로 투사되지만, 웨이퍼 표면에 평행하고 주기적 치수에 수직인 방향으로 투사되지는 않는다.
요약하면, 로직 디바이스 및 고급 DRAM, 및 수직 또는 평면 NAND 디바이스에 대한 20 나노미터 미만의 디바이스 제조 노드에서의 반도체 디바이스 수율은, 막 두께, 패턴화된 라인의 프로파일 파라미터, 오버레이 오차, 및 에지 배치 오차(edge placement errors; EPE)를 비롯한, 많은 파라미터의 복잡한 함수이다. 이들 중, EPE는 가장 까다로운 프로세스 윈도우를 가지며 CD 및 오버레이의 계측 및 제어를 필요로 한다. 현재 EPE 측정 및 많은 온 디바이스 오버레이 측정 애플리케이션에 대한 높은 스루풋의 광학적 계측 솔루션은 존재하지 않는다. 또한, 적절한 계측의 부재는, 디바이스 수율을 향상시키는 제어 스킴(scheme)을 정의하는 것을 어렵게 만든다.
미래의 계측 애플리케이션은, 점점 더 작아지는 분해능 요건, 다중 파라미터 상관 관계, 점점 복잡해지는 기하학적 구조체, 및 불투명 재료의 증가하는 사용으로 인해, 도전 과제를 제시한다. 따라서, 향상된 오버레이 및 형상 측정을 위한 방법 및 시스템이 소망된다.
x 선 회절 측정 데이터에 기초하여 구조체 및 재료의 오버레이 및 에지 배치 오차를 수행하기 위한 방법 및 시스템이 제시된다. 하나의 양태에서, 계측 타겟의 x 선 회절 측정은, 계측 타겟에 고정된 좌표 프레임을 참조하여 측정되는 바와 같은 다수의 상이한 입사각 및 방위각에서 수행된다. 계측 타겟의 상이한 반도체 층 사이의 오버레이 오차는, 다수의 상이한 입사각 및 다수의 상이한 방위각에서 측정되는 각각의 x 선 회절 차수 내에서의 강도 변동에 기초하여 추정된다.
계측 타겟의 상이한 층 내의 두 개 이상의 구조체의 수직 적층은, 다수의 상이한 입사각 및 다수의 상이한 방위각에서 측정이 이루어질 때 강력하고 독특한 방식으로 x 선 회절 신호에 영향을 미친다. 따라서, 오버레이 및 형상 파라미터의 값은, 측정된 강도에 기초하여 추정된다.
추가 양태에서, 오버레이의 추정은, 저주파 형상 변조가 기저 함수(basis function)의 세트 또는 비율에 의해 설명되고 고주파 오버레이 변조가, 오버레이를 나타내는 파라미터를 포함하는 아핀 원형 함수(affine-circular function)에 의해 설명되도록, 공통 차수의 강도 변조의 파라미터화를 수반한다. 측정된 강도 신호를 현상론적인 간단한 함수에 적합시키는 것에 의해, 다수의 층과 관련되는 오버레이 오프셋은 계산 효율적인 방식으로 추정될 수도 있다. 결과적으로, 측정은 상대적으로 낮은 계산 비용으로 그리고 외부 기준 계측 없이 수행되고, 따라서 SEM, 광학적 계측, 또는 다른 제안된 x 선 계측 기술에 기초하여 현재 방법의 제한을 극복한다.
또 다른 양태에서, 오버레이에 대한 감도가 증가되고 오버레이와 형상 파라미터 사이의 상관 관계가 최소화되게끔 오버레이 변조의 공간 주파수를 향상시키도록 입사각 및 방위각의 세트가 설계된다.
다른 양태에서, 다수의 상이한 입사각 및 방위각에서 수행되는 계측 타겟의 x 선 회절 측정에 기초하여 두 개의 상이한 방향에서 오버레이에 대한 감도를 나타내는 오버레이 타겟이 제공된다. 몇몇 실시형태에서, 오버레이 계측 타겟은, 하나의 방향(예를 들면, x 방향)에서 그리고 직교하는 방향(예컨대, y 방향)에서 동일한 격자 수의 세트를 갖는 2D의 주기적 구조체의 임의의 두 층을 포함한다. 몇몇 다른 실시형태에서, 오버레이 계측 타겟은 세 개 이상의 층을 포함한다. 제1 층은 1D의 주기적 구조체를 포함한다.
다른 양태에서, 오버레이 계측 타겟은, 구성 층의 각각으로부터의 오버레이 신호의 독립성을 증가시키도록 그리고 오버레이 측정의 정밀도 및 정확도를 최대화하도록 특별히 최적화된다.
몇몇 실시형태에서, 두 개의 층의 각각의 조합 사이의 분리 파라미터 세트가 구별되도록 그리고 모든 층 조합 사이의 최소 분리 거리가 계측 타겟의 전체 높이에 대한 제약에 종속하여 최대화되도록, 다중 층 오버레이 계측 타겟이 설계된다.
몇몇 실시형태에서, 하나의 층으로부터 발생하는 회절 차수가 다른 층의 상이한 회절 차수와 보강(constructively) 간섭하도록, 다중 층 오버레이 계측 타겟이 상이한 층에서 상이한 피치를 가지고 설계된다.
몇몇 실시형태에서, 하나의 층으로부터 발생하는 회절 차수가 다른 층의 상이한 회절 차수와 보강 간섭하도록, 다중 층 오버레이 계측 타겟이 상이한 층에서 상이한 피치 방위를 가지고 설계된다.
또 다른 추가적인 양태에서, 계측 타겟을 포함하는 구조체 중 임의의 것의 형상 파라미터의 값은, 측정 모델을 사용한 회절 차수의 검출된 강도의 피팅 분석(fitting analysis)에 기초하여 추정된다. 몇몇 예에서, 오버레이의 추정 및 하나 이상의 형상 파라미터 값의 추정은 동시에 수행된다.
앞서 언급한 것은 개요이며, 따라서, 필요에 의해, 세부사항의 단순화, 일반화, 및 생략을 포함하며; 결과적으로, 개요는 단지 예시적인 것에 불과하며 어떠한 방식으로든 제한하는 것은 아니다는 것을 기술 분야의 숙련된 자는 인식할 것이다. 본원에 설명되는 디바이스 및/또는 프로세스의 다른 양태, 독창적인 피쳐, 및 이점은, 본원에서 진술되는 비제한적인 상세한 설명에서 명확하게 될 것이다.
도 1은 마이크로전자 칩의 정적 랜덤 액세스 메모리(static random access memory; SRAM) 영역(10) 내에 제조되는 라인 구조체(11)의 하드마스크 패턴을 예시하는 도면이다.
도 2는 도 1에서 묘사되는 라인 구조체의 패턴의 상부 상에 배치되는 하부 반사 방지 코팅(bottom anti-reflective coating; BARC) 층(12) 및 레지스트 층(13)을 예시하는 도면이다.
도 3은, 본원에서 제시되는 예시적인 방법에 따라 시료의 특성을 측정하기 위한 x 선 계측 툴(100)의 실시형태를 예시하는 도면이다.
도 4는, 본원에서 제시되는 예시적인 방법에 따라 시료의 특성을 측정하기 위한 x 선 계측 툴(200)의 다른 실시형태를 예시하는 도면이다.
도 5는, 본원에서 제시되는 예시적인 방법에 따라 스침 입사 모드(grazing incidence mode)에서 시료의 특성을 측정하기 위한 x 선 계측 툴(300)의 또 다른 실시형태를 예시하는 도면이다.
도 6은 시료(101)와는 별개의 진공 환경(162)에 포함되는 계측 시스템(100, 200 및 300)의 x 선 검출기(116)를 예시하는 도면이다.
도 7은 입사각 및 방위각에서 x 선 방사선의 빔에 의해 조명되는 계측 타겟(120)을 포함하는 웨이퍼(101)를 예시하는 도면이다.
도 8은 상이한 층에 있는 라인의 두 개의 주기적인 어레이(151, 152)를 포함하는 적층된 계측 타겟(150)을 묘사한다.
도 9는 도 8에서 예시되는 계측 타겟에 대한 입사각의 범위에 대한 강도의 단순화된 모델 및 -2 및 +2 회절 차수의 대응하는 측정된 값의 피팅의 시뮬레이션을 나타내는 플롯(170)을 묘사한다.
도 10은 활성 필드(401-404), 게이트(405-408) 및 콘택(409-421)을 포함하는 디바이스 구조체(400)의 평면도를 묘사한다.
도 11은 본원에서 설명되는 바와 같이 강도 측정 데이터의 변조에 기초하여 오버레이를 추정하도록 구성되는 모델 구축 및 분석 엔진(180)을 예시하는 도면이다.
도 12는 본원에서 설명되는 바와 같이 강도 측정 데이터의 변조에 기초하여 오버레이를 추정하는 예시적인 방법(400)을 예시하는 플로우차트이다.
이제, 배경 기술의 예 및 본 발명의 몇몇 실시형태를 상세히 참조할 것인데, 본 발명의 실시형태의 예는 첨부의 도면에서 예시된다.
도 1은 마이크로 전자 칩의 정적 랜덤 액세스 메모리(SRAM) 영역(10) 내에 제조되는 라인 구조체(11)의 하드마스크 패턴을 묘사한다. 활성 영역의 복잡한 레이아웃은 다중 패턴화 기술을 절단 마스크(cut mask)와 결합하는 것에 의해 생성된다. 절단 마스크는 기판을 활성 영역으로 패턴화하기 위해 사용되는 하드마스크 층의 일부를 선택적으로 제거한다. 도 2는 도 1에서 묘사되는 라인 구조체의 패턴의 상부에 배치되는 하부 반사 방지 코팅(BARC) 층(12) 및 레지스트 층(13)을 묘사한다. 레지스트 층은 레지스트 층(13)의 개구(14) 아래의 하드마스크 패턴의 부분을 선택적으로 제거하기 위해 사용된다. 도 1에서 묘사되는 바와 같이, 라인 구조체(11)의 하드마스크 패턴은, 레지스트 층(13)의 개구(14) 내에서도, BARC 층(12)에 의해 매립된다.
절단 마스크 프로세스에 대한 적절한 수율을 제공하기 위해서는, 형상 파라미터(예를 들면, CD, HT, SWA), 막 두께, 및 오버레이에 대한 신뢰할 수 있는 측정이 필요로 된다. 오버레이의 계산은, 그것이 사중 패턴화 프로세스의 이전 단계로부터의 많은 구조 파라미터의 함수이다는 것을 나타낸다. 컷의 에지와 인접한 라인 구조체 사이의 갭의 분포, 및 따라서 프로세스의 수율은, 모든 프로세스 파라미터의 복잡한 상호 작용에 의존한다.
다른 예에서, 에지 배치 거리(edge placement distance; EPD) 및 관련된 에지 배치 오차(EPE)는, 디바이스 전기 콘택이 만들어진 이후의 모니터링 및 제어에 중요한 파라미터이다. 소망하는 EPD와 실제 EPD의 차이는 EPE로 칭해진다. EPD 및 EPE는 오버레이 및 CD 오차의 함수이다.
x 선 회절 측정 데이터에 기초하여 구조체 및 재료의 오버레이 및 에지 배치 오차를 수행하기 위한 방법 및 시스템이 제시된다. 몇몇 실시형태에서, 설계 룰 타겟의 오버레이 및 형상 파라미터(예를 들면, CD) 측정이 동시에 수행된다. 본원에서 제시되는 방법 및 시스템은, 기능 다이(functional die)의 내부 또는 외부에 위치되는 이차원 및 삼차원 설계 룰 계측 타겟에 적용될 수도 있다. 본원에서 설명되는 측정 방법 및 시스템은 2x, 1x 및 0x 기술 노드, 및 그 이상의 기술 노드에 적용 가능하다. 오버레이 계측 성능을 제공하는 것 외에도, 본원에서 설명되는 방법 및 시스템은, 측정된 구조체의 기하학적 파라미터를 강하게 상관 해제시키는(de-correlating) 것에 의해, 형상 파라미터 측정의 정밀도 및 정확도를 향상시킨다.
고휘도 x 선 방사선의 사용은, 타겟의 불투명 영역 안으로의 높은 플럭스 x 선 방사선 침투를 가능하게 한다. 몇몇 예에서, 소각 x 선 산란측정법(small-angle x-ray scatterometry; SAXS) 측정은, 스침 입사 또는 투과 입사 구성의 어느 하나에서 활용된다. SAXS 측정은, 샘플을 x 선 빔으로 조명하는 것 및 샘플에 대한 다수의 입사각, 다수의 파장, 또는 양자에 대한 결과적으로 나타나는 회절 차수의 강도를 검출하는 것을 수반한다. SAXS를 사용하여 측정 가능한 기하학적 파라미터의 예는, 기공(pore) 사이즈, 기공 밀도, 라인 에지 조도(roughness), 라인 폭 조도, 측벽 각도, 프로파일, 임계 치수, 오버레이, 에지 배치 오차, 및 피치를 포함한다. 측정 가능한 재료 파라미터의 예는, 전자 밀도, 원소 식별 및 조성을 포함한다. 몇몇 예에서, SAXS는, 10nm보다 작은 피쳐뿐만 아니라, 기하학적 파라미터 및 재료 파라미터의 측정이 필요로 되는 스핀 전달 토크(spin-transfer-torque) MRAM과 같은 첨단 반도체 구조체의 측정을 가능하게 한다.
도 3은 본원에서 제시되는 예시적인 방법에 따라 시료의 특성을 측정하기 위한 계측 툴(100)의 실시형태를 예시한다. 도 3에서 도시되는 바와 같이, 시스템(100)은 시료 위치 결정 시스템(140) 상에 배치되는 시료(101)의 검사 영역(102)에 걸쳐 투과 SAXS 측정을 수행하기 위해 사용될 수도 있다. 몇몇 실시형태에서, 검사 영역(102)은 50 마이크로미터 이하의 스팟 사이즈를 갖는다.
묘사된 실시형태에서, 계측 툴(100)은 SAXS 측정에 적합한 x 선 방사선을 생성하도록 구성되는 x 선 조명 소스(110)를 포함한다. 몇몇 실시형태에서, x 선 조명 시스템(110)은 0.01 나노미터와 1 나노미터 사이의 파장을 생성하도록 구성된다. x 선 조명 소스(110)는 시료(101)의 검사 영역(102) 상에 입사하는 x 선 빔(117)을 생성한다.
일반적으로, SAXS 측정을 위한 x 선 조명을 제공하기 위해, 높은 스루풋의 인라인 계측을 가능하게 하기에 충분한 플럭스 레벨에서 고휘도 x 선을 생성할 수 있는 임의의 적합한 고휘도 x 선 조명 소스가 고려될 수도 있다. 몇몇 실시형태에서, x 선 소스는, x 선 소스가 상이한 선택 가능한 파장에서 x 선을 전달하는 것을 가능하게 하는 조정 가능한 모노크로메이터(tunable monochromator)를 포함한다.
몇몇 실시형태에서, 15keV보다 큰 광자 에너지를 갖는 방사선을 방출하는 하나 이상의 x 선 소스가 활용된다. 비제한적인 예로서, 입자 가속기 소스, 액체 애노드 소스(liquid anode source), 회전 애노드 소스(rotating anode source), 마이크로포커스 소스(microfocus source), 마이크로 포커스 회전 애노드 소스(microfocus rotating anode source), 및 역 콤프튼(Compton) 소스 중 임의의 것이 x 선 소스(110)로서 활용될 수도 있다. 하나의 예에서, 미국 캘리포니아 팔로 알토(Palo Alto)의 Lyncean Technologies, Inc.에서 입수 가능한 역 콤프턴 소스가 고려될 수도 있다. 역 콤프턴 소스는, 한 범위의 광자 에너지에 걸쳐 x 선을 생성할 수 있고, 그에 의해 x 선 소스가, 상이한 선택 가능한 파장에서 x 선 방사선을 전달하는 것을 가능하게 할 수 있다는 추가적인 이점을 갖는다.
예시적인 x 선 소스는, 고체 또는 액체 타겟을 폭격하여 x 선 방사선을 자극하도록 구성되는 전자 빔 소스를 포함한다. 도 4는, 본원에서 제시되는 예시적인 방법에 따라 시료의 특성을 측정하기 위한 계측 툴(200)을 묘사한다. 계측 툴(100 및 200)의 유사한 번호의 엘리먼트는 유사하다. 그러나, 도 4에서 묘사되는 실시형태에서, x 선 조명 소스(110)는 액체 금속 기반의 x 선 조명 시스템이다. 액체 금속(119)의 분사(jet)가 액체 금속 컨테이너(111)로부터 생성되고 액체 금속 콜렉터(112)에서 수집된다. 액체 금속 순환 시스템(도시되지 않음)은 콜렉터(112)에 의해 수집되는 액체 금속을 액체 금속 컨테이너(111)로 복귀시킨다. 액체 금속의 분사(119)는 하나 이상의 엘리먼트를 포함한다. 비제한적인 예로서, 액체 금속의 분사(119)는 알루미늄, 갈륨, 인듐, 주석, 탈륨 및 비스무스 중 임의의 것을 포함한다. 이 방식에서, 액체 금속의 분사(119)는 자신의 구성 엘리먼트와 대응하는 x 선 라인을 생성한다. 하나의 실시형태에서, 액체 금속의 분사는 갈륨 및 인듐 합금을 포함한다. 몇몇 실시형태에서, x 선 조명 시스템(110)은 0.01 나노미터와 1 나노미터 사이의 파장을 생성하도록 구성된다. 전자 빔 소스(113)(예를 들면, 전자 총)는, 전자 광학장치(114)에 의해 액체 금속의 분사(119)로 지향되는 전자의 스트림(118)을 생성한다. 적합한 전자 광학장치(114)는, 전자 빔을 집속하고 그 빔을 액체 금속 분사에서 빔을 지향시키기 위한 전자석, 영구 자석, 또는 전자석과 영구 자석의 조합을 포함한다. 액체 금속의 분사(119)와 전자의 스트림(118)의 일치(coincidence)는, 시료(101)의 검사 영역(102)에 입사하는 x 선 빔(117)을 생성한다.
하나의 실시형태에서, 입사 x 선 빔(117)은 24.2keV의 인듐 kα 라인에 있다. x 선 빔은 투과 SAXS 측정을 위한 다중 층 x 선 광학장치(multi-layer x-ray optics)를 사용하여 1 밀리라디안 미만의 발산으로 시준된다.
고휘도, 액체 금속 x 선 조명을 생성하기 위한 방법 및 시스템은, KLA-Tencor Corp.에게 2011년 4월 19일자로 발행된 미국 특허 제7,929,667호에서 설명되는데, 이 특허의 전체 내용은 참조에 의해 본원에 통합된다.
도 3에서 묘사되는 바와 같이, 엑스선 광학장치(115)는 입사 x 선 빔(117)을 성형하여 시료(101)에 지향시킨다. 몇몇 예에서, x 선 광학장치(115)는 시료(101) 상에 입사하는 x 선 빔을 단색화하는 x 선 모노크로메이터를 포함한다. 하나의 예에서, Loxley-Tanner-Bowen(록슬리-탠너-보웬) 모노크로메이터와 같은 결정 모노크로메이터가 x 선 방사선의 빔을 단색화하기 위해 활용된다. 몇몇 예에서, x 선 광학장치(115)는 다층 x 선 광학장치를 사용하여 x 선 빔(117)을 시료(101)의 검사 영역(102) 상으로 1 밀리라디안 미만의 발산으로 시준 또는 집속한다. 몇몇 실시형태에서, x 선 광학장치(115)는, 하나 이상의 x 선 시준용 미러(x-ray collimating mirror), x 선 어퍼쳐, x 선 빔 스톱, 굴절 x 선 광학장치, 구역 플레이트와 같은 회절 광학장치, 스침 입사 타원체 미러(grazing incidence ellipsoidal mirror)와 같은 거울반사 x 선 광학장치(specular x-ray optics), 중공 모세관 x 선 도파관(hollow capillary x-ray waveguide)과 같은 다중모세관 광학장치(polycapillary optics), 다층 광학장치 또는 시스템, 또는 이들의 임의의 조합을 포함한다.
x 선 검출기(116)는 시료(101)로부터 산란되는 x 선 방사선(125)을 수집하여, SAXS 측정 모달리티에 따라 입사 x 선 방사선에 민감한 시료(101)의 특성을 나타내는 출력 신호(126)를 생성한다. 몇몇 실시형태에서, 산란된 x 선(125)은 x 선 검출기(116)에 의해 수집되고, 반면 시료 위치 결정 시스템(140)은 각도 분해된 산란된 x 선을 생성하도록 시료(101)를 위치 결정하고 배향시킨다. 몇몇 실시형태에서, x 선 검출기(116)는 하나 이상의 x 선 광자 에너지를 분해할 수 있고, 시료의 특성을 나타내는 각각의 x 선 에너지 성분에 대한 신호를 생성한다. 몇몇 실시형태에서, x 선 검출기(116)는, CCD 어레이, 마이크로채널 플레이트, 포토다이오드 어레이, 마이크로스트립 비례 계수기(microstrip proportional counter), 가스 충전 비례 계수기(gas filled proportional counter), 신틸레이터, 또는 형광 재료 중 임의의 것을 포함한다. 몇몇 실시형태에서, x 선 검출기(116)는 검출된 광자의 포지션 및 수를 검출하는 단일의 광자 계수 검출기(photon counting detector)를 포함한다.
몇몇 실시형태에서, x 선 검출기(116)는 시료(101)와 동일한 대기 환경(예를 들면, 가스 퍼지 환경)에서 유지된다. 그러나, 몇몇 실시형태에서, 시료(101)와 x 선 검출기(116) 사이의 거리는 길다(예를 들면, 1 미터보다 더 크다). 이들 실시형태에서, 환경 교란(예를 들면, 공기 난류)은 검출된 신호에 노이즈를 기여한다. 그러므로, 몇몇 실시형태에서, x 선 검출기 중 하나 이상은, 진공 창(vacuum window)에 의해 시료(예를 들면, 시료(101))로부터 분리되는 국소화된 진공 환경에서 유지된다.
도 6은 하나의 실시형태에서 x 선 검출기(116)를 포함하는 진공 챔버(160)를 예시하는 도면이다. 바람직한 실시형태에서, 진공 챔버(160)는 시료(101)와 x 선 검출기(116) 사이의 경로의 상당한 부분을 포함한다. 진공 챔버(160)의 개구는 진공 창(161)에 의해 덮여 있다. 진공 창(161)은 x 선 방사선에 대해 실질적으로 투명한 임의의 적절한 재료(예를 들면, 베릴륨)로 구성될 수도 있다. 산란된 x 선 방사선(125)은 진공 창(161)을 통과하여, 진공 챔버(160)로 진입하고 x 선 검출기(116) 상에 입사한다. 산란된 x 선 방사선(125)에 대한 교란을 최소화하기 위해, 적절한 진공 환경(162)이 진공 챔버(160) 내에서 유지된다.
도 5는 본원에서 설명되는 방법에 따라 반도체 계측 측정을 수행하기 위한 x 선 계측 시스템(300)을 예시한다. 도 5에서 예시되는 바와 같이, x 선 계측 시스템(300)은 도 3 및 도 4를 참조하여 설명되는 유사한 번호가 붙은 엘리먼트를 포함한다. 그러나, x 선 계측 시스템(300)은 스침 입사 모드에서 동작한다. 보다 구체적으로, x 선 계측 시스템(300)은 스침 입사 소각 x 선 산란(grazing incidence small-angle x-ray scattering; GISAXS) 측정 시스템으로서 구성된다. 일반적인 입사각과 수집각은 시료의 표면에서 측정했을 때 약 1도, 또는 시료의 표면에 수직인 축으로부터 대략 약 89도이다. x 선 계측 시스템(300)은, 샘플 핸들러(도시되지 않음)가 시료를 위치시키는 동안, 시료로부터 산란되는 x 선이 검출기에 의해 수집되도록 구성된다. 또한, 광전자, 형광을 통해 생성되는 x 선, 또는 이온과 같은 상호 작용 동안 생성되는 임의의 다른 입자가 검출될 수 있다. GISAXS 측정을 수행하도록 구성되는 계측 시스템은, 작은 각으로 조명되는 상대적으로 큰 샘플 영역에 걸쳐 충분한 밝기를 유지하기 위해 고휘도 x 선 소스를 필요로 한다. 이러한 이유 때문에, 도 4를 참조로 설명되는 액체 금속 분사 x 선 소스(110)가 GISAXS 측정에 대해 특히 잘 적합된다.
비제한적인 예로서, 도 3 및 도 4에서 각각 예시되는 x 선 계측 시스템(100 및 200)은, 투과 소각 x 선 산란계(transmission small angle x-ray scatterometers; TSAXS)로서 구성되고 도 5에서 예시되는 x 선 계측 시스템(300)은 스침 입사 소각 x 선 산란계(GISAXS)로서 구성된다. 그러나, 일반적으로, 본원에서 설명되는 바와 같이 회절 기반의 오버레이 측정 및 형상 파라미터 측정을 수행하도록 구성되는 x 선 계측 시스템은 다음의 계측 기술 중 임의의 하나 이상을 활용할 수도 있다: 투과 소각 x 선 산란(transmission small angle x-ray scattering; TSAXS), 스침 입사 소각 x 선 산란(grazing incidence small angle x-ray scattering; GISAXS), 광각 x 선 산란(wide angle x-ray scattering; WAXS), x 선 회절(x-ray diffraction; XRD), 스침 입사 x 선 회절(grazing incidence x-ray diffraction; GIXRD), 및 고해상도 x 선 회절(high resolution x-ray diffraction; HRXRD).
계측 툴(100)은 또한, SAXS 검출기(116)에 의해 생성되는 신호(126)를 획득하도록 그리고 획득된 신호에 적어도 부분적으로 기초하여 시료의 특성을 결정하도록 활용되는 컴퓨팅 시스템(130)을 포함한다. 도 3에서 예시되는 바와 같이, 컴퓨팅 시스템(130)은 SAXS 검출기(116)에 통신 가능하게 커플링된다.
추가 실시형태에서, 컴퓨팅 시스템(130)은, 실시간 임계 치수화(Real Time Critical Dimensioning; RTCD)를 활용하여 실시간으로 모델 파라미터에 액세스하도록 구성되거나, 또는 그것은 시료(101)와 관련되는 적어도 하나의 시료 파라미터 값의 값을 결정하기 위해 사전 계산된 모델의 라이브러리에 액세스할 수도 있다. 일반적으로, CD 엔진의 몇몇 형태는 시료의 할당된 CD 파라미터와 측정된 시료와 관련되는 CD 파라미터 사이의 차이를 평가하기 위해 사용될 수도 있다. 시료 파라미터 값을 계산하기 위한 예시적인 방법 및 시스템은, KLA-Tencor Corp.에게 2010년 11월 2일자로 발행된 미국 특허 제7,826,071호에서 설명되는데, 이 특허의 전체 내용은 참조에 의해 본원에 통합된다. 반도체 구조체의 x 선 기반의 측정에 관한 추가적인 세부 사항은, 미국 특허 공개 공보 제2013/0304424호 및 미국 특허 공개 공보 제2015/0110249호에서 제공되는데, 이들 공개 공보는 참조에 의해 그 전체가 본원에 통합된다.
하나의 추가 양태에서, 계측 툴(100)은 본원에서 설명되는 바와 같이 빔 제어 기능성(functionality)을 구현하도록 구성되는 컴퓨팅 시스템(예를 들면, 컴퓨팅 시스템(130))을 포함한다. 도 3에서 묘사되는 실시형태에서, 컴퓨팅 시스템(130)은, 입사하는 SAXS 조명 빔(117)의 강도, 발산, 스팟 사이즈, 편광, 스펙트럼, 및 위치 결정과 같은 조명 특성 중 임의의 것을 제어하도록 동작 가능한 빔 컨트롤러로서 구성된다.
도 3에서 예시되는 바와 같이, 컴퓨팅 시스템(130)은 SAXS 검출기(116)에 통신 가능하게 커플링된다. 컴퓨팅 시스템(130)은 SAXS 검출기(116)로부터 측정 데이터(126)를 수신하도록 구성된다. 하나의 예에서, 측정 데이터(126)는 시료의 측정된 SAXS 응답의 표시(즉, 회절 차수의 강도)를 포함한다. 검출기(116)의 표면 상에서의 측정된 SAXS 응답의 분포에 기초하여, 시료(101) 상의 SAXS 조명 빔(117)의 입사의 위치 및 영역은 컴퓨팅 시스템(130)에 의해 결정된다. 하나의 예에서, 측정 데이터(126)에 기초하여 시료(101) 상의 SAXS 조명 빔(117)의 입사의 위치 및 영역을 결정하기 위해, 패턴 인식 기술이 컴퓨팅 시스템(130)에 의해 적용된다. 몇몇 예에서, 컴퓨팅 시스템(130)은, 소망하는 조명 파장을 선택하기 위해 커맨드 신호(137)를 조명 광학장치(115)에 전달하고, 입사 SAXS 조명 빔(117)이 시료(101)에 대하여 소망하는 위치 및 각도 방위에 도달하도록 SAXS 조명 빔(117)을 재지향 및 재성형한다(reshape). 몇몇 다른 예에서, 컴퓨팅 시스템(130)은, 입사 SAXS 조명 빔(117)이 시료(101)에 대해 소망하는 위치 및 각도 방위에 도달하도록 시료(101)를 위치시키고 배향하기 위해, 웨이퍼 위치 결정 시스템(140)으로 커맨드 신호를 전달한다. 몇몇 다른 예에서, 컴퓨팅 시스템(130)은, 소망하는 조명 파장을 선택하기 위해 커맨드 신호(137)를 x 선 소스(110)로 전달하고, 입사 SAXS 조명 빔(117)이 시료(101)에 대해 소망하는 위치 및 각도 방위에 도달하도록 SAXS 조명 빔(117)을 재지향 및 재성형한다.
하나의 양태에서, 계측 타겟의 x 선 회절 측정은 다수의 상이한 입사각 및 방위각에서 수행된다. 도 7은 계측 타겟(120)을 포함하는 웨이퍼(101)를 묘사한다. x 선 조명 소스가 입사각(AOI) 및 방위각(Az)에서 x 선 방사선(117)의 빔으로 계측 타겟(120)을 조명한다. 입사 x 선 방사선의 빔의 입사각 및 방위각은, 시료(101)에 고정되는 좌표 프레임 {BX, BY, BZ}에 대해 정의된다. 도 7에서 묘사되는 바와 같이, 계측 타겟(120)은 BY 방향으로 연장하고 BX 방향으로 주기적인 격자 구조체를 포함한다. 입사각은, BZ 축에 대한, BX-BZ 평면 상으로의 입사 빔의 투사의 각도로서 정의된다. 이런 의미에서, 입사각에서의 변화는, 웨이퍼(101)와 동일 면에 있는(in-plane) BY 축을 중심으로 한 웨이퍼(101)의 회전으로 간주될 수 있다. 마찬가지로, 방위각은, BX 축에 대한, BX-BY 평면 상으로의 입사 빔의 투사의 각도로서 정의된다. 이런 의미에서, 방위각에서의 변화는, 웨이퍼(101)에 수직인 BZ 축을 기준으로 한 웨이퍼(101)의 회전으로서 간주될 수 있다.
도 3에서 예시되는 바와 같이, 계측 툴(100)은 SAXS 산란계에 대한 큰 범위의 평면 외 각도 방위에 걸쳐 시료(101)를 정렬하는 것 및 시료(101)를 배향하는 것 둘 다를 하도록 구성되는 시료 위치 결정 시스템(140)을 포함한다. 다시 말하면, 시료 위치 결정 시스템(140)은, 시료(101)의 표면과 동일 면에 정렬되는 그리고 수직으로 정렬되는 하나 이상의 회전 축을 중심으로 큰 각도 범위에 걸쳐 시료(101)를 회전시키도록 구성된다. 몇몇 실시형태에서, 시료 위치 결정 시스템(140)은, 시료(101)의 표면과 동일 면에 정렬되는 그리고 수직으로 정렬되는 하나 이상의 회전 축을 중심으로 적어도 90 도의 범위 내에서 시료(101)를 회전시키도록 구성된다. 이 방식에서, 시료(101)의 각도 분해 측정치는, 시료(101)의 표면 상의 임의의 수의 위치에 걸쳐 계측 시스템(100)에 의해 수집된다. 하나의 예에서, 컴퓨팅 시스템(130)은 시료(101)의 소망하는 위치를 나타내는 시료 위치 결정 시스템(140)의 모션 컨트롤러(145)에 커맨드 신호를 전달한다. 응답에서, 모션 컨트롤러(145)는, 시료(101)의 소망하는 위치 결정을 달성하기 위해 시료 위치 결정 시스템(140)의 다양한 액추에이터로의 커맨드 신호를 생성한다.
비제한적인 예로서, 도 3에서 예시되는 바와 같이, 시료 위치 결정 시스템(140)은 시료 위치 결정 시스템(140)에 시료(101)를 고정적으로 부착하기 위한 에지 그립 척(edge grip chuck; 141)을 포함한다. 회전 액추에이터(142)는 주변 프레임(143)에 대해 에지 그립 척(141) 및 부착된 시료(101)를 회전시키도록 구성된다. 묘사된 실시형태에서, 회전 액추에이터(142)는 도 3에 예시되는 좌표 시스템(146)의 x 축을 중심으로 시료(101)를 회전시키도록 구성된다. 도 3에서 묘사되는 바와 같이, z 축을 중심으로 하는 시료(101)의 회전은, 시료(101)의 표면 법선을 중심으로 하는 회전이다. x 축 및 y 축(도시되지 않음)을 중심으로 한 회전은, 계측 시스템(100)의 계측 엘리먼트에 대하여 시료의 표면을 유효하게 기울이는 시료(101)의 평면 외 회전(out of plane rotation)이다. 비록 예시되지는 않았지만, 제2 회전 액추에이터는 y 축을 중심으로 시료(101)를 회전시키도록 구성된다. 선형 액추에이터(144)는 x 방향에서 주변 프레임(143)을 직동시키도록(translate) 구성된다. 다른 선형 액추에이터(도시되지 않음)는 y 방향에서 주변 프레임(143)을 직동시키도록 구성된다. 이 방식에서, 시료(101)의 표면 상의 모든 위치는, 시료(101)에 고정된 좌표 프레임 {BX, BY, BZ}의 축을 중심으로 한 어떤 범위의 회전에 걸친 측정에 대해 이용 가능하다.
일반적으로, 시료 위치 결정 시스템(140)은, 고니오미터(goniometer) 스테이지, 헥사포드(hexapod) 스테이지, 각도 스테이지(angular stage) 및 선형 스테이지(linear stage)를 포함하는 그러나 이들로 제한되지는 않는, 소망하는 선형 및 각도 위치 설정 성능을 달성하기 위한 기계적 엘리먼트의 임의의 적절한 조합을 포함할 수도 있다.
도 3 내지 도 5에서 묘사되는 실시형태에서는, 입사 x 선 방사선의 단일의 빔이 예시된다. 웨이퍼에 대한 단일의 빔의 방위는 단일의 입사각 및 방위각에 의해 정의된다. 단일의 조명 빔을 활용하는 실시형태의 경우, 다수의 상이한 입사각 및 방위각과 관련되는 x 선 회절 측정이 순차적으로 수행된다. 그러나, 일반적으로, 다수의 상이한 입사각 및 방위각과 관련되는 x 선 회절 측정은 동시에 수행될 수도 있다. 몇몇 실시형태에서, 계측 타겟이 입사각 및 방위각에서 이산적인 또는 연속하는 다수의 방향으로부터 동시에 조명되도록, 하나 이상의 x 선 소스 및 x 선 광학장치의 하나 이상의 세트가 활용될 수도 있다.
본원의 상기에서 설명되는 바와 같이, 계측 타겟의 x 선 회절 측정은 다수의 상이한 입사각 및 방위각에서 수행된다. 추가 양태에서, 계측 타겟의 상이한 반도체 층 사이의 오버레이 오차는, 다수의 상이한 입사각 및 다수의 상이한 방위각에서 측정되는 각각의 x 선 회절 차수 내에서의 강도 변동에 기초하여 추정된다.
계측 타겟의 상이한 층 내의 두 개 이상의 구조체의 수직 적층은, 다수의 상이한 입사각 및 다수의 상이한 방위각에서 측정이 이루어질 때 강력하고 독특한 방식으로 x 선 회절 신호에 영향을 미친다. 따라서, 오버레이 및 형상 파라미터의 값은 측정된 강도에 기초하여 추정될 수도 있다.
추가 양태에서, 오버레이의 추정은, 저주파 형상 변조가 기저 함수(basis function)의 세트 또는 비율에 의해 설명되고 고주파 오버레이 변조가, 오버레이를 나타내는 파라미터를 포함하는 아핀 원형 함수(affine-circular function)에 의해 설명되도록, 공통 차수의 강도 변조의 파라미터화를 수반한다.
하나의 예에서, 파라미터화는 표준 오버레이 문제(canonical overlay problem)의 분석으로부터 도출된다. 도 8은, 주기성 P를 각각 갖는 라인(151, 152)의 두 개의 주기적인 어레이를 포함하는 적층된 계측 타겟(150)을 묘사한다. 라인의 어레이는 거리 S만큼 수직으로 분리되고, 라인의 어레이는 오버레이 거리 D만큼 오프셋된다. 라인의 어레이의 각각의 높이 및 폭은, 각각, 파라미터 H와 W에 의해 주어진다.
또한, 상부 라인의 전자 밀도는 파라미터 δ0에 의해 주어지고 및 하부 라인은 δ1에 의해 주어진다. 계측 타겟(150)은 파장 λ를 갖는 x 선 방사선의 빔에 의해 조명된다. 입사 x 선 방사선의 빔은, 입사각 θ, 및 방위각
Figure pct00001
에서 계측 타겟에 충돌하는데, 여기서 광선의 투사가 격자의 주기성에 수직일 때
Figure pct00002
=0이다. 이러한 격자의 경우, 파장 수를 k0=2π/λ로 정의하고 1D 주기 방향에서의 격자 수를 kx=2π/P로 정의하는데, 여기서 m은 차수(order number)이다. 입사각은 웨이퍼에 수직인 축으로부터 측정된다.
회절 강도의 분석은 식 (1)에 예시된 바와 같이 각각의 차수의 강도의 근사를 제공한다.
Figure pct00003
식 (1)은, 입사각 θ를 변경하는 것에 의해 차수 강도의 변조가 존재할 뿐만 아니라, 방위각
Figure pct00004
을 변경하는 것에 의해서도 차수 강도의 변조가 존재한다는 것을 예시한다. 다른 방식으로 표현하면, 입사각을 변경하는 것에 의해 BX와 정렬되는 격자 주기 치수의, BZ와 정렬되는 방향으로의 투사로부터 회절 차수의 변조를 기대할 수 있다. 또한, 방위각을 변경하는 것에 의해 BX로 정렬되는 격자 주기 치수의, BY와 정렬되는 방향으로의 투사로부터 회절 차수의 변조를 기대할 수 있다. 또한, 입사각과 방위각에서의 변화는, 오버레이 신호를 강조하도록 조정될 수 있다. 예를 들면, 방위각을 변경하는 것은, 입사각에서의 변경에 기인하는 형상 및 오버레이 변조를 스케일링 인자(scaling factor) cos(
Figure pct00005
)만큼 느리게 할 수 있다. 마지막으로, 식 (1)은 또한, 형상 파라미터 W 및 H로 인한 차수 강도의 변조가 식 (1)의 마지막 항에 의해 설명되는 오버레이 변조에 비해 통상적으로 낮은 공간 주파수를 갖는다는 것을 나타낸다.
형상에 기인하는 상대적으로 낮은 공간 주파수 변조로 인해, 이 변조는 저차 다항식, 예를 들면, 선형 또는 이차(quadratic) 함수에 의해 모델링될 수 있다. 이때, 분리 거리 S 및 오버레이 D에 기인하는 변조는, 식 (1)에서 예시되는 코사인 항에 의해 표현될 수 있다. 그러므로, 각각의 차수에 대한 강도의 단순화된 모델은, 식 (2a) 및 (2b)에 의해 예시된 바와 같이, 각각, 덧셈 또는 곱셈 형태를 취한다.
Figure pct00006
식 (2a)의 첫 번째 항과 식 (2b)의 첫 번째 인자(factor)에 의해 정의되는 형상 함수(shape function)는, 형상의 명확한 지식없이, 파라미터 aj에 의해 가중되는 기저 함수 θj의 선형 조합으로서 형상 변조를 모델링한다. 식 (2a) 및 (2b)에서 예시되는 바와 같이, 형상 변화를 설명하기 위해 단항식 기저(monomial basis)가 활용된다. 그러나, 일반적으로, 임의의 종류의 임의의 다항식, 유리수, 또는 기저 세트가 활용될 수도 있다.
파라미터 b는 변조 깊이를 정의한다. 파라미터 D와 S는 오버레이를 정의한다. 입사각, 방위각 또는 둘 다를 변경하는 것에 의해, 임의의 차수에 대한 결과적으로 나타나는 데이터는, 임의의 적합한 곡선 피팅 루틴(curve fitting routine)을 사용하여 파라미터 aj, b, D 및 S에 적합될 수도 있다. 오버레이는 파라미터 D에 대한 적합(fit)에 의해 주어진다.
앞서 설명된 오버레이 측정을 위한 단순화된 모델은, 입사각 및 방위각에서의 변화에 기초하여 회절 차수의 강도 변동을 모델링하는 것에 대한 현상론적 접근법을 예시한다. 일반적으로, 모델은 다른 파형 및 비다항식 기저 함수를 기반으로 할 수 있다.
측정된 강도 신호를 현상론적인 간단한 함수에 적합시키는 것에 의해, 다수의 층과 관련되는 오버레이 오프셋은 계산 효율적인 방식으로 추정될 수도 있다. 결과적으로, 측정은 상대적으로 낮은 계산 비용으로 그리고 외부 기준 계측 없이 수행되고, 따라서 SEM, 광학적 계측, 또는 다른 제안된 x 선 계측 기술에 기초하여 현재 방법의 제한을 극복한다.
도 9는 도 8에서 묘사되는 계측 타겟(150)에 대한 피팅 결과의 시뮬레이션을 나타내는 플롯(170)을 묘사한다. 플롯라인(171)은 한 범위의 입사각에 대한 -2 회절 차수의 정규화된 강도의 시뮬레이션을 묘사한다. 플롯라인(172)은 동일한 범위의 입사각에 대한 +2 회절 차수의 정규화된 강도의 시뮬레이션을 묘사한다. 플롯라인(173)은 식 (2)를 참조하여 설명되는 타입의 모델에 의한 시뮬레이팅된 회절 강도의 피팅 결과를 묘사한다. 도 9에서 예시되는 바와 같이, 식 (2)를 참조하여 설명되는 간략화된 모델은 시뮬레이팅된 강도 값에 가까운 적합도를 제공한다.
식 (2)에 의해 예시되는 바와 같이, 오버레이 변조는 회절 차수에서 우함수(even function)이다. 따라서, 양의 그리고 음의 차수 둘 다로부터의 데이터가 평균화될 수도 있거나, 또는 공동으로 적합될 수도 있다. 또한, 다수의 차수가 공동으로 적합될 수도 있다. 몇몇 예에서, 각각의 상이한 회절 차수에 대해 각도 공간에서의 상이한 범위가 활용될 수도 있다.
도 1에서 묘사되는 실시형태에서, 컴퓨팅 시스템(130)은 모델 구축 및 분석 엔진(180)으로서 구성되며 본원에서 설명되는 바와 같이 모델 구축 및 분석 기능성을 구현하도록 동작 가능하다.
도 11은 본원에서 설명되는 바와 같이 강도 측정 데이터의 변조에 기초하여 오버레이를 추정하도록 구성되는 모델 구축 및 분석 엔진(180)을 예시하는 도면이다. 도 11에서 묘사되는 바와 같이, 모델 구축 및 분석 엔진(180)은 식 (2a) 또는 (2b)를 참조하여 설명되는 모델과 같은 오버레이 측정을 위한 단순화 모델(122)을 생성하는 오버레이 모델 구축 모듈(181)을 포함한다. 모델(122)은 입사각 및 방위각에서의 변화에 기초하여 다양한 회절 차수의 강도 변동을 포착한다.
피팅 분석 모듈(183)은 회절 차수의 측정된 강도를 나타내는 측정 신호(126)를 수신하고 측정된 데이터에 기초하여 측정된 오버레이 계측 타겟의 오버레이를 추정한다. 몇몇 예에서, 피팅 분석은 회절 차수의 측정된 강도와 계산된 강도 사이의 차이를 최소화하는 것을 수반하는 반복적 최적화이다.
반복적 최적화의 수렴 이후, 측정된 오버레이 계측 타겟(185)의 추정된 오버레이 값(들)은 메모리(190)에 저장된다.
추가 양태에서, 오버레이에 대한 감도가 증가되고 오버레이와 형상 파라미터 사이의 상관 관계가 최소화되게끔 오버레이 변조의 공간 주파수를 향상시키도록 입사각 및 방위각의 세트가 설계된다. 몇몇 예에서, 강도 신호는 제한된 수의 입사각 및 방위각에서 수집되고 분석된다.
몇몇 실시형태에서, 오버레이에 대한 최대 감도를 달성하고 오버레이와 형상 파라미터 사이의 상관 관계를 최소화하는 입사각 및 방위각의 세트에 도달하기 위해, x 선 회절 측정의 물리적 모델의 재귀적 최적화가 활용된다.
다른 추가 양태에서, 다수의 상이한 입사각 및 방위각에서 수행되는 계측 타겟의 x 선 회절 측정에 기초하여 두 개의 상이한 방향에서 오버레이에 대한 감도를 나타내는 오버레이 타겟이 제공된다. 간단한 직교하는 2D의 주기적 구조체의 경우, 식 (2)는 식 (3)에 예시된 바와 같이 두 개의 독립적인 변조 인자를 포함하도록 확장될 수 있다.
Figure pct00007
몇몇 실시형태에서, 오버레이 계측 타겟은, 하나의 방향(예를 들면, x 방향)에서 그리고 직교하는 방향(예컨대, y 방향)에서 동일한 격자 수의 세트를 갖는 2D의 주기적 구조체의 임의의 두 층을 포함한다.
몇몇 다른 실시형태에서, 오버레이 계측 타겟은 세 개의 층을 포함한다. 제1 층은 1D의 주기적 구조체를 포함한다. 제2 층은, 제1 층에 위치된 1D의 주기적 구조체에 대해 어떤 각도(예를 들면, 직교)로 배향되는 다른 1D의 주기적 구조체를 포함한다. 제3 층은, 2D의 주기적 구조체(예를 들면, 기둥(post)의 어레이)를 포함한다. 2D 격자의 주기성은, 그 아래에 위치되는 1D의 주기적 구조체와 일치하는 파동 벡터를 갖는다. 이 방식에서, 두 개의 상이한 방향(예를 들면, x 및 y 방향)과 관련되는 오버레이는 독립적으로 추정된다. 예를 들면, 한 방향에서, 제1 층과 제3 층 사이에서 공통인 격자 수를 갖는 차수는, 마치 그들이 단일의 변조 인자로 변조된 것처럼 분석된다. 다른 방향의 경우, 제2 층과 제3 층 사이에서 공통인 격자 수를 갖는 차수는, 마치 그들이 다른 변조 인자로 변조된 것처럼 분석된다. 다른 예에서, 변조 인자 둘 다가 파라미터 S를 포함하므로, 오버레이는 공동으로 추정될 수 있을 것이다. 다른 예에서, 방위각이 제로도 아니고 90도도 아니면, 제1 및 제2 층에서 교차 격자가 활용될 때 입사각에서의 단일의 변화에 의해 오버레이 값 둘 다가 추정될 수 있다.
몇몇 다른 실시형태에서, 오버레이 계측 타겟은, 양 방향의 오버레이가 측정되는 1D의 주기적인 격자의 두 세트를 포함하는 네 개의 층을 포함한다.
일반적으로, 다수의 상이한 입사각 및 방위각에서 수행되는 x 선 회절 측정에 기초하여 추정될 수 있는 오버레이 파라미터 및 층의 의 수에 대해서 이론적인 제한은 없다. 식 (2) 및 식 (3)에 예시되는 간섭 변조는, 임의의 두 개의 층 사이의 분리 파라미터(S)에 유일성이 존재할 때, AOI, Az 또는 둘 모두의 함수로서의 강도 신호는 독립적일 것이고, 오버레이 파라미터는 측정 가능하다. 따라서, 두 개의 층의 각각의 조합 사이의 분리 파라미터의 세트가 구별되도록, 다중 층 오버레이 계측 타겟을 설계하는 것이 바람직하다. 그러나, 실제로는, 층의 수가 증가함에 따라, 한 번에 두 개씩 취해지는 층의 조합의 수도 증가한다. 결과적으로, 두 개의 층의 조합의 각각 사이에서 유일하거나 또는 거의 유일한 세트의 분리 거리를 갖는 계측 타겟을 설계하는 것은 도전 과제가 된다.
몇몇 실시형태에서, 오버레이 계측 타겟은, 특히, 구성 층의 각각으로부터의 오버레이 신호의 독립성을 증가시키도록 그리고 오버레이 측정의 정밀도 및 정확도를 최대화하도록 최적화된다.
몇몇 실시형태에서, 두 개의 층의 각각의 조합 사이의 분리 파라미터 세트가 구별되도록 그리고 모든 층 조합 사이의 최소 분리 거리가 계측 타겟의 전체 높이에 대한 제약에 종속하여 최대화되도록, 다중 층 오버레이 계측 타겟이 설계된다.
몇몇 실시형태에서, 하나의 층으로부터 발생하는 회절 차수가 다른 층의 상이한 회절 차수와 보강(constructively) 간섭하도록, 다중 층 오버레이 계측 타겟이 상이한 층에서 상이한 피치를 가지고 설계된다. 하나의 실시형태에서, 제1 층에 위치되는 주기적 격자 구조체는 2A와 동일한 피치를 갖는데, 여기서 A는 임의의 양(positive)의 값을 갖는 상수이다. 다른 층에 위치되는 다른 주기적인 구조체는 3A와 동일한 피치를 갖는다. 이 예에서, 제1 층의 제2 회절 차수는 제2 층의 제3 회절 차수와 보강 간섭한다. 따라서, 이들 차수 쌍에서 검출되는 강도 측정치는, 두 층 사이의 오버레이에 의해 지배된다. 반대로, 오버레이에서 보강 간섭을 받지 않는 상이한 차수 쌍에서 검출되는 강도 측정치는 형상 파라미터에 의해 지배된다. 따라서, 몇몇 실시형태에서, 계측 오버레이 타겟은, 특정한 격자 차수 쌍에서 오버레이에 대한 감도를 증가시키기 위해, 그리고 또한 형상 파라미터 값의 추정에 유용한 강도 데이터를 제공하기 위해, 특정한 격자 구조를 가지고 설계된다.
마찬가지로, 하나의 층으로부터 발생하는 회절 차수가 다른 층의 상이한 회절 차수와 보강 간섭하도록, 다중 층 오버레이 계측 타겟이 상이한 층에서 상이한 피치 방위를 가지고 설계된다. 일반적으로, 상이한 주기성(예를 들면, 상이한 격자 피치), 상이한 피치 방위, 또는 이들의 임의의 조합을 갖는 층의 세트가, 상이한 층과 각각 관련되는 산란 벡터의 세트를 발생시킨다. 오버레이 계측 타겟은, 산란 벡터의 미리 결정된 서브세트가 정렬되도록, 설계된다. 이 방식에서, 산란 벡터의 미리 결정된 서브세트와 대응하는 층 간의 오버레이에 대한 감도는 향상된다.
일반적으로, 오버레이 계측 타겟은, 하나의 방향에서 주기성을 가지며 다른 방향에서는 일정한 1D의 주기적 구조체, 두 방향에서 주기적인 2D의 주기적 구조체, 또는 이들의 임의의 조합을 포함할 수도 있다. 2D의 주기적 타겟의 경우, 주기성의 두 방향은 서로 수직일 수도 있거나 또는 수직이 아닐 수도 있다. 또한, 구성 구조체의 각각의 피치는 동일할 수도 있거나 또는 상이할 수도 있다.
각각의 회절 차수와 관련되는 측정된 강도에서의 변동에 기초하여 오버레이를 추정하는 것에 의해, 오버레이 계측 타겟을 포함하는 오버레이 구조체 간의 임의의 특정한 주기성 또는 대칭성에 대한 요건은 존재하지 않는다. 따라서, 오버레이 구조체 중 몇몇 또는 전체는 주기적이지 않을 수도 있다. 예를 들면, 오버레이 계측 타겟은 한 방향에서는 1차원적으로 주기적일 수도 있고 다른 방향에서는 가변적이지만 비주기적일 수도 있다. 다른 예에서, 오버레이 구조체 중 몇몇 또는 전체는 비대칭일 수도 있다.
또 다른 추가적인 양태에서, 계측 타겟을 포함하는 구조체 중 임의의 것의 형상 파라미터의 값은, 측정 모델을 사용한 회절 차수의 검출된 강도의 피팅 분석(fitting analysis)에 기초하여 추정된다. 몇몇 예에서, 오버레이의 추정 및 하나 이상의 형상 파라미터 값의 추정은 동시에 수행된다.
몇몇 예에서, 측정 모델은 오버레이 계측 타겟에 의한 x 선 방사선의 산란의 그리고 기하학적 구조의 물리적 기반 모델이다. 이 접근법에서, 주목하는 파라미터를 비롯한, 계측 타겟을 포함하는 구조체 및 재료를 설명하기 위해 파라미터 모델(parametric model)이 개발된다. 이들 파라미터의 값은, 시뮬레이팅된 데이터와 실험 데이터의 최적의 적합을 찾는 것에 의해 추정된다.
기하학적 및 재료적 특성을 통합하는 샘플의 모델을 생성하기 위해, 참조에 의해 그 전체가 본원에 통합되는, Bakeman 등등에 의한 미국 특허 공개 공보 제2014/0019097호에서 설명되는 모델 구축 및 분석 엔진이 사용될 수 있다. 그 모델은 광학적 시뮬레이션 및 x 선 시뮬레이션을 생성하기 위해 사용될 수 있다. 몇몇 예에서, 광학적 시뮬레이션은, 상이한 편광, 타원편광 해석 파라미터, 상 변화, 등등에 대한 반사율과 같은 광학 신호를 계산하기 위해 맥스웰(Maxwell)의 방정식을 풀어야 하는 엄격한 결합파 분석(rigorous coupled-wave analysis; RCWA)에 기초한다. x 선 산란 시뮬레이션은 식 (4)에서 예시되는 x 선 폼 팩터(form factor)에 기초할 수 있는데,
Figure pct00008
여기서 F는 폼 팩터이고, q는 산란 벡터이며, ρ(r)은 샘플의 전자 밀도이다. 그러면, x 선 산란 강도는, 참조에 의해 그 전체가 본원에 통합되는 AIP Conference Proceedings, Volume 788, pp. 403-406 (2005)의 R.L. Jones 등등의 "Cross Section and Critical Dimension Metrology in Dense High Aspect Ratio Patterns with CD-SAXS"에 의해 설명되는 바와 같이, 식 (5)에 의해 주어진다:
Figure pct00009
몇몇 다른 예에서, 왜곡 파 보른 근사(distorted-wave Born approximation)가 활용된다.
몇몇 다른 예에서, 측정 모델은, 신경망 모델, 지원 벡터 머신 모델, 주성분 분석(Principal Component Analysis; PCA) 모델, 등등과 같은 입출력 측정 모델이다. 입출력 측정 모델에 관한 추가적인 세부 사항은, Shchegrov 등등에 의한 미국 특허 공개 공보 제2016/0003609호에서 설명되는데, 이 공개 공보는 참조에 의해 그 전체가 본원에 통합된다.
하나의 예에서, 층 사이의 에지 배치 오차는 본원에서 설명되는 바와 같이 오버레이의 측정에 기초하여 추정되고, 형상 파라미터의 측정은, 다수의 상이한 입사각 및 다수의 상이한 방위각에서 측정되는 각각의 x 선 회절 차수 내에서의 강도 측정치에 기초하여 추정된다. 에지 배치 오차(EPE)는 오버레이 및 형상 파라미터(예를 들면, CD) 오차를 결합한다. 하나의 예에서, EPE는 CD 값(예를 들면, 도 8에서 묘사되는 폭 W)과 오버레이 값(예를 들면, 도 8에서 묘사되는 오버레이 D) 사이의 차이이다. 따라서, EPE의 측정은, 본원에서 설명되는 계산 효율적인 오버레이 측정을 활용하고, 동일한 강도 측정 데이터를 사용하여 CD 파라미터 값을 추정하는 것에 의해, 능률적으로 된다.
도 10은 활성 필드(401-404), 게이트(405-408) 및 콘택(409-421)을 포함하는 디바이스 구조체(400)의 평면도를 묘사한다. 도 10은 게이트(407)와 콘택(418) 사이의 에지 배치 거리(EPD1)를 예시한다. 도 10은 또한, 게이트(408)와 콘택(418) 사이의 에지 배치 거리(EPD2) 및 게이트(406)와 콘택(414) 사이의 에지 배치 거리(EPD3)를 예시한다. 높은 디바이스 수율을 보장하기 위해서는, 에지 배치 거리가 신중하게 제어되어야만 한다. 이들 에지 배치 거리와 관련되는 에지 배치 오차가 너무 크면, 디바이스는 고장날 것이다. 도 10에서 예시되는 바와 같이, 오버레이 오차와 CD 오차는 둘 모두 EPE에 기여한다. 예를 들면, 콘택과 관련되는 층이 게이트와 관련된 층과 오정렬되면, EPE가 발생한다. 마찬가지로, 콘택 구조체와 관련되는 CD가 공칭 치수로부터 벗어나는 경우, EPE가 발생한다. 예를 들면, 콘택(413과 416)이 너무 크다. 결과는, 각각의 콘택과 대응하는 게이트 구조체 사이의 중첩 및 디바이스 고장이다.
EPE 측정에 관한 추가적인 세부 사항은, Shchegrov 등등에 의한 미국 특허 공개 공보 제2016/0003609호에서 설명되는데, 이 공개 공보는 참조에 의해 그 전체가 본원에 통합된다.
일반적으로, 본원에서 설명되는 방법은, 투과 소각 x 선 산란(TSAXS), 스침 입사 소각 x 선 산란(GISAXS), 광각 x 선 산란(WAXS), x 선 회절(XRD), 스침 입사 x 선 회절(GIXRD), 및 고분해능 x 선 회절(HRXRD)과 같은 많은 상이한 x 선 회절 기반의 기술들에 적용될 수도 있다. 이들 시스템에서, 검출기 상에 떨어지는 모든 회절 차수는 즉시 수집된다.
스침 입사 SAXS 구현예의 경우, 스침 입사각은, 상이한 층에서 격자에 의해 회절되는 x 선 필드의 간섭 무늬를 가능하게 하도록 그리고 침투 깊이를 최적화하도록 조정된다. 침투 깊이는, 임계 각도 미만의 스침 입사각에 대한 내부 전반사에 의해 제한될 수도 있다.
다른 추가의 양태에서, 본원에서 설명되는 시스템 중 임의의 것은 특정 범위의 광자 에너지(Q)를 선택하기 위해 Bonse-Hart(본스-하트) 카메라를 활용할 수도 있다. 몇몇 실시형태에서, 본스-하트 카메라는 샘플과 검출기 사이에 분석기 결정(analyzer crystal)을 포함한다. 분석기 결정은 브래그 조건을 충족하는 특정한 각도 수용각(angular acceptance angle)을 갖는다. 결정 각도를 조정하는 것에 의해, 각도 수용각이 선택된다. 하나의 예에서, 예를 들면 강도 변조가 저차수 피크보다 더 높은 각 주파수에 있는 경우에, 고차 회절 피크를 측정하도록 Q 범위가 선택된다. 이것은 적은 수의 샘플 각도를 갖는 오버레이 측정을 가능하게 할 수도 있다. 다른 예에서, 측정 시간을 줄이기 위해, 차수의 전체 강도가 큰 경우에 저차 회절 피크를 측정하도록 Q 범위가 선택된다.
일반적으로, 본스-하트 카메라는, 선택 가능한 에너지 범위 내에서 산란된 방사선의 투과를 제한하는 임의의 적절한 선택 가능한 분석기 엘리먼트를 포함할 수도 있다. 하나의 예에서, 선택 가능한 분석기 엘리먼트는 소정의 수용각 하에서 브래그 조건을 충족하는 반복하는 다층 막이다.
몇몇 실시형태에서, 입사 x 선 방사선의 빔에 대한 시료(101)의 포지션 및 방위를 제어하는 시료 위치 결정 시스템은, 분석기 결정 및 샘플이 일렬로(in tandem) 정렬되도록 분석기 결정의 각도를 제어하는 스테이지 시스템과 협력하여 동작한다.
다른 추가 양태에서, 오버레이 계측 타겟은 디바이스 설계 룰에서 피치 및 CD를 포함하는 설계 룰 타겟이다. 몇몇 실시형태에서, 오버레이 계측 타겟은, 스크라이브 라인 영역에 위치되는 특수화된 오버레이 타겟 대신, 다이 내에(in-die) 위치된다. 본원에서 설명되는 방법 및 시스템은 설계 룰 피치에서의 측정을 허용한다. 이것은 현존하는 방법보다 더 충실하게 실제 디바이스 오버레이를 반영한다.
본 개시의 전체에 걸쳐 설명되는 다양한 단계는 단일의 컴퓨터 시스템(130), 또는, 대안적으로, 다수의 컴퓨터 시스템(130)에 의해 수행될 수도 있다는 것이 인식되어야 한다. 또한, 시료 위치 결정 시스템(140)과 같은 시스템(100)의 상이한 서브시스템은 본원에서 설명되는 단계의 적어도 일부를 수행하기에 적합한 컴퓨터 시스템을 포함할 수도 있다. 따라서, 상기 언급된 설명은 본 발명에 대한 제한으로서가 아니라 단지 예시로서 해석되어야 한다. 또한, 하나 이상의 컴퓨팅 시스템(130)은 본원에서 설명되는 방법 실시형태 중 임의의 실시형태의 임의의 다른 단계(들)를 수행하도록 구성될 수도 있다.
또한, 컴퓨터 시스템(130)은 기술 분야에서 공지되어 있는 임의의 방식으로 SAXS 검출기(116) 및 SAXS 조명 광학장치(115)에 통신 가능하게 커플링될 수도 있다. 예를 들면, 하나 이상의 컴퓨팅 시스템(130)은 SAXS 검출기(116) 및 SAXS 조명 광학장치(115)와 각각 관련되는 컴퓨팅 시스템에 커플링될 수도 있다. 다른 예에서, SAXS 검출기(116) 및 SAXS 조명 광학장치(115) 중 임의의 것은 컴퓨터 시스템(130)에 커플링되는 단일의 컴퓨터 시스템에 의해 직접적으로 제어될 수도 있다.
컴퓨터 시스템(130)은, 유선부 및/또는 유선부를 포함할 수도 있는 송신 매체에 의해 시스템의 서브시스템(예를 들면, SAXS 검출기(116) 및 SAXS 조명 광학장치(115), 및 등등)으로부터 데이터 또는 정보를 수신 및/또는 획득하도록 구성될 수도 있다. 이 방식에서, 송신 매체는 시스템(100)의 다른 서브시스템과 컴퓨터 시스템(130) 사이의 데이터 링크로서 기능할 수도 있다.
계측 시스템(100)의 컴퓨터 시스템(130)은, 유선부 및/또는 무선무를 포함할 수도 있는 송신 매체에 의해 다른 시스템으로부터 데이터 또는 정보(예를 들면, 측정 결과, 모델링 입력, 모델링 결과, 등등)를 수신 및/또는 획득하도록 구성될 수도 있다. 이 방식에서, 송신 매체는 컴퓨터 시스템(130)과 다른 시스템(예를 들면, 메모리 온보드 계측 시스템(100), 외부 메모리, 또는 외부 시스템) 사이의 데이터 링크로서 기능할 수도 있다. 예를 들면, 컴퓨팅 시스템(130)은 데이터 링크를 통해 저장 매체(즉, 메모리(132 또는 190))로부터 측정 데이터(예를 들면, 신호(126))를 수신하도록 구성될 수도 있다. 예를 들면, SAXS 검출기(116)의 분광계를 사용하여 획득되는 스펙트럼 결과는, 영구적 또는 반영구적 메모리 디바이스(예를 들면, 메모리(132 또는 190))에 저장될 수도 있다. 이와 관련하여, 측정 결과는 온보드 메모리로부터 또는 외부 메모리 시스템으로부터 임포트될 수도 있다. 또한, 컴퓨터 시스템(130)은 송신 매체를 통해 데이터를 다른 시스템으로 전송할 수도 있다. 예를 들면, 컴퓨터 시스템(130)에 의해 결정되는 오버레이 값(185)은 영구적 또는 반영구적 메모리 디바이스(예를 들면, 메모리(190))에 저장될 수도 있다. 이와 관련하여, 측정 결과는 다른 시스템으로 엑스포트(내보내기)될 수도 있다.
컴퓨팅 시스템(130)은, 퍼스널 컴퓨터 시스템, 메인프레임 컴퓨터 시스템, 워크스테이션, 이미지 컴퓨터, 병렬 프로세서, 또는 기술 분야에서 공지되어 있는 임의의 다른 디바이스를 포함할 수도 있지만, 그러나 이들로 제한되지는 않는다. 일반적으로, 용어 "컴퓨팅 시스템"은, 메모리 매체로부터의 명령어를 실행하는 하나 이상의 프로세서를 구비하는 임의의 디바이스를 망라하도록 광의적으로 정의될 수도 있다.
본원에서 설명되는 방법과 같은 방법을 구현하는 프로그램 명령어(134)는, 와이어, 케이블, 또는 무선 전송 링크와 같은 송신 매체를 통해 송신될 수도 있다. 예를 들면, 도 3에서 예시되는 바와 같이, 메모리(132)에 저장되는 프로그램 명령어는 버스(133)를 통해 프로세서(131)로 송신된다. 프로그램 명령어(134)는 컴퓨터 판독 가능 매체(예를 들면, 메모리(132))에 저장된다. 예시적인 컴퓨터 판독 가능 매체는 리드 온리 메모리, 랜덤 액세스 메모리, 자기 또는 광학 디스크, 또는 자기 테이프를 포함한다.
몇몇 실시형태에서, 본원에서 설명되는 바와 같은 산란측정법 분석은 제조 프로세스 툴의 일부로서 구현된다. 제조 프로세스 툴의 예는, 리소그래피 노광 툴, 막 퇴적 툴, 임플란트 툴, 및 에칭 툴을 포함하지만 그러나 이들로 제한되는 것은 아니다. 이 방식에서, SAXS 분석의 결과는 제조 프로세스를 제어하는 데 사용된다. 하나의 예에서, 하나 이상의 타겟으로부터 수집되는 SAXS 측정 데이터는 제조 프로세스 툴로 전송된다. SAXS 측정 데이터는 본원에서 설명되는 바와 같이 분석되며 결과는 제조 프로세스 툴의 동작을 조정하도록 사용된다.
본원에서 설명되는 바와 같은 산란측정법 측정은, 다양한 반도체 구조체의 특성을 결정하는 데 사용될 수도 있다. 예시적인 구조체는, FinFET, 나노와이어 또는 그래핀과 같은 저차원 구조체, 10 nm 미만의 구조체, 리소그래피 구조체, 기판 관통 비아(through substrate via; TSV), 메모리 구조체 예컨대 DRAM, DRAM 4F2, FLASH, MRAM 및 높은 종횡비 메모리 구조체를 포함하지만, 그러나 이들로 제한되는 것은 아니다. 예시적인 구조적 특성은, 기하학적 파라미터 예컨대 라인 에지 조도, 라인 폭 조도, 기공 사이즈, 기공 밀도, 측벽 각도, 프로파일, 임계 치수, 피치 및 재료적 파라미터 예컨대 전자 밀도, 조성, 입자 구조(grain structure), 형태(morphology), 응력, 변형률(strain), 및 원소 식별을 포함하지만, 그러나 이들로 제한되지는 않는다.
도 12는 본 발명의 계측 시스템(100)에 의한 구현에 적합한 방법(400)을 예시한다. 하나의 양태에서, 방법(400)의 데이터 프로세싱 블록은 컴퓨팅 시스템(130)의 하나 이상의 프로세서에 의해 실행되는 사전 프로그래밍된 알고리즘을 통해 수행될 수도 있다는 것이 인식된다. 다음의 설명은 계측 시스템(100, 200, 및 300)의 맥락에서 제시되지만, 계측 시스템(100, 200, 및 300)의 특정한 구조적 양태는 제한을 나타내지 않으며, 단지 예시적인 것으로 해석되어야 한다는 것이 본원에서 인식된다.
블록 401에서, 평면의 기판 상에 배치되는 측정 타겟은, 다수의 상이한 입사각 및 다수의 상이한 방위각에서 x 선 방사선의 빔으로 조명된다. 측정 타겟은 평면의 기판 위로 제1 높이에서 제조되는 제1 층에 배치되는 제1 구조체 및 평면의 기판 위로 제2 높이에서 제조되는 제2 층에 배치되는 제2 구조체를 포함한다.
블록 402에서, 복수의 강도가 검출된다. 검출된 강도의 각각은, x 선 방사선의 입사 빔에 응답하여 측정 타겟으로부터 산란되는 어떤 양의(an amount of) 방사선의 하나 이상의 회절 차수와 관련되고, 검출된 강도의 각각은 상이한 입사각 및 방위각과 관련된다.
블록 403에서, 제1 및 제2 구조체 사이의 오버레이의 값이, 다수의 상이한 입사각 및 다수의 상이한 방위각에서 하나 이상의 x 선 회절 차수의 각각의 차수 내의 복수의 강도에서의 변조에 기초하여 추정된다.
본원에서 설명되는 바와 같이, 용어 "임계 치수"는 구조체의 임의의 임계 치수(예를 들면, 하부 임계 치수, 중간 임계 치수, 상부 임계 치수, 측벽 각도, 격자 높이, 등등), 임의의 둘 이상의 구조체 사이의 임계 치수(예를 들면, 두 구조체 사이의 거리), 및 둘 이상의 구조체 사이의 변위(예를 들면, 중첩하는 격자 구조체 사이의 오버레이 변위, 등등)를 포함한다. 구조체는 삼차원 구조체, 패턴화된 구조체, 오버레이 구조체, 등등을 포함할 수도 있다.
본원에서 설명되는 바와 같이, 용어 "임계 치수 애플리케이션" 또는 "임계 치수 측정 애플리케이션"은 임의의 임계 치수 측정을 포함한다.
본원에서 설명되는 바와 같이, 용어 "계측 시스템"은, 임계 치수 애플리케이션 및 오버레이 계측 애플리케이션을 비롯한, 임의의 양태에서 시료를 특성 묘사하기 위해 적어도 부분적으로 활용되는 임의의 시스템을 포함한다. 그러나, 기술 분야의 이러한 용어는 본원에서 사용되는 바와 같은 용어 "계측 시스템"의 범위를 제한하지는 않는다. 게다가, 계측 시스템은 패턴화된 웨이퍼 및/또는 패턴화되지 않은 웨이퍼의 측정을 위해 구성될 수도 있다. 계측 시스템은, LED 검사 툴, 에지 검사 툴, 이면 검사 툴, 매크로 검사 툴, 또는 멀티 모드 검사 툴(하나 이상의 플랫폼으로부터의 데이터를 동시적으로 수반함), 및 피측정 구조체 또는 이미징으로부터 이익을 얻는 임의의 다른 계측 또는 검사 툴로서 구성될 수도 있다.
시료를 프로세싱하기 위해 사용될 수도 있는 반도체 프로세싱 시스템(예를 들면, 계측 시스템 또는 리소그래피 시스템)에 대한 다양한 실시형태가 본원에서 설명된다. 용어 "시료"는, 본원에서, 웨이퍼, 레티클, 또는 기술 분야에서 공지되어 있는 수단에 의해 프로세싱될 수도 있는(예를 들면, 결함에 대해 검사 또는 인쇄될 수도 있는) 임의의 다른 샘플을 가리키기 위해 사용된다.
본원에서 사용되는 바와 같이, 용어 "웨이퍼"는 반도체 또는 비반도체 재료로 형성되는 기판을 일반적으로 지칭한다. 예는, 단결정 실리콘, 비화 갈륨, 및 인화 인듐을 포함하지만, 그러나 이들로 제한되는 것은 아니다. 이러한 기판은 반도체 제조 설비에서 공통적으로 발견될 수도 있고 및/또는 프로세싱될 수도 있다. 몇몇 경우에서, 웨이퍼는 기판(즉, 베어 웨이퍼(bare wafer))만을 포함할 수도 있다. 대안적으로, 웨이퍼는 기판 상에 형성되는 상이한 재료의 하나 이상의 층을 포함할 수도 있다. 웨이퍼 상에 형성되는 하나 이상의 층은 "패턴화될" 수도 있거나 또는 "패턴화되지 않을" 수도 있다. 예를 들면, 웨이퍼는 반복가능한 패턴 피쳐를 갖는 복수의 다이를 포함할 수도 있다.
"레티클"은 레티클 제조 프로세스의 임의의 스테이지에서의 레티클일 수도 있거나, 또는 반도체 제조 설비에서의 사용을 위해 방출될(released) 수도 있는 또는 방출되지 않을 수도 있는 완성된 레티클일 수도 있다. 레티클, 또는 "마스크"는, 실질적으로 불투명한 영역이 상부에 형성되며 어떤 패턴으로 구성되는 실질적으로 투명한 기판으로서 일반적으로 정의된다. 기판은, 예를 들면, 비정질의 SiO2와 같은 유리 재료를 포함할 수도 있다. 레티클은, 레티클 상의 패턴이 레지스트로 전사될 수도 있도록, 리소그래피 프로세스의 노광 단계 동안 레지스트로 피복된 웨이퍼 위에 배치될 수도 있다.
웨이퍼 상에 형성되는 하나 이상의 층은 패턴화될 수도 있거나 또는 패턴화되지 않을 수도 있다. 예를 들면, 웨이퍼는, 반복 가능한 패턴 피쳐를 각각 구비하는 복수의 다이를 포함할 수도 있다. 이러한 재료의 층의 형성 및 프로세싱은 궁극적으로는 완성된 디바이스로 귀결될 수도 있다. 많은 상이한 타입의 디바이스가 웨이퍼 상에 형성될 수도 있고, 본원에서 사용되는 바와 같은 용어 웨이퍼는, 기술 분야에서 공지되어 있는 임의의 타입의 디바이스가 상부에서 제조되고 있는 웨이퍼를 망라하도록 의도된다.
하나 이상의 예시적인 실시형태에서, 설명되는 기능은 하드웨어, 소프트웨어, 펌웨어 또는 이들의 임의의 조합으로 구현될 수도 있다. 소프트웨어로 구현되면, 기능은 하나 이상의 명령어 또는 코드로서 컴퓨터 판독 가능 매체 상에 저장되거나 또는 컴퓨터 판독 가능 매체를 통해 전송될 수도 있다. 컴퓨터 판독 가능 매체는 한 장소에서 다른 장소로 컴퓨터 프로그램의 전송을 용이하게 하는 임의의 매체를 포함하는 컴퓨터 저장 매체 및 통신 매체 둘 다를 포함한다. 저장 매체는 범용 컴퓨터 또는 특수 목적의 컴퓨터에 의해 액세스될 수 있는 임의의 이용 가능한 매체일 수도 있다. 비제한적인 예로서, 이러한 컴퓨터 판독 가능 매체는 RAM, ROM, EEPROM, CD-ROM 또는 다른 광 디스크 스토리지, 자기 디스크 스토리지 또는 다른 자기 스토리지 디바이스, 또는 명령어 또는 데이터 구조의 형태로 소망의 프로그램 코드 수단을 반송(carry) 또는 저장하기 위해 이용될 수 있으며 범용 컴퓨터나 특수 목적의 컴퓨터, 또는 범용 프로세서나 특수 목적의 프로세서에 의해 액세스될 수 있는 임의의 다른 매체를 포함할 수 있다. 또한, 임의의 접속이 컴퓨터 판독 가능 매체로 적절히 칭해진다. 예를 들면, 소프트웨어가 동축 케이블, 광섬유 케이블, 연선(twisted pair), 디지털 가입자 회선(digital subscriber line; DSL), 또는 적외선, 무선, 및 마이크로파와 같은 무선 기술을 사용하여 웹사이트, 서버, 또는 다른 원격 소스로부터 전송되면, 동축 케이블, 광섬유 케이블, 연선, DSL, 또는 적외선, 무선, 및 마이크로파와 같은 무선 기술은 매체의 정의에 포함된다. 디스크(disk) 및 디스크(disc)는, 본원에서 사용되는 바와 같이, 컴팩트 디스크(compact disc; CD), 레이저 디스크, XRF 디스크, 디지털 다기능 디스크(digital versatile disc; DVD), 플로피 디스크 및 블루레이 디스크를 포함하는데, 여기서 디스크(disk)는 통상 자기적으로 데이터를 재생하고, 디스크(disc)는 레이저를 이용하여 광학적으로 데이터를 재생한다. 상기의 조합도 컴퓨터 판독 가능 매체의 범위 내에 또한 포함되어야 한다.
비록 소정의 특정한 실시형태가 교수적인 목적을 위해 상기에서 설명되었지만, 본 특허 문헌의 교시는 일반적인 적용 가능성을 가지며 상기에서 설명되는 특정한 실시형태로 제한되는 것은 아니다. 따라서, 설명된 실시형태의 다양한 피쳐의 다양한 수정예, 적응예, 및 조합이, 청구범위에서 개시되는 바와 같은 본 발명의 범위를 벗어나지 않으면서 실시될 수 있다.

Claims (24)

  1. 계측 시스템으로서,
    다수의 상이한 입사각에서 그리고 다수의 상이한 방위각에서 x 선 방사선(radiation)의 빔으로 평면의 기판 상에 배치되는 측정 타겟 - 상기 측정 타겟은 상기 평면의 기판 위로 제1 높이에서 제조되는 제1 층에 배치되는 제1 구조체 및 상기 평면의 기판 위로 제2 높이에서 제조되는 제2 층에 배치되는 제2 구조체를 포함함 - 을 조명하도록 구성되는 x 선 조명 소스;
    x 선 방사선의 입사 빔에 응답하여 상기 측정 타겟으로부터 산란되는 어떤 양의(an amount of) 방사선의 하나 이상의 회절 차수와 각각 관련되는 그리고 상이한 입사각 및 방위각과 각각 관련되는 복수의 강도를 검출하도록 구성되는 x 선 검출기; 및
    컴퓨팅 시스템
    을 포함하고,
    상기 컴퓨팅 시스템은,
    상기 다수의 상이한 입사각 및 상기 다수의 상이한 방위각에서 상기 하나 이상의 x 선 회절 차수의 각각의 차수 내의 상기 복수의 강도에서의 변조에 기초하여 상기 제1 구조체와 제2 구조체 사이의 오버레이의 값을 추정하도록 구성되는 것인, 계측 시스템.
  2. 제1항에 있어서,
    상기 오버레이의 값의 추정은, 저주파 형상 변조가 기저 함수(basis function)의 세트에 의해 설명되고 고주파 오버레이 변조가, 상기 오버레이를 나타내는 파라미터를 포함하는 아핀 원형 함수(affine-circular function)에 의해 설명되도록, 공통 차수의 상기 강도 변조의 파라미터화를 수반하는 것인, 계측 시스템.
  3. 제2항에 있어서,
    상기 오버레이의 값의 추정은, 상기 측정된 복수의 강도에 대한 상기 강도 변조의 파라미터화의 피팅(fitting)을 수반하는 것인, 계측 시스템.
  4. 제1항에 있어서,
    상기 컴퓨팅 시스템은 또한,
    측정 모델을 사용한 회절 차수의 검출된 강도의 피팅 분석(fitting analysis)에 기초하여 상기 제1 및 제2 구조체 중 임의의 것의 형상 파라미터의 값을 추정하도록 구성되는 것인, 계측 시스템.
  5. 제4항에 있어서,
    상기 측정 모델은 물리적 기반 측정 모델 및 신호 응답 계측 모델 중 임의의 것인, 계측 시스템.
  6. 제1항에 있어서,
    상기 제1 구조체는 상기 평면의 기판의 평면의 표면에 평행한 적어도 하나의 방향에서 공간적으로 주기적인 것인, 계측 시스템.
  7. 제1항에 있어서,
    상기 x 선 조명 소스는 상기 다수의 상이한 입사각 및 상기 다수의 상이한 방위각에서 상기 x 선 방사선의 상기 빔으로 상기 측정 타겟을 동시에 조명하는 것인, 계측 시스템.
  8. 제1항에 있어서,
    상기 x 선 조명 소스 및 상기 x 선 검출기는, 투과 소각 x 선 산란(transmission small angle x-ray scattering; TSAXS) 시스템, 스침 입사 소각 x 선 산란(grazing incidence small angle x-ray scattering; GISAXS) 시스템, 광각 x 선 산란(wide angle x-ray scattering; WAXS) 시스템, x 선 회절(x-ray diffraction; XRD) 시스템, 스침 입사 x 선 회절(grazing incidence x-ray diffraction; GIXRD) 시스템, 고분해능 x 선 회절(high resolution x-ray diffraction; HRXRD) 시스템 중 임의의 것의 엘리먼트로서 배치되는 것인, 계측 시스템.
  9. 제8항에 있어서,
    상기 검출기 앞에서 상기 측정 타겟으로부터 산란되는 상기 방사선의 경로에 배치되는 선택 가능한 분석기 엘리먼트를 더 포함하며, 상기 선택 가능한 분석기 엘리먼트는 선택 가능한 에너지 범위 내에서 상기 산란된 방사선의 투과를 제한하도록 구성되는 것인, 계측 시스템.
  10. 제1항에 있어서,
    상기 측정 타겟은 설계 룰 타겟인 것인, 계측 시스템.
  11. 제1항에 있어서,
    상기 측정 타겟은 다이 내에(in-die)에 배치되는 것인, 계측 시스템.
  12. 제4항에 있어서,
    상기 오버레이 값의 추정 및 상기 형상 파라미터의 값의 추정은 동시에 수행되는 것인, 계측 시스템.
  13. 제1항에 있어서,
    상기 제1 구조체 및 상기 제2 구조체 중 임의의 것은 비대칭인 것인, 계측 시스템.
  14. 제1항에 있어서,
    상기 제1 구조체 및 상기 제2 구조체 중 임의의 것은 비주기적인 것인, 계측 시스템.
  15. 제1항에 있어서,
    상기 컴퓨팅 시스템은 또한,
    상기 오버레이와 형상 파라미터의 상관 관계가 최소화되게끔 상기 다수의 상이한 입사각 및 상기 다수의 상이한 방위각을 결정하도록 구성되는 것인, 계측 시스템.
  16. 오버레이 계측 타겟으로서,
    평면의 기판의 표면 위로 제1 높이에서 제조되는 제1 층에 배치되는 제1 구조체; 및
    제2 구조체가 상기 평면의 기판의 상기 표면에 평행한 제1 방향에서 상기 제1 구조체로부터 제1 오버레이 파라미터 값만큼 오프셋되도록, 상기 평면의 기판의 상기 표면 위로 제2 높이에서 제조되는 제2 층에 배치되는 상기 제2 구조체
    를 포함하고,
    상기 제1 구조체, 상기 제2 구조체, 또는 둘 모두는 비대칭이고, 상기 오버레이 계측 타겟은, 복수의 회절 차수의 각각의 x 선 회절 차수와 관련되는 측정된 강도가 변조되도록 다수의 상이한 입사각 및 다수의 상이한 방위각으로부터의 입사 x선 방사선을 회절시키는 것인, 오버레이 계측 타겟.
  17. 제16항에 있어서,
    제3 구조체가 상기 평면의 기판의 상기 표면에 평행한 상기 제1 방향에서 상기 제1 구조체 및 상기 제2 구조체로부터 제2 오버레이 파라미터 값 및 제3 오버레이 파라미터 값만큼 각각 오프셋되도록, 상기 평면의 기판의 상기 표면 위로 제3 높이에서 제조되는 제3 층에 배치되는 상기 제3 구조체를 더 포함하고, 상기 제1 높이와 제2 높이 사이의 제1 분리 거리, 상기 제1 높이와 제3 높이 사이의 제2 분리 거리, 및 상기 제2 높이와 제3 높이 사이의 제3 분리 거리 각각은 상이하고 독립적인 거리인 것인, 오버레이 계측 타겟.
  18. 제16항에 있어서,
    상기 제1 구조체는 제1 주기성을 가지고 주기적이고, 상기 제2 구조체는 제2 주기성을 가지고 주기적이고, 상기 제1 주기성 및 상기 제 2주기성은, 상기 제1 구조체와 관련되는 제1 회절 차수가 상기 제2 구조체와 관련되는 제2 회절 차수와 보강 간섭하도록 선택되는 것인, 오버레이 계측 타겟.
  19. 제18항에 있어서,
    상기 제1 구조체의 상기 주기성의 치수는, 상기 제2 구조체의 상기 주기성과 정렬되는(aligned) 것인, 오버레이 계측 타겟.
  20. 방법으로서,
    다수의 상이한 입사각에서 그리고 다수의 상이한 방위각에서 x 선 방사선의 빔으로 평면의 기판 상에 배치되는 측정 타겟 - 상기 측정 타겟은 상기 평면의 기판 위로 제1 높이에서 제조되는 제1 층에 배치되는 제1 구조체 및 상기 평면의 기판 위로 제2 높이에서 제조되는 제2 층에 배치되는 제2 구조체를 포함함 - 을 조명하는 단계;
    x 선 방사선의 입사 빔에 응답하여 상기 측정 타겟으로부터 산란되는 어떤 양의 방사선의 하나 이상의 회절 차수와 각각 관련되는 그리고 상이한 입사각 및 방위각과 각각 관련되는 복수의 강도를 검출하는 단계; 및
    상기 다수의 상이한 입사각 및 상기 다수의 상이한 방위각에서 상기 하나 이상의 x 선 회절 차수의 각각의 차수 내의 상기 복수의 강도에서의 변조에 기초하여 상기 제1 구조체와 제2 구조체 사이의 오버레이의 값을 추정하는 단계
    를 포함하는, 방법.
  21. 제20항에 있어서,
    상기 오버레이의 값의 추정은, 저주파 형상 변조가 기저 함수의 세트에 의해 설명되고 고주파 오버레이 변조가, 상기 오버레이를 나타내는 파라미터를 포함하는 아핀 원형 함수에 의해 설명되도록, 공통 차수의 상기 강도 변조의 파라미터화를 수반하는 것인, 방법.
  22. 제21항에 있어서,
    상기 오버레이의 값의 추정은, 상기 측정된 복수의 강도에 대한 상기 강도 변조의 파라미터화의 피팅을 수반하는 것인, 방법.
  23. 제20항에 있어서,
    측정 모델을 사용한 상기 회절 차수의 상기 검출된 강도의 피팅 분석에 기초하여 상기 제1 및 제2 구조체 중 임의의 것의 형상 파라미터의 값을 추정하는 단계를 더 포함하는, 방법.
  24. 제23항에 있어서,
    상기 오버레이 값 및 상기 형상 파라미터 값에 기초하여 상기 제1 및 제2 구조체와 관련되는 에지 배치 오차(edge placement error)의 값을 추정하는 단계를 더 포함하는, 방법.
KR1020177034222A 2015-04-28 2016-04-28 계산 효율적인 x 선 기반의 오버레이 측정 KR102512180B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201562154108P 2015-04-28 2015-04-28
US62/154,108 2015-04-28
PCT/US2016/029876 WO2016176502A1 (en) 2015-04-28 2016-04-28 Computationally efficient x-ray based overlay measurement
US15/141,453 2016-04-28
US15/141,453 US10545104B2 (en) 2015-04-28 2016-04-28 Computationally efficient X-ray based overlay measurement

Publications (2)

Publication Number Publication Date
KR20170139669A true KR20170139669A (ko) 2017-12-19
KR102512180B1 KR102512180B1 (ko) 2023-03-20

Family

ID=57199509

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020177034222A KR102512180B1 (ko) 2015-04-28 2016-04-28 계산 효율적인 x 선 기반의 오버레이 측정

Country Status (5)

Country Link
US (2) US10545104B2 (ko)
KR (1) KR102512180B1 (ko)
DE (1) DE112016001982T5 (ko)
IL (1) IL255004B (ko)
WO (1) WO2016176502A1 (ko)

Families Citing this family (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9588066B2 (en) 2014-01-23 2017-03-07 Revera, Incorporated Methods and systems for measuring periodic structures using multi-angle X-ray reflectance scatterometry (XRS)
WO2017016903A1 (en) * 2015-07-30 2017-02-02 Asml Netherlands B.V. Inspection apparatus, inspection method and manufacturing method
US10775323B2 (en) * 2016-10-18 2020-09-15 Kla-Tencor Corporation Full beam metrology for X-ray scatterometry systems
US10481111B2 (en) 2016-10-21 2019-11-19 Kla-Tencor Corporation Calibration of a small angle X-ray scatterometry based metrology system
DE102017201694A1 (de) * 2017-02-02 2017-11-23 Carl Zeiss Smt Gmbh Verfahren und Vorrichtung zur Charakterisierung eines durch wenigstens einen Lithographieschritt strukturierten Wafers
US10767978B2 (en) * 2017-04-14 2020-09-08 Kla-Tencor Corporation Transmission small-angle X-ray scattering metrology system
US11073487B2 (en) * 2017-05-11 2021-07-27 Kla-Tencor Corporation Methods and systems for characterization of an x-ray beam with high spatial resolution
US10727142B2 (en) 2017-05-30 2020-07-28 Kla-Tencor Corporation Process monitoring of deep structures with X-ray scatterometry
US10499876B2 (en) * 2017-07-31 2019-12-10 Taiwan Semiconductor Manufacturing Company, Ltd. Test key design to enable X-ray scatterometry measurement
US10983227B2 (en) 2017-08-14 2021-04-20 Kla-Tencor Corporation On-device metrology using target decomposition
JP6939369B2 (ja) * 2017-10-04 2021-09-22 株式会社島津製作所 診断画像システム
US10748736B2 (en) 2017-10-18 2020-08-18 Kla-Tencor Corporation Liquid metal rotating anode X-ray source for semiconductor metrology
US10533848B2 (en) * 2018-03-05 2020-01-14 Kla-Tencor Corporation Metrology and control of overlay and edge placement errors
US11519869B2 (en) * 2018-03-20 2022-12-06 Kla Tencor Corporation Methods and systems for real time measurement control
US10816486B2 (en) 2018-03-28 2020-10-27 Kla-Tencor Corporation Multilayer targets for calibration and alignment of X-ray based measurement systems
JP6930737B2 (ja) * 2018-04-02 2021-09-01 株式会社リガク 非晶質相の定量分析装置、非晶質相の定量分析方法、及び非晶質相の定量分析プログラム
KR20210028276A (ko) * 2018-07-31 2021-03-11 램 리써치 코포레이션 고 종횡비 구조체들의 패터닝된 어레이들 내의 틸팅 각도 결정
US10634626B2 (en) 2018-09-24 2020-04-28 International Business Machines Corporation Apparatus and method for inducing high-speed variable-tilt wobble motions
US11990380B2 (en) 2019-04-19 2024-05-21 Kla Corporation Methods and systems for combining x-ray metrology data sets to improve parameter estimation
US11520321B2 (en) 2019-12-02 2022-12-06 Kla Corporation Measurement recipe optimization based on probabilistic domain knowledge and physical realization
US11610297B2 (en) 2019-12-02 2023-03-21 Kla Corporation Tomography based semiconductor measurements using simplified models
US11698251B2 (en) 2020-01-07 2023-07-11 Kla Corporation Methods and systems for overlay measurement based on soft X-ray Scatterometry
US11719652B2 (en) 2020-02-04 2023-08-08 Kla Corporation Semiconductor metrology and inspection based on an x-ray source with an electron emitter array
US11513085B2 (en) 2020-02-20 2022-11-29 Kla Corporation Measurement and control of wafer tilt for x-ray based metrology
US11530913B2 (en) 2020-09-24 2022-12-20 Kla Corporation Methods and systems for determining quality of semiconductor measurements
US11967535B2 (en) * 2021-04-13 2024-04-23 Kla Corporation On-product overlay targets
US12019030B2 (en) 2022-01-18 2024-06-25 Kla Corporation Methods and systems for targeted monitoring of semiconductor measurement quality
US20240085321A1 (en) * 2022-09-09 2024-03-14 Kla Corporation Methods And Systems For Model-less, Scatterometry Based Measurements Of Semiconductor Structures
US11955308B1 (en) 2022-09-22 2024-04-09 Kla Corporation Water cooled, air bearing based rotating anode x-ray illumination source

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030002043A1 (en) * 2001-04-10 2003-01-02 Kla-Tencor Corporation Periodic patterns and technique to control misalignment
US7061615B1 (en) * 2001-09-20 2006-06-13 Nanometrics Incorporated Spectroscopically measured overlay target
US20070224518A1 (en) * 2006-03-27 2007-09-27 Boris Yokhin Overlay metrology using X-rays
KR20140139080A (ko) * 2012-03-27 2014-12-04 에이에스엠엘 네델란즈 비.브이. 메트롤로지 방법 및 장치, 리소그래피 시스템 및 디바이스 제조 방법

Family Cites Families (68)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5608526A (en) 1995-01-19 1997-03-04 Tencor Instruments Focused beam spectroscopic ellipsometry method and system
US6734967B1 (en) 1995-01-19 2004-05-11 Kla-Tencor Technologies Corporation Focused beam spectroscopic ellipsometry method and system
US5859424A (en) 1997-04-08 1999-01-12 Kla-Tencor Corporation Apodizing filter system useful for reducing spot size in optical measurements and other applications
US5858619A (en) * 1997-09-30 1999-01-12 Candescent Technologies Corporation Multi-level conductive matrix formation method
US6429943B1 (en) 2000-03-29 2002-08-06 Therma-Wave, Inc. Critical dimension analysis with simultaneous multiple angle of incidence measurements
US6453002B1 (en) * 2000-04-18 2002-09-17 Jordan Valley Applied Radiation Ltd. Differential measurement of X-ray microfluorescence
US7317531B2 (en) * 2002-12-05 2008-01-08 Kla-Tencor Technologies Corporation Apparatus and methods for detecting overlay errors using scatterometry
IL138552A (en) * 2000-09-19 2006-08-01 Nova Measuring Instr Ltd Measurement of transverse displacement by optical method
US6950196B2 (en) 2000-09-20 2005-09-27 Kla-Tencor Technologies Corp. Methods and systems for determining a thickness of a structure on a specimen and at least one additional property of the specimen
US6895075B2 (en) 2003-02-12 2005-05-17 Jordan Valley Applied Radiation Ltd. X-ray reflectometry with small-angle scattering measurement
WO2003054475A2 (en) 2001-12-19 2003-07-03 Kla-Tencor Technologies Corporation Parametric profiling using optical spectroscopic systems
US6772084B2 (en) * 2002-01-31 2004-08-03 Timbre Technologies, Inc. Overlay measurements using periodic gratings
US6816570B2 (en) 2002-03-07 2004-11-09 Kla-Tencor Corporation Multi-technique thin film analysis tool
US6982793B1 (en) * 2002-04-04 2006-01-03 Nanometrics Incorporated Method and apparatus for using an alignment target with designed in offset
US7111256B2 (en) * 2002-06-05 2006-09-19 Kla-Tencor Technologies Corporation Use of overlay diagnostics for enhanced automatic process control
US7170604B2 (en) * 2002-07-03 2007-01-30 Tokyo Electron Limited Overlay metrology method and apparatus using more than one grating per measurement direction
US7230703B2 (en) * 2003-07-17 2007-06-12 Tokyo Electron Limited Apparatus and method for measuring overlay by diffraction gratings
US20080144036A1 (en) * 2006-12-19 2008-06-19 Asml Netherlands B.V. Method of measurement, an inspection apparatus and a lithographic apparatus
US7110491B2 (en) 2004-12-22 2006-09-19 Jordan Valley Applied Radiation Ltd. Measurement of critical dimensions using X-ray diffraction in reflection mode
US7478019B2 (en) 2005-01-26 2009-01-13 Kla-Tencor Corporation Multiple tool and structure analysis
US7553611B2 (en) * 2005-03-31 2009-06-30 Sandisk 3D Llc Masking of repeated overlay and alignment marks to allow reuse of photomasks in a vertical structure
US7567351B2 (en) 2006-02-02 2009-07-28 Kla-Tencor Corporation High resolution monitoring of CD variations
US7616313B2 (en) 2006-03-31 2009-11-10 Kla-Tencor Technologies Corporation Apparatus and methods for detecting overlay errors using scatterometry
US7920676B2 (en) * 2007-05-04 2011-04-05 Xradia, Inc. CD-GISAXS system and method
US7911612B2 (en) * 2007-06-13 2011-03-22 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
US7829168B2 (en) * 2007-11-21 2010-11-09 Promos Technologies Pte. Ltd. Methods for inspecting and optionally reworking summed photolithography patterns resulting from plurally-overlaid patterning steps during mass production of semiconductor devices
NL1036245A1 (nl) 2007-12-17 2009-06-18 Asml Netherlands Bv Diffraction based overlay metrology tool and method of diffraction based overlay metrology.
EP2131245A3 (en) * 2008-06-02 2012-08-01 ASML Netherlands BV Lithographic apparatus and its focus determination method
NL2003179A1 (nl) * 2008-07-18 2010-01-19 Asml Netherlands Bv Lithographic apparatus and device manufacturing method and scatterometry method and measurement system used therein.
US7929667B1 (en) 2008-10-02 2011-04-19 Kla-Tencor Corporation High brightness X-ray metrology
DE112010001894B4 (de) * 2009-04-14 2023-05-04 Rigaku Corp. Verfahren zur Messung einer Oberflächenmikrostruktur, Verfahren zur Datenanalyse einer Oberflächenmikrostrukturmessung und Oberflächenmikrostruktur-Messsystem
JP5237186B2 (ja) * 2009-04-30 2013-07-17 株式会社リガク X線散乱測定装置およびx線散乱測定方法
US8189202B2 (en) * 2009-08-04 2012-05-29 Zygo Corporation Interferometer for determining overlay errors
US20110276319A1 (en) * 2010-05-06 2011-11-10 Jonathan Michael Madsen Determination of material optical properties for optical metrology of structures
US8687766B2 (en) * 2010-07-13 2014-04-01 Jordan Valley Semiconductors Ltd. Enhancing accuracy of fast high-resolution X-ray diffractometry
US8854628B2 (en) * 2010-09-22 2014-10-07 Zygo Corporation Interferometric methods for metrology of surfaces, films and underresolved structures
US9239522B2 (en) * 2010-10-08 2016-01-19 Kla-Tencor Corporation Method of determining an asymmetric property of a structure
US20120308788A1 (en) * 2011-05-31 2012-12-06 Chiu Chui-Fu Overlay mark set and method for positioning two different layout patterns
US9240254B2 (en) 2011-09-27 2016-01-19 Revera, Incorporated System and method for characterizing a film by X-ray photoelectron and low-energy X-ray fluorescence spectroscopy
US8980651B2 (en) * 2011-09-30 2015-03-17 Tokyo Electron Limited Overlay measurement for a double patterning
US8879073B2 (en) 2012-02-24 2014-11-04 Kla-Tencor Corporation Optical metrology using targets with field enhancement elements
US10801975B2 (en) 2012-05-08 2020-10-13 Kla-Tencor Corporation Metrology tool with combined X-ray and optical scatterometers
US8913237B2 (en) 2012-06-26 2014-12-16 Kla-Tencor Corporation Device-like scatterometry overlay targets
KR101609652B1 (ko) * 2012-07-10 2016-04-06 에이에스엠엘 네델란즈 비.브이. 리소그래피 장치의 위치 설정기를 교정하기 위한 리소그래피 클러스터 시스템, 방법
US10013518B2 (en) 2012-07-10 2018-07-03 Kla-Tencor Corporation Model building and analysis engine for combined X-ray and optical metrology
US9581430B2 (en) 2012-10-19 2017-02-28 Kla-Tencor Corporation Phase characterization of targets
US10769320B2 (en) 2012-12-18 2020-09-08 Kla-Tencor Corporation Integrated use of model-based metrology and a process model
US9291554B2 (en) 2013-02-05 2016-03-22 Kla-Tencor Corporation Method of electromagnetic modeling of finite structures and finite illumination for metrology and inspection
US9442391B2 (en) * 2013-03-12 2016-09-13 Taiwan Semiconductor Manufacturing Co., Ltd. Overlay sampling methodology
US10101670B2 (en) 2013-03-27 2018-10-16 Kla-Tencor Corporation Statistical model-based metrology
US9535018B2 (en) 2013-07-08 2017-01-03 Kla-Tencor Corporation Combined x-ray and optical metrology
US9178066B2 (en) * 2013-08-30 2015-11-03 Taiwan Semiconductor Manufacturing Company Limited Methods for forming a semiconductor arrangement with structures having different heights
US9846132B2 (en) * 2013-10-21 2017-12-19 Kla-Tencor Corporation Small-angle scattering X-ray metrology systems and methods
US9885962B2 (en) * 2013-10-28 2018-02-06 Kla-Tencor Corporation Methods and apparatus for measuring semiconductor device overlay using X-ray metrology
US10895810B2 (en) * 2013-11-15 2021-01-19 Kla Corporation Automatic selection of sample values for optical metrology
US9115987B2 (en) * 2013-12-04 2015-08-25 Nanometrics Incorporated Optical metrology with multiple angles of incidence and/or azimuth angles
US9588066B2 (en) * 2014-01-23 2017-03-07 Revera, Incorporated Methods and systems for measuring periodic structures using multi-angle X-ray reflectance scatterometry (XRS)
NL2014071A (en) * 2014-02-03 2015-08-06 Asml Netherlands Bv Metrology method and apparatus, substrate, lithographic system and device manufacturing method.
KR102006316B1 (ko) * 2014-02-17 2019-08-01 에이에스엠엘 네델란즈 비.브이. 에지 배치 오차를 결정하는 방법, 검사 장치, 패터닝 디바이스, 기판 및 디바이스 제조 방법
US10331043B2 (en) * 2014-02-21 2019-06-25 Asml Netherlands B.V. Optimization of target arrangement and associated target
JP6412163B2 (ja) * 2014-05-13 2018-10-24 エーエスエムエル ネザーランズ ビー.ブイ. メトロロジーに用いられる基板及びパターニングデバイス、メトロロジー方法、及びデバイス製造方法
SG11201609566VA (en) * 2014-06-02 2016-12-29 Asml Netherlands Bv Method of designing metrology targets, substrates having metrology targets, method of measuring overlay, and device manufacturing method
US10151986B2 (en) 2014-07-07 2018-12-11 Kla-Tencor Corporation Signal response metrology based on measurements of proxy structures
KR101948912B1 (ko) * 2014-07-09 2019-02-15 에이에스엠엘 네델란즈 비.브이. 검사 장치, 검사 방법 및 디바이스 제조 방법
WO2016124345A1 (en) * 2015-02-04 2016-08-11 Asml Netherlands B.V. Metrology method, metrology apparatus and device manufacturing method
JP6602388B6 (ja) * 2015-03-25 2020-01-15 エーエスエムエル ネザーランズ ビー.ブイ. メトロロジ方法、メトロロジ装置、及びデバイス製造装置
CN106154765B (zh) * 2015-04-23 2018-12-21 中芯国际集成电路制造(上海)有限公司 套刻测量装置
WO2017016903A1 (en) * 2015-07-30 2017-02-02 Asml Netherlands B.V. Inspection apparatus, inspection method and manufacturing method

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030002043A1 (en) * 2001-04-10 2003-01-02 Kla-Tencor Corporation Periodic patterns and technique to control misalignment
US7061615B1 (en) * 2001-09-20 2006-06-13 Nanometrics Incorporated Spectroscopically measured overlay target
US20070224518A1 (en) * 2006-03-27 2007-09-27 Boris Yokhin Overlay metrology using X-rays
KR20140139080A (ko) * 2012-03-27 2014-12-04 에이에스엠엘 네델란즈 비.브이. 메트롤로지 방법 및 장치, 리소그래피 시스템 및 디바이스 제조 방법

Also Published As

Publication number Publication date
DE112016001982T5 (de) 2018-02-15
IL255004B (en) 2021-08-31
WO2016176502A1 (en) 2016-11-03
US20200116655A1 (en) 2020-04-16
IL255004A0 (en) 2017-12-31
KR102512180B1 (ko) 2023-03-20
US11428650B2 (en) 2022-08-30
US10545104B2 (en) 2020-01-28
US20160320319A1 (en) 2016-11-03

Similar Documents

Publication Publication Date Title
US11428650B2 (en) Computationally efficient x-ray based overlay measurement
US11313816B2 (en) Full beam metrology for x-ray scatterometry systems
CN108401437B (zh) 用于高高宽比结构的x光散射测量计量
US10324050B2 (en) Measurement system optimization for X-ray based metrology
CN113677983B (zh) 结合x射线计量数据集合以改进参数评估的方法及系统
WO2019036512A1 (en) DEVICE-BASED METROLOGY USING TARGET DECOMPOSITION
JP2023509480A (ja) 軟x線スキャタロメトリに依拠するオーバレイ計測方法及びシステム
KR20190095525A (ko) 소각 x선 산란 측정법을 위한 x선 줌 렌즈
CN107533020B (zh) 计算上高效的基于x射线的叠盖测量系统与方法
US20240085321A1 (en) Methods And Systems For Model-less, Scatterometry Based Measurements Of Semiconductor Structures

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant