KR101948912B1 - 검사 장치, 검사 방법 및 디바이스 제조 방법 - Google Patents

검사 장치, 검사 방법 및 디바이스 제조 방법 Download PDF

Info

Publication number
KR101948912B1
KR101948912B1 KR1020177001173A KR20177001173A KR101948912B1 KR 101948912 B1 KR101948912 B1 KR 101948912B1 KR 1020177001173 A KR1020177001173 A KR 1020177001173A KR 20177001173 A KR20177001173 A KR 20177001173A KR 101948912 B1 KR101948912 B1 KR 101948912B1
Authority
KR
South Korea
Prior art keywords
target
radiation
image detector
amplitude
frequency
Prior art date
Application number
KR1020177001173A
Other languages
English (en)
Other versions
KR20170016006A (ko
Inventor
아만데브 싱
헨리쿠스 페트루스 마리아 펠레만스
페트릭 워나르
Original Assignee
에이에스엠엘 네델란즈 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠엘 네델란즈 비.브이. filed Critical 에이에스엠엘 네델란즈 비.브이.
Publication of KR20170016006A publication Critical patent/KR20170016006A/ko
Application granted granted Critical
Publication of KR101948912B1 publication Critical patent/KR101948912B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01JMEASUREMENT OF INTENSITY, VELOCITY, SPECTRAL CONTENT, POLARISATION, PHASE OR PULSE CHARACTERISTICS OF INFRARED, VISIBLE OR ULTRAVIOLET LIGHT; COLORIMETRY; RADIATION PYROMETRY
    • G01J3/00Spectrometry; Spectrophotometry; Monochromators; Measuring colours
    • G01J3/28Investigating the spectrum
    • G01J3/2823Imaging spectrometer
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01JMEASUREMENT OF INTENSITY, VELOCITY, SPECTRAL CONTENT, POLARISATION, PHASE OR PULSE CHARACTERISTICS OF INFRARED, VISIBLE OR ULTRAVIOLET LIGHT; COLORIMETRY; RADIATION PYROMETRY
    • G01J3/00Spectrometry; Spectrophotometry; Monochromators; Measuring colours
    • G01J3/28Investigating the spectrum
    • G01J3/45Interferometric spectrometry
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/17Systems in which incident light is modified in accordance with the properties of the material investigated
    • G01N21/47Scattering, i.e. diffuse reflection
    • G01N21/4788Diffraction
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/956Inspecting patterns on the surface of objects
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N2201/00Features of devices classified in G01N21/00
    • G01N2201/06Illumination; Optics
    • G01N2201/061Sources
    • G01N2201/06113Coherent sources; lasers

Abstract

검사 장치(100)는 기판 상에서 타겟의 파라미터를 측정하기 위해 이용된다. 가간섭성 방사선은 타겟(T)을 조명하기 위한 조명 경로(실선 표시된 광선)를 따른다. 집광 경로(파선 표시된 광선)는 타겟으로부터 회절 방사선을 집광하여 록-인 이미지 검출기(112)에 전달한다. 기준 빔은 기준 경로(점선 표시된 광선)를 따른다. 음향-광학 변조기(108)는 록-인 검출기에서의 방사선의 세기가 기준 방사선의 주파수와 회절 방사선의 주파수 사이의 차이에 대응하는 특성 주파수를 갖는 시변 성분을 포함하도록 기준 빔의 광 주파수를 시프트한다. 록-인 이미지 검출기는 시변 성분의 진폭 및 위상 모두를 나타내는 2차원 이미지 정보를 기록한다. 상이한 시프트(110)를 갖는 제2 기준 빔은 제2 기준 경로(쇄선 표시된 광선)를 따른다. 2개의 기준 빔들 사이의 간섭이 세기 정규화를 위해 이용될 수 있다.

Description

검사 장치, 검사 방법 및 디바이스 제조 방법{INSPECTION APPARATUS, INSPECTION METHOD AND DEVICE MANUFACTURING METHOD}
본 발명은 2014년 7월 9일에 출원된 유럽 출원 제14176391호에 대해 우선권을 주장하며, 이러한 문헌의 내용은 원용에 의해 전체로서 본원에 포함된다.
본 발명은 예를 들면, 리소그래피 기술에 의한 디바이스의 제조에 이용가능한 검사 장치 및 관련 검사 방법에 관한 것이다. 나아가 본 발명은 디바이스 제조 방법 및 이러한 방법을 구현하는데 유용한 컴퓨터 프로그램 제품에 관한 것이다.
리소그래피 장치는 기판, 통상적으로 기판의 타겟부 상에 요구되는 패턴을 부여하는 기기이다. 리소그래피 장치는 예를 들어 집적 회로(IC)의 제조에 이용될 수 있다. 이러한 경우, 대안적으로 마스크 또는 레티클로 지칭되는 패터닝 디바이스가 IC의 개별 층 상에 형성될 회로 패턴을 생성하기 위해 이용될 수 있다. 이러한 패턴은 기판(예를 들어, 실리콘 웨이퍼) 상의 타겟부(예를 들어, 다이의 일부, 하나 또는 몇몇 다이들을 포함) 상으로 전사될 수 있다. 패턴의 전사는 통상적으로 기판 상에 제공된 방사선 감응 재료(레지스트)의 층 상으로 이미징을 통해 이루어진다. 일반적으로, 단일 기판은 연속하여 패터닝되는 인접한 타겟부의 네트워크를 포함할 것이다. 공지된 리소그래피 장치는, 한번에 타겟부 상으로 전체 패턴을 노광함으로써 각각의 타겟부가 조사(irradiate)되는 이른바 스텝퍼, 및 주어진 방향("스캐닝" 방향)으로 방사선 빔을 통해 패턴을 스캔하는 동시에 이러한 방향에 평행 또는 반-평행하게 기판을 스캔함으로써 각각의 타겟부가 조사되는 이른바 스캐너를 포함한다. 또한 기판 상으로 패턴을 임프린트함으로써 패터닝 디바이스로부터 기판으로 패턴을 전사하는 것도 가능하다.
리소그래피 공정에서는 예를 들어, 공정 제어 및 검증을 위해 생성된 구조체에 대해 자주 측정을 수행하는 것이 바람직하다. 임계 치수(CD)를 측정하기 위해 흔히 사용되는 주사 전자 현미경, 및 오버레이(상이한 패터닝 단계들로 형성된 패턴들 사이의, 예컨대 디바이스 내의 두 층들 사이의 정렬의 정확도) 및 리소그래피 장치의 디포커스를 측정하기 위한 전용화된 툴을 포함하여 이러한 측정을 하기 위한 다양한 툴이 알려져 있다. 최근, 다양한 형태의 스캐터로미터가 리소그래피 분야에서 사용되도록 개발되었다. 이러한 디바이스는 방사선 빔을 타겟 상으로 지향시키고 산란된 방사선의 하나 이상의 특성 - 예를 들어, 파장의 함수로서 단일 반사각에서의 세기; 반사된 각도의 함수로서 하나 이상의 파장에서의 세기; 또는 반사된 각도의 함수로서의 편광 - 을 측정하여 "스펙트럼"을 획득하고, 이로부터 타겟의 관심 특성이 결정될 수 있다. 관심 특성의 결정은 다양한 기법에 의해 수행될 수 있다: 예를 들면, 정밀 결합파 분석(RCWA) 또는 유한 요소법 등의 반복적인 접근법에 의한 타겟 구조체의 재구성; 라이브러리 탐색; 및 주성분 분석에 의해 수행될 수 있다.
구조체 파라미터를 결정하기 위한 방법 및 장치는 예를 들어, WO 2012-0126718에 개시되어 있다. 방법 및 스캐터로미터는 또한 US 2011-0027704 A1, US 2006-033921 A1 및 US 2010-201963 A1에 개시되어 있다. 이러한 스캐터로미터에 의하여 사용되는 타겟은, 예를 들어 40μm X 40μm인 비교적 큰 격자들이고, 측정 빔은 격자보다 더 작은 조명 스팟을 생성한다(즉, 격자는 언더필된 상태(underfilled)이다). 하나의 패터닝 단계로 만들어지는 구조체의 파라미터를 결정하기 위한 산란측정에 더하여, 이러한 방법 및 장치는 회절 기반 오버레이 측정을 수행하기 위해 적용될 수 있다.
회절 차수의 암시야 이미지 검출을 이용하는 회절-기반 오버레이가 더 작은 타겟에 대한 오버레이 측정을 가능하게 한다. 이러한 타겟은 조명 스팟보다 더 작을 수 있고, 웨이퍼 상의 제품 구조에 의하여 둘러싸일 수도 있다. 하나의 이미지에서 다수의 타겟이 측정될 수 있다. 암시야 이미징 계측의 예는 특허 문헌인 US 2010-328655 A1 및 US 2011-069292 A1에서 찾을 수 있으며, 이러한 문헌의 내용은 원용에 의해 본원에 포함된다. 이러한 기법의 추가적인 개선 사례가 공개 문헌인 US 2011-0027704 A, US 2011-0043791 A, US 2012-0044470 A, US 2012-0123581 A, US 2013-0258310 A, US 2013-0271740 A 및 WO 2013-178422 A1에 기술되어 있다. 이러한 타겟은 조명 스팟보다 더 작을 수 있고, 웨이퍼 상의 제품 구조에 의하여 둘러싸일 수도 있다. 복합 격자 타겟을 이용하여 하나의 이미지에서 다수의 격자가 측정될 수 있다. 수정된 작은 타겟을 이용하여 초점 및 선량을 측정하기 위해 유사한 기법이 발전되었다. 리소그래피 장치의 선량 및 초점을 결정하는 방법은 각각 특허 문헌 WO 2014-082938 A1 및 US 2014-0139814 A1에 개시되어 있다. 이러한 모든 문헌의 내용 또한 원용에 의해 본원에 포함된다.
그러므로, 공지된 세기 기반 스캐터로미터에서 오버레이, CD 및 초점 등의 관심 파라미터는 적절한 타겟에 의해 회절된 방사선의 세기를 측정함으로써 추론된다. 예를 들어, 암시야 이미징을 이용하는 회절 기반 계측법에서는, -1차 및 +1차 회절 차수 세기를 별도로 획득하도록 하는 방법으로 타겟을 측정함으로써 결과를 얻게 된다. 주어진 격자에 대해 이러한 세기를 비교하면 타겟에서의 비대칭의 측정을 얻게 된다. 그러면 측정된 비대칭은 타겟의 형태에 따라 오버레이, 초점 또는 선량의 측정으로 변환될 수 있고, 이러한 타겟은 관심 파라미터에 감응하는 비대칭을 갖도록 특별히 설계된 것이다.
공지된 예와 방법은 가간섭성 광원을 이용하여 산란된 방사선의 세기만을 측정한다. 타겟의 재구성은 타겟에 대한 종전의 정보 없이는 풀이될 수 없는 난해한 역의 문제이다. 현재의 검사 장치를 이용할 때 이러한 난해한 역의 문제를 풀이하기 위해서는, 비교적 큰 타겟 구조체가 관심 파라미터의 추출을 위해 필요하다. 마찬가지로, 작은 타겟을 이용하는 공지된 암시야 이미징 계측은 상이한 회절 차수들의 세기를 측정하며, 바람직하지 않게도 측정은 공정 유발 편차에 민감하다. 다시 말해서, 이러한 측정은 관심 파라미터로 인한 비대칭과 공정 편차에 의해 유발된 비대칭 또는 기타 다른 편차를 구별하지 못한다.
US 2012-243004 A1에서는 가간섭성 푸리에 산란측정을 수행하기 위해 앞서 기술한 유형의 스캐터로미터를 조정하는 것이 제안되었다. 이러한 수정의 목적은 회절 스펙트럼의 세기 정보 뿐만 아니라 위상 정보를 획득하려는 것이다. 위상 정보의 가용성에 의하여 보다 확실한 재구성이 가능해진다. US 2012-243004 A1에 개시된 방법은 위상 정보를 얻기 위해 캡쳐되고 비교되어야 할 다수의 회절 스펙트럼을 필요로 한다. 그러므로, 처리량에 불이익이 생기게 되고, 즉 주어진 시간 내에 더 적은 측정이 이루어질 수 있게 된다. 대용량의 제조 환경에서는 정확도 뿐만 아니라 처리량도 극대화되어야 한다.
본 발명의 목적은 대용량의 제조 환경에서 사용되기에 보다 적합하면서도 회절 스펙트럼으로부터의 위상 정보를 획득하고 활용할 수 있는 광학 검사 장치 및 계측 방법을 제공하고자 하는 것이다.
본 발명은 제1 양태로서 타겟 구조체의 특성을 측정하기 위한 검사 장치를 제공하며, 이는 방사선 소스, 및 광학 시스템과 조합되는 이미지 검출기를 포함하고, 상기 광학 시스템은:
- 상기 방사선 소스로부터 방사선을 수광하고, 선택된 조명 프로파일을 갖는 조명 방사선의 빔을 형성하며 상기 조명 방사선을 기판 상의 타겟 상으로 포커싱하기 위한 조명 경로;
- 타겟으로부터 회절 방사선을 집광하고 상기 회절 방사선의 선택된 부분을 상기 이미지 검출기에 전달하기 위한 집광 경로; 및
- 상기 방사선 소스로부터 방사선을 수광하고, 기준 방사선의 빔을 상기 회절 방사선과 간섭하도록 상기 이미지 검출기에 전달하기 위한 기준 경로를 규정하며,
상기 이미지 검출기는 2차원 이미지를 캡쳐하기 위한 픽셀들의 어레이를 포함하고,
상기 조명 경로 및 상기 기준 경로 중 적어도 하나는, 상기 이미지 검출기에서의 방사선의 세기가 상기 기준 방사선의 주파수와 상기 회절 방사선의 주파수 사이의 차이에 대응하는 특성 주파수를 갖는 시변 성분을 포함하도록 상기 기준 방사선의 광 주파수를 시프트하기 위한 디바이스를 포함하고,
상기 이미지 검출기는 상기 시변 성분의 진폭과 위상 모두를 나타내는 각각의 픽셀 정보에 대해 기록하기 위해 상기 특성 주파수를 참조하여 동작가능한 록-인(lock-in) 이미지 검출기를 포함한다.
이러한 장치는 몇몇 실시예에서 타겟의 특성의 측정치를 계산하기 위해 기록된 진폭 및 위상 정보를 처리하기 위한 프로세서를 포함한다.
이러한 장치는 몇몇 실시예에서 다수의 타겟에 대해 비대칭의 측정치를 계산하도록 동작가능하다. 프로세서는 상기 측정치와 상기 타겟의 알려진 특성을 이용하여 상기 타겟을 형성하는데 이용된 리소그래피 공정의 성능 파라미터를 계산하도록 더 구성될 수 있다. 이러한 성능 파라미터는 예를 들면, 오버레이, 초점 및 선량 중 하나일 수 있다.
록-인 이미지 검출기는 집광 경로의 이미지 평면 또는 퓨필 평면에 위치할 수 있다.
본 발명은 또 다른 양태로서, 타겟 구조체의 특성을 측정하기 위한 방법을 제공하며, 이러한 방법은:
(a) 방사선 소스로부터 방출되어 선택된 조명 프로파일을 갖는 조명 방사선으로 기판 상의 타겟을 조명하는 단계;
(b) 상기 타겟으로부터 회절 방사선을 집광하고, 상기 회절 방사선의 선택된 부분을 2차원 이미지를 캡쳐하기 위한 픽셀들의 어레이를 포함하는 이미지 검출기에 전달하는 단계; 및
(c) 상기 방사선 소스로부터 방출된 기준 방사선의 빔을 상기 회절 방사선과 간섭하도록 상기 이미지 검출기에 전달하는 단계를 포함하고,
단계 (a) 및 (c)는, 상기 기준 방사선과 상기 조명 방사선 사이에 광 주파수 시프트를 도입하여 상기 이미지 검출기에서의 방사선의 세기가 상기 주파수 시프트에 대응하는 특성 주파수를 갖는 시변 성분을 포함하도록 하는 단계를 포함하며, 단계 (b)는 상기 시변 성분의 진폭과 위상 모두에 대해 2차원 이미지를 기록하기 위해 상기 이미지 검출기를 상기 특성 주파수에서 록-인 이미지 검출기로 동작시키는 단계를 포함한다.
나아가 본 발명은 또한 디바이스 제조 방법을 제공하고, 여기서는 디바이스 피처 및 계측 타겟이 리소그래피 공정에 의해 일련의 기판 상에 형성되고, 하나 이상의 처리된 기판 상에서 상기 계측 타겟의 특성이 앞서 제시된 바와 같은 발명에 따른 검사 장치 또는 방법에 의해 측정되며, 측정된 특성은 추가적인 기판의 처리를 위해 상기 리소그래피 공정의 파라미터를 조정하는데 이용된다.
본 발명은 다른 양태로서 하나 이상의 실시예의 방법의 단계들을 구현하기 위한 기계 판독가능 명령의 하나 이상의 시퀀스를 포함하는 컴퓨터 프로그램 제품을 제공한다. 컴퓨터 프로그램 제품은 비일시적 기계 판독가능 매체에 저장된 그러한 명령을 포함할 수 있다.
이제 첨부된 개략적인 도면을 참조하여 본 발명의 실시예에 관해 단지 예시의 목적으로 설명할 것이다. 도면에서는 대응하는 도면 부호가 대응하는 부분을 나타낸다.
도 1은 리소그래피 장치를 나타낸다.
도 2는 리소그래피 셀 또는 클러스터를 나타낸다.
도 3(a)는 본 발명의 일 실시예에 따른 록-인 이미지 검출기를 포함하는 검사 장치의 개략도이며, 도 3(b)는 주어진 조명 방향에 대한 입사 광선과 회절 광선의 세부사항을 나타낸다.
도 4는 도 3(a)의 장치에서 록-인 이미지 검출기의 동작 원리를 예시한다.
도 5(a) 및 5(b)는 도 3(a)의 장치를 상이한 조명 모드들로 이용하여 회절 패턴의 진폭 및 위상 성분을 획득하는 것을 나타낸다.
도 6은 도 5(a) 또는 5(b)에 도시된 유형의 회절 패턴으로부터 구조체를 재구성하는 예시적인 프로세스를 나타낸다.
도 7(a) 및 7(b)는 각각 도 5(a) 또는 (b)에 도시된 유형의 회절 스펙트럼으로부터 오버레이 또는 기타 다른 성능 파라미터를 결정하기 위한 예시적인 프로세스를 나타낸다.
도 8(a)는 작은 타겟으로 암시야 이미징 계측을 하도록 되어 있는, 본 발명의 다른 실시예에 따른 록-인 이미지 검출기를 포함하는 검사 장치의 개략도이다.
도 8(b)는 도 8(a)의 장치를 이용하여 측정이 수행되고 있을 때 기판 상의 측정 스팟의 윤곽과 복합 타겟을 나타낸다.
도 8(c)는 도 8의 장치에서 획득된 도 8(b)의 타겟의 진폭 및 위상 이미지를 나타낸다.
도 9는 도 8(a)의 장치에서 암시야 이미징을 이용하여 오버레이 또는 기타 다른 성능 파라미터를 결정하기 위한 예시적인 프로세스를 나타낸다.
도 10(a)는 작은 타겟으로 암시야 이미징 계측을 하도록 되어 있는, 본 발명의 또 다른 실시예에 따른 록-인 이미지 검출기를 포함하는 검사 장치의 개략도이며, 10(b)는 주어진 조명 방향에 대한 입사 광선과 회절 광선의 세부사항을 나타낸다.
도 11은 록-인 이미징 검출기가 타원 편광법 또는 편광 분석을 수행하기 위해 이용되는 검사 장치를 예시한다.
도 1은 리소그래피 장치를 개략적으로 나타내고 있다. 리소그래피 장치는:
- 방사선 빔(B)(예를 들어, UV 방사선 또는 DUV 방사선)을 조절하도록 구성된 조명 시스템(조명기)(IL);
- 패터닝 디바이스(예를 들어, 마스크)(MA)를 지지하도록 구성되고 또한 특정의 파라미터에 따라 패터닝 디바이스를 정확하게 위치 설정하도록 구성된 제1 위치설정기(PM)에 연결된 지지 구조체(예를 들어, 마스크 테이블)(MT);
기판(예를 들어, 레지스트로 코팅된 웨이퍼)(W)을 유지하도록 구성되고 또한 특정의 파라미터에 따라 기판을 정확하게 위치 설정하도록 구성된 제2 위치설정기(PW)에 연결된 기판 테이블(예를 들어, 웨이퍼 테이블)(WT); 및
패터닝 디바이스(MA)에 의해 방사선 빔(B)에 부여된 패턴을 기판(W)의 타겟부(C)(예를 들어, 하나 이상의 다이를 포함)상에 투영하도록 구성된 투영 시스템(예를 들어, 굴절형 투영 렌즈 시스템)(PL)을 포함한다.
조명 시스템은 방사선을 지향, 정형(shaping) 또는 제어하기 위한, 굴절형, 반사형, 자기형, 전자기형, 정전형 또는 그외 다른 유형의 광학 부품, 또는 이들의 임의의 조합 등의 다양한 종류의 광학적 구성요소를 포함할 수 있다.
지지 구조체는 패터닝 디바이스를 지지, 즉 패터닝 디바이스의 중량을 지탱한다. 지지 구조체는 패터닝 디바이스의 배향, 리소그래피 장치의 설계, 및 예를 들어 패터닝 디바이스가 진공 환경에서 유지되고 있는지 여부 등의 기타 조건에 의존하는 방식으로 패터닝 디바이스를 유지한다. 지지 구조체는 기계 방식, 진공 방식, 정전 방식 또는 그외 다른 클램핑 기법을 사용하여 패터닝 디바이스를 유지할 수 있다. 지지 구조체는 예컨대, 필요에 따라 고정되거나 이동가능한 프레임 또는 테이블이 될 수 있다. 지지 구조체는 패터닝 디바이스를, 예를 들면 투영 시스템에 대하여 원하는 위치에 배치되도록 하는 것이 가능하다. 본 명세서에서 사용되고 있는 "레티클" 또는 "마스크"라는 용어는 모두 "패터닝 디바이스"라고 하는 보다 일반적인 용어와 동일한 의미로서 고려되어도 된다.
본 명세서에서 사용되고 있는 "패터닝 디바이스"라고 하는 용어는 기판의 다겟부에 패턴을 생성하는 등 방사선 빔의 단면에 패턴을 부여하기 위해 사용될 수 있는 것이면 어떠한 디바이스도 가능한 것으로 넓게 해석되어야 한다. 또한, 방사선 빔에 부여되는 패턴은, 예를 들어 그 패턴이 위상 편이 피처(phase-shifting feature) 또는 소위 어시스트 피처(assist feature)를 포함하는 경우에, 기판의 타겟부의 원하는 패턴과 정확하게 대응하지 않을 수도 있다. 통상적으로, 방사선 빔에 부여된 패턴은 집적 회로 등의 타겟부 내에 만들어지는 디바이스 내의 특정의 기능 층에 대응할 것이다.
패터닝 디바이스는 투과형 또는 반사형으로 할 수 있다. 패터닝 디바이스의 예로서는, 마스크, 프로그램가능 미러 어레이(programmable mirror array), 및 프로그램가능 LCD 패널을 들 수 있다. 마스크는 리소그래피 분야에서는 주지되어 있으며, 바이너리(binary), 교대형 위상 편이(alternating phase-shift), 및 감쇠형 위상 편이(attenuated phase-shift) 등의 마스크 타입뿐만 아니라 다양한 하이브리드 마스크 타입을 포함한다.
본 명세서에서 사용되는 "투영 시스템"이란 용어는, 사용되는 노광 방사선에 적절하게, 또는 액침액의 사용이나 진공의 사용 등과 같은 다른 요인에 적절하게, 굴절형, 반사형, 반사 굴절형, 자기형, 전자기형, 및 정전형 광학 시스템 또는 이들의 임의의 조합을 포함하는 임의의 타입의 투영 시스템을 포괄하는 것으로 넓게 해석되어야 한다. 본 명세서에서 사용되는 "투영 렌즈"라는 용어는, "투영 시스템"이라고 하는 보다 일반적인 용어와 동일 의미로서 고려되어도 된다.
여기서 예시된 바와 같이, 리소그래피 장치는 투과형 타입(예를 들어, 투과형 마스크를 채택)의 장치이다. 이와 달리, 리소그래피 장치를 반사형 타입(예를 들어, 앞서 설명한 타입의 프로그램가능 미러 어레이를 채택한 것 또는 반사 마스크를 채택한 것)의 장치일 수 있다.
리소그래피 장치는 2개(듀얼 스테이지) 또는 그 이상의 기판 테이블(및/또는 둘 이상의 마스크 테이블)을 갖는 타입일 수 있다. 이와 같은 "다중 스테이지" 기기에서는, 추가의 테이블을 병행하여 사용해도 되고, 또는 예비 공정을 하나 이상의 테이블에서 실행하면서, 다른 하나 이상의 테이블을 노광에 사용해도 된다.
리소그래피 장치는 투영 시스템과 기판 사이의 공간을 채우기 위해, 비교적 높은 굴절률을 갖는 액체, 예를 들어 물에 의해 기판의 적어도 일부를 덮은 타입일 수 있다. 리소그래피 장치 내의 다른 공간, 예를 들어 마스크와 투영 시스템 사이의 공간에, 액침 액을 적용하는 것도 가능하다. 액침 기법은 투영 시스템의 개구수를 증가시키는 것으로서 본 기술분야에 잘 알려져 있다.
도 1을 참조하면, 조명기(IL)는 방사선 소스(SO)로부터 방사선 빔을 수광한다. 예를 들어, 방사선 소스가 엑시머 레이저인 경우에는, 방사선 소스 및 리소그래피 장치는 별개의 구성 요소일 수 있다. 이러한 경우에, 방사선 소스는 리소그래피 장치의 일부를 형성하는 것으로 보지 않으며, 예를 들어 적절한 지향 미러 및/또는 빔 확장기를 구비하는 빔 전달 시스템(BD)에 의해, 방사선 빔이 방사선 소스(SO)로부터 조명기(IL)까지 전달된다. 다른 경우로서, 예를 들어 방사선 소스가 수은 램프인 경우에는, 방사선 소스가 리소그래피 장치와 일체를 이루는 부분이 될 수 있다. 방사선 소스(SO)와 조명기(IL)는, 필요에 따라 빔 전달 시스템(BD)과 함께 방사선 시스템으로 지칭될 수 있다.
조명기(IL)는 방사선 빔의 각도 세기 분포를 조정하는 조정기(adjuster)(AD)를 포함할 수 있다. 또한, 조명기(IL)는 집속기(integrator)(IN)와 집광기(condenser)(CO) 등의 다른 각종 구성요소를 포함할 수 있다. 조명기를 사용하여 방사선 빔을 조절함으로써, 방사선 빔의 단면에서의 원하는 균일성과 세기 분포를 얻을 수 있다.
방사선 빔(B)은 지지 구조체(예를 들어, 마스크 테이블)(MT) 상에 유지되는 패터닝 디바이스(예를 들어, 마스크)(MA)에 입사하고, 패터닝 디바이스에 의해 패터닝된다. 방사선 빔(B)이 마스크(MA)를 지나, 투영 시스템(PL)을 통과하면, 투영 시스템에 의해, 기판(W)의 타겟부(C) 상으로 빔이 포커싱된다. 제2 위치설정기(PW)와 위치 센서(IF)(예를 들어, 간섭계 디바이스, 선형 인코더, 2-D 인코더 또는 용량성 센서)를 사용하여, 기판 테이블(WT)을 정확하게 이동시켜, 예를 들어 여러 타겟부(C)를 방사선 빔(B)의 경로 내에 위치시킬 수 있다. 마찬가지로, 제1 위치설정기(PM) 및 다른 위치 센서(도 1에는 명시적으로 도시되어 있지 않음)를 사용하여, 예를 들어 마스크 라이브러리로부터의 기계적 인출 이후 또는 스캔 중에, 마스크(MA)를 방사선 빔(B)의 경로에 대하여 정확하게 위치시킬 수 있다. 일반적으로, 마스크 테이블(MT)의 이동은 제1 위치설정기(PM)의 일부를 형성하는 롱-스트로크 모듈(long-stroke module; 개략적 위치 설정) 및 숏-스트로크 모듈(short-stroke module; 미세 위치 설정)을 사용하여 구현될 수 있다. 마찬가지로, 기판 테이블(WT)의 이동은 제2 위치설정기(PW)의 일부를 형성하는 롱-스트로크 모듈 및 숏-스트로크 모듈을 사용하여 구현될 수 있다. 스테퍼의 경우에(스캐너와는 대조적으로), 마스크 테이블(MT)은 숏-스트로크 모듈 액추에이터에만 연결되거나 고정될 수 있다. 마스크(MA) 및 기판(W)은 마스크 정렬 마크(M1, M2) 및 기판 정렬 마크(P1, P2)를 이용하여 정렬될 수 있다. 기판 정렬 마크는 전용화된 타겟부들을 점유하는 것으로 도시되어 있지만, 타겟부들 사이의 공간에 위치할 수도 있다(이들은 스크라이브-레인 정렬 마크로 알려져 있음). 마찬가지로, 마스크(MA) 상에 둘 이상의 다이가 제공되는 상황에서는, 마스크 정렬 마크가 다이들 사이에 위치할 수 있다.
도시된 리소그래피 장치는 스텝 모드 또는 스캔 모드로 이용될 수 있다. 스텝 모드에서는, 노광 필드의 최대 크기에 의해, 단일의 정적 노광에서 이미징되는 타겟부(C)의 크기가 제한된다. 스캔 모드에서는, 마스크 테이블(MT)과 기판 테이블(WT)을 동기적으로 스캐닝하면서, 방사선 빔에 부여된 패턴이 타겟부(C)에 투영된다(즉, 단일 동적 노광). 마스크 테이블(MT)에 대한 기판 테이블(WT)의 속도와 방향은 투영 시스템(PL)의 확대율(축소율) 및 이미지 반전 특성에 의해 정해질 수 있다. 스캔 모드에서는, 노광 필드의 최대 크기에 의해 단일 동적 노광에서의 타겟부의 폭(비-스캐닝 방향)이 제한되고, 스캐닝 이동의 길이에 의해 타겟부의 높이(스캐닝 방향)가 결정된다.
또 다른 모드에서는, 마스크 테이블(MT)이 프로그램가능 패터닝 디바이스를 유지하면서 실질적으로 정지 상태로 유지되고, 기판 테이블(TW)을 이동시키거나 스캐닝하면서, 방사선 빔에 부여된 패턴을 타겟부(C)에 투영한다. 이 모드에서는, 일반적으로 펄스형 방사선 소스를 채택하고, 프로그램가능 패터닝 디바이스는 기판 테이블(WT)의 각각의 이동 후에 또는 스캔 중의 연속하는 방사선 펄스 사이에서, 필요에 따라 업데이트된다. 이 동작 모드는 앞서 설명한 것과 같은 타입의 프로그램가능한 미러 어레이 등의 프로그램가능한 패터닝 디바이스를 사용하는 마스크 없는 리소그래피에 용이하게 적용할 수 있다.
앞서 설명한 모드를 조합한 것 및/또는 변형한 것이나, 또는 전혀 다른 모드를 채택해도 된다.
도 2에 도시된 바와 같이, 리소그래피 장치(LA)는 종종 리소셀(lithocell) 또는 클러스터로도 지칭되는 리소그래픽 셀(LC)의 일부를 형성하며, 리소그래피 셀은 또한 기판에 대해 노광전 공정(pre-exposure process) 및 노광후 공정을 수행하기 위한 장치를 포함한다. 통상적으로, 이러한 장치는 레지스트층을 증착하는 스핀 코터(spin coater)(SC), 노광된 레지스트를 현상하는 현상기(DE), 냉각 플레이트(chill plate, CH), 및 베이크 플레이트(bake plate, BK)를 포함한다. 기판 핸들러 또는 로봇(RO)이 입력/출력 포트(I/O1, I/O2)로부터 기판을 픽업하여, 이들을 상이한 공정 장치 간에 이동시키며, 그 후 리소그래피 장치의 로딩 베이(loading bay, LB)에 전달한다. 통칭하여 트랙으로도 지칭되는 이들 장치는 감독 제어 시스템(supervisory control system, SCS)에 의해 제어되는 트랙 제어 유닛(TCU)의 제어 하에 있게 되며, 감독 제어 시스템은 또한 리소그래피 제어 유닛(LACU)을 통해 리소그래피 장치를 제어한다. 그러므로, 수율 및 처리 효율을 최대화하기 위해 상이한 장치가 작동될 수 있다.
리소그래피 장치에 의해 노광되는 기판이 정확하고 일정하게 노광되도록 하기 위해서는, 후속 층들 간의 오버레이 오차, 라인 두께, 임계 치수(CD) 등과 같은 특성을 측정하기 위해 노광 기판을 검사하는 것이 바람직하다. 오차가 검출되는 경우, 특히 동일한 배치(batch)의 다른 기판이 여전히 노광될 수 있도록 충분한 정도로 검사가 빠르고 신속하게 행해질 수 있다면, 후속 기판의 노광에 대해 조정이 이루어질 수도 있다. 또한, 이미 노광된 기판은 - 수율을 향상시키기 위해 - 스트리핑되고 재작업되거나 또는 폐기될 수도 있으며, 이에 의해 문제가 있는 것으로 알려진 기판에 대해 노광을 수행하는 것을 방지한다. 하나의 기판의 단지 몇몇 타겟부만이 문제가 있는 경우에는, 양호한 타겟부 상에만 추가의 노광이 수행될 수 있다.
검사 장치는 기판의 특성 및 구체적으로는 상이한 기판들의 특성 또는 동일한 기판의 상이한 층들의 특성이 층마다 어떻게 달라지는지를 결정하기 위해 이용된다.
검사 장치는 리소그래피 장치(LA) 또는 리소셀(LC)에 통합되어도 되고, 또는 독립형 장치이어도 된다. 가장 신속한 측정을 가능하게 하기 위해, 검사 장치가 노광 직후의 노광 레지스트층에서의 특성을 측정하는 것이 바람직하다. 그러나, 레지스트에서의 잠상(latent image)은 매우 낮은 콘트라스트를 가지며 - 방사선에 노광되었던 레지스트의 부분과 그렇지 않은 부분 간에 단지 아주 작은 굴절률 차이가 있음 -, 모든 검사 장치가 잠상의 유용한 측정을 행하기 위해 충분한 감도를 갖는 것은 아니다. 따라서, 노광 후 굽기 단계(post-exposure bake step, PEB) 후에 측정이 이루어질 수도 있고, 이러한 PEB는 통상적으로 노광된 기판 상에 수행되는 첫 번째 단계이고 레지스트의 노광된 부분과 노광되지 않은 부분 간의 콘트라스트를 증가시킨다. 이 단계에서, 레지스트에서의 이미지는 반잠상이라 지칭될 수도 있다. 에칭 등의 패턴 전사 단계 후 또는 현상된 레지스트 이미지 - 이 시점에서 레지스트의 노광된 부분 또는 노광되지 않은 부분 중의 어느 하나가 제거됨 - 의 측정을 행하는 것도 가능하다. 후자의 가능성은 문제가 있는 기판의 재작업에 대한 가능성을 제한하지만, 여전히 유용한 정보를 제공할 수 있다.
산란측정 기반 계측을 위한 헤테로다인 간섭측정 시스템
헤테로다인 간섭측정 기법을 이용하여 산란측정 및/또는 암시야 이미징을 수행하기 위해 록-인 이미지 검출기가 이용되는 수정된 검사 장치 및 이와 연관된 방법이 본 명세서에서 개시된다. 수정된 장치는 단지 세기 측정 대신에 진폭 및 위상을 포함하여 산란 타겟의 전-필드(full-field) 회절 패턴의 측정을 제공한다. CD(임계 치수) 등의 파라미터를 위해 타겟 형상 정보가 획득될 수 있고, 장치는 또한 오버레이, 초점 또는 선량의 측정을 개선하기 위해 위상 정보를 획득하는데 이용될 수 있다.
도면에 걸쳐 유사한 도면 부호는 유사한 컴포넌트를 지칭한다는 점에 주목해야 한다.
도 3(a)는 헤테로다인 간섭측정 기법을 구현하는 검사 장치(100)의 단순화된 형태를 나타낸다. 격자 타겟(T) 및 회절 광선은 도 3(b)에 더 상세하게 도시되어 있다. 장치(100)는 방사선 소스(102) 및 이와 연관된 렌즈(104), 타겟(T)과 대면하는 대물 렌즈(106), 제1 주파수 시프터(108), 제2 주파수 시프터(110), 및 록-인 이미지 검출기(112)를 포함한다. 이러한 컴포넌트는 실질적으로 4개의 빔 경로를 형성하는 광학 시스템에 배열되어 있다. 이러한 각각의 경로를 따르는 광선은 도 3(a)에서 상이한 선 스타일로 표시되어 있다. 공지된 장치에서와 같이, 타겟을 조명하기 위한 조명 경로(실선 표시된 광선)와 회절 방사선을 집광하여 검출기(112)에 전달하기 위한 집광 경로(파선 표시된 광선)가 있다. 추가적으로, 제1 주파수 시프터(108)를 포함하는 제1 기준 경로(점선 표시)와 제2 주파수 시프터(110)를 포함하는 제2 기준 경로(쇄선 표시)가 이러한 장치에 제공된다. 주파수 소스(114)는 록-인 이미지 검출기(112) 뿐만 아니라 주파수 시프터(108, 110)에 기준 주파수를 제공한다. 처리 유닛(PU)은 록-인 이미지 검출기(112)로부터 이미지 데이터를 수신한다.
본 예에서 이미지 검출기(112)는 대물 렌즈(106)의 퓨필 평면과 공액 관계인 평면에 놓여 있다. 이러한 평면에서는 타겟(T)의 회절 스펙트럼 중 일부를 발견하게 된다. 이하 설명하게 되는 다른 예에서는, 록-인 이미지 검출기(112)가 타겟(T)의 이미지의 평면에 놓인다. 본 설명에서는, 축(u, v)이 퓨필 평면과 공액 관계인 평면에서의 위치를 지칭하도록 규정되는 한편, 축(x, y)은 이미지 평면에서의 위치를 지칭하도록 규정된다. 공지된 장치에서와 같이, 록-인 이미지 검출기(112)는 퓨필 평면에서의 임의의 위치에 대해 세기 값 I(u, v)을 전달한다. 그러나 부가적으로, 주파수-시프트된 기준 빔 및 록-인 검출기를 위해 적합한 기준 주파수의 제공은, 본 예에서의 이미지 검출기가 각각의 위치에 대해 진폭 값 A(u, v)와 위상 값 φ(u, v)를 별개로 제공할 수 있음을 의미한다.
언급된 빔 경로들은 수많은 상이한 레이아웃으로 구현될 수 있고, 미러(116a, b, c, 118a, b, 120, 122)와 빔 스플리터(BS)(124, 126)의 특정 구성은 여기서 설계 원리를 예시하기 위한 목적으로 개략적으로 도시된다. 예를 들어 렌즈 또는 기타 다른 포커싱 요소를 포함하여, 실제 시스템에 포함될 수많은 컴포넌트가 도면에는 도시되어 있지 않다. 이들은 공지된 장치로부터 손쉽게 적응될 수 있으며, 상세하게 설명할 필요가 없다. 상이한 기능(예컨대, 포커싱, 또는 상이한 유형의 측정)을 위한 추가적인 빔 경로 또한 제공될 수 있다.
방사선 소스(102)는 단색의 가간섭성 광원(예컨대, 협선폭 레이저)일 수 있다. 가간섭성 광원을 이용함으로써, 장치는 광 경로 길이에 있어서의 차이에 덜 민감해지며 간섭 측정이 실현 가능해진다. 경로 길이 차이가 무시할 수 있을 정도인 경우 가간섭성 광원(및 예컨대 광학 필터 등의 추가적인 컴포넌트)이 도 3의 광학 배열에서 이용될 수 있다.
조명 경로에서는 필요한 조명 프로파일이 규정될 수 있다. 이의 단순한 구현예로서, 적합한 형태의 애퍼처 플레이트(128)가 빔 스플리터(126)와 미러(120) 사이에 배치된다. 애퍼처 플레이트는 대물 렌즈(106)의 퓨필 평면과 공액 관계인 평면에서 공간 세기 분포를 규정하기 때문에, 그 효과로서 기판 상에 조명 방사선이 충돌하는 각도 범위를 선택하게 된다. 도시된 예에서 애퍼처 플레이트(128)는 축외 조명 모드를 선택하도록 주어진 형태를 갖는다. 상이한 애퍼처를 이용함으로써 다른 조명 모드들도 가능하다. 필요한 조명 모드 외부의 임의의 불필요한 광은 필요한 측정 신호와 간섭하게 될 것이므로 퓨필 평면의 나머지는 암 상태인 것이 바람직하다.
도 3(b)에 도시된 바와 같이, 격자 타겟(T)은 기판(W)이 대물 렌즈(106)의 광축(O)에 수직한 채로 배치된다. 축(O)에서 벗어난 각도로부터 타겟(T) 상에 충돌하는 조명 광선(I)은 0차 광선(라인 0) 및 2개의 1차 광선(라인 +1 및 -1)을 발생시킨다. 오버필된 작은 타겟 격자를 이용하는 경우, 이러한 광선은 계측 타겟(T) 및 기타 피처를 포함하는 기판의 영역을 커버하는 많은 평행 광선 중의 단지 하나라는 점을 기억해야 한다. 복합 격자 타겟이 제공되는 경우, 타겟 내의 각각의 개별 격자는 자신의 회절 스펙트럼을 발생시킬 것이다. 플레이트(128) 내의 애퍼처는 (유용한 광량을 허용하기 위해 필요한) 유한한 폭을 가지기 때문에, 입사 광선(I)은 실제로 일정 범위의 각도를 점하게 되고, 회절 광선(0 및 +1/-1)은 다소 분산될 것이다. 작은 타겟의 포인트 분산 기능에 따라, 각각의 차수 +1 및 -1은 일정 범위의 각도에 걸쳐 추가로 분산될 것이며, 도시된 바와 같이 단일한 이상적인 광선은 아니다. 대물 렌즈에 진입하는 1차 광선이 중앙의 광축과 밀접하게 정렬되도록 격자 피치와 조명 각도가 설계 또는 조정될 수 있음에 주목해야 한다. 도 3(b)에 도시된 +1차 광선은, 단지 도면에서 보다 쉽게 구분될 수 있도록 하기 위해 다소 축을 벗어난 것으로 도시되어 있다.
시야 조리개(130)가 미러(122)와 미러(116c) 사이에 제공될 수 있다. 본 예에서 시야 조리개(130)는 0차 회절 빔을 차단하는 기능을 한다. 조리개는 집광 경로에서 대물 렌즈(106)의 역 퓨필 평면과 공액 관계인 평면에 위치하며, 이는 본 명세서에서 "집광 퓨필" 또는 "검출 퓨필"이라 지칭될 수 있다. 그러면 회절 스펙트럼은 암시야 모드에서 검출된다(다른 예에서 설명하는 암시야 이미징 모드와 혼동되어서는 안 됨).
도 3에 도시된 애퍼처 플레이트(128) 및 시야 조리개(130)의 특정 형태는 순전히 예시적인 것이다. 다른 예로서, 타겟의 축상(on-axis) 조명이 이용되고 축외 애퍼처를 갖는 애퍼처 조리개가 이용되어 회절광 중 단지 1차만을 실질적으로 센서에 전달하게 된다. 또 다른 예로서, 1차 빔 대신에 또는 1차 빔에 부가하여, 2차, 3차 및 이보다 높은 차수의 빔(도 3에는 미도시)이 측정에 이용될 수 있다. 조명이 이러한 상이한 유형의 측정에 적응가능하게 되도록 하기 위해, 애퍼처 플레이트(128)는 디스크 주위에 형성된 다수의 애퍼처 패턴을 포함할 수 있고, 이러한 디스크가 회전하여 필요한 패턴을 적소에 가져오게 된다. 대안으로서 또는 부가적으로, 동일한 효과를 이루기 위해 플레이트(128)의 세트가 제공되어 스왑될 수 있다. 변형가능한 미러 어레이 또는 투과형 공간 광 변조기 등의 프로그램가능 조명 디바이스 또한 이용될 수 있다. 조명 모드를 조정하기 위한 다른 방법으로서 이동 미러 또는 프리즘이 이용될 수 있다.
언급한 바와 같이, 검출기(112)는 본 장치에서 위상 감응형 록-인 이미지 검출기이다. 록-인 검출은 일반적으로, 정보를 포함하는 신호의 일부를 '태깅'함으로써 협대역(따라서 저잡음) 검출을 행할 수 있는 기법으로 알려져 있다. 관심 신호는, 예를 들어 잡음 주파수로부터 떨어져 있는 선택된 주파수(ωD)에서 신호를 변조함으로써 태깅된다. 록-인 센서는 관심 신호를 기록하고 다른 신호 또는 잡음을 무시하기 위해 선택된 주파수(ωD)에 록킹된다. 본 장치에서는, 픽셀들의 2차원 어레이를 포함하는 위상 감응형 록-인 이미지 검출기가 이용되며, 각각의 픽셀은 록-인 센서 기능을 제공한다. 회절 빔과 기준 빔의 방사선에 상대적인 주파수 시프트가 적용된다. 이러한 빔 주파수들 간의 비트 주파수는 록-인 이미지 검출기에 대한 록-인 주파수로서 이용된다. 이런 식으로, 장치는 진폭 및 위상 정보를 획득하기 위해 헤테로다인 간섭측정을 수행하는데 이용될 수 있다.
도 4는 주파수(ωD)에 록킹된 록-인 이미지 검출기(112)의 동작 원리를 예시한다. 공지된 장치에서와 같이, 이미지 센서(132)는 광검출 픽셀들의 2차원 어레이를 포함한다. 어레이의 축은 u 및 v로 표기되며, p(x, y)는 센서의 위치(u, v)에서의 픽셀을 나타낸다. 원(136)은 집광 광 경로의 퓨필을 나타내고, 여기서 (도 3의 예에서) 타겟(T)의 회절 스펙트럼을 찾게 될 것이다.
도 4의 그래프는 픽셀 p(u, v)에서 기록된 조명 세기 I(t)의 시간적인 진전을 나타낸다. 기존의 이미지 검출기는 노광 간격 동안 이러한 세기를 단순히 적산(integrate)하여 픽셀당 단일한 세기를 출력한다. 그러나 록-인 이미지 검출기에서는, 각각의 픽셀 p(u, v)에서 방사선이 기준 주파수의 주기(1/ωD)에 걸쳐 간격을 두고 다수 회(ds1, ds2, ds3, ds4) 별개로 샘플링된다. 본 예에 대해서는, 주기당 4개의 샘플링 포인트(90°만큼 분리됨)가 존재하는 것으로 가정된다. 세기 파형 I(t)가 기준 주파수(ωD)와 동기화된 정현파 성분을 갖는 것으로 가정하면, 도시된 바와 같이 샘플 ds1-ds4에 대해 상이한 값을 제공하게 될 것이다. 샘플 ds1-ds4은 여러 주기에 걸쳐 적산되어 신호 s1, s2, s3, s4를 획득하게 될 수 있다. 이런 식으로, 기준 주파수와 동기화되지 않은 세기 파형의 주파수 성분이 평균화될 것이고, 4개의 값 s1-s4가 동기화된 성분의 위상 및 진폭의 계산을 허용한다. 예를 들어, 동기화된 성분의 진폭(A), 위상(φ) 및 dc 오프셋(B)이 다음의 식에 의해 계산될 수 있다:
Figure 112017004476991-pct00001
이러한 성분의 세기는 I=A2으로 계산될 수 있다.
이미지 센서(132)는 예를 들면, 적응된 CMOS 이미지 센서일 수 있다. 단일 광자 애벌란시 다이오드(SPAD), CCD 또는 임의의 여타 적합한 센서 또한 검출기로 사용될 수 있다는 점에 주목해야 한다. 통상의 기술자라면 본 명세서에서 기술된 바와 같이 진폭 및 위상 이미지를 검출하기 위해 공지된 록-인 방법 및 또는 위상 감응형 검출 방법을 적응시킬 수 있을 것이다. 도 3에는 록-인 이미지 검출기(112)로부터 나오는 진폭 및 위상 값이 도시되어 있지만, 이러한 값이 검출기 자체 내에서 계산되는지 또는 처리 유닛(PU) 내에서 계산되는지는 설계 선택의 문제이다. 실제로는, 처리 유닛(PU)이 록-인 이미지 검출기로부터 원시(raw) 샘플 ds1-ds4 또는 적산 값 s1-s4를 수신한 다음 필요하다면 진폭, 위상, 세기의 계산을 수행하는 것이 편리할 수 있다. 진폭 및 위상 정보가 값 A 및 φ의 형태로 표현될 필요가 없다는 점에도 유의해야 한다. 각 픽셀에 대한 진폭 및 위상 정보는 한 쌍의 성분 벡터 U 및 V로 표현될 수 있다. 이러한 표현 형태 간의 변환은 잘 알려진 다음의 관계식에 따라 진폭 및 위상을 복소수로 표현함으로써 쉽게 이루어진다:
Figure 112017004476991-pct00002
도 3으로 복귀하면, 장치(100)는 헤테로다인 간섭측정 기법 및 개선된 정규화 기능을 위한 록-인 검출을 가능하게 하기 위해 주파수 시프터(108, 110)를 포함한다. 주파수 시프터의 수와 주파수 변조 기법은 응용의 요구사항에 부합하도록 선택될 수 있다. 주파수 시프터는 예를 들면, 전기 광학 변조기, 광섬유 변조기, 자기 광학 변조기, 제만 효과(Zeeman Effect)에 기초하는 변조기 및/또는 바람직하게는 음향 광학 변조기일 수 있다. 예를 들면, 주파수 시프터(108, 110)는 음향 광학 변조기(AOM)일 수 있다.
통상의 기술자라면 AOM이 결정 내에서 음파를 셋업함으로써 동작한다는 점을 인식할 것이다. 이러한 파동은 결정 내에서 일종의 이동하는 브래그 격자를 형성하며, 이동 속도는 구동 주파수에 의해 결정된다. (브래그 조건을 충족하도록) 브래그 규칙 하에서 AOM 상에 포커싱되는 (주파수 ω에서의) 입사 광은 주로 1차 출력 빔과 0차 출력 빔으로 회절되며, 이들은 음향 브래그 각도의 2배만큼 분리된다. AOM 내에서의 "격자"의 이동은 또한 1차 출력 빔의 주파수가
Figure 112017004476991-pct00003
만큼 주파수 시프트되도록 하고, m=1은 1차 회절에 대응하며
Figure 112017004476991-pct00004
는 변조 주파수에 대응한다. 1차 회절 광에 대하여, 주파수의 주파수 시프트는 AOM의 변조 주파수와 같다. 주파수 시프터(AOM)(108, 110)는 상이한 변조 주파수들에서 주파수 소스(114)에 의해 구동될 수 있어, 예컨대
Figure 112017004476991-pct00005
은 30 kHz일 수 있고
Figure 112017004476991-pct00006
는 100 kHz일 수 있다. 이러한 작은 주파수 시프트는 산란/회절 효과에 어떠한 영향도 미치지 않는다. 록-인 이미지 검출기가 AOM과 동일한 주파수 소스로부터 기준 신호를 수신하는 한, 정확한 주파수 및 위상은 중요치 않다.
주파수 시프트
Figure 112017004476991-pct00007
을 이용하여, 검사 장치(100)는 일종의 헤테로다인 간섭측정 기법을 구현하며, 그에 따라 검출기(112)에서 단지 회절 패턴의 세기만이 아니라 진폭 및 위상의 측정을 가능하게 한다.
이러한 목적으로 위상 정보를 얻기 위한 종래의 접근법은 예컨대, N. Kumar 등의 유럽 광학 학회 저널 - 신속 공표(European Optical Society - Rapid publications), Europe, v 8, Jul. 2013, ISSN 1990-2573의 "Phase retrieval between overlapping orders in coherent Fourier scatterometry using scanning"에 기술되어 있다. Kumar의 문헌은 위에서 언급된 바와 같은 특허 문헌 US 2012-243004 A1에서 기술된 작업을 포함한다. 헤테로다인 간섭측정에 기초한 구성은 예컨대, G.E. Sommargren의 어플라이드 옵틱스(Applied Optics), Vol. 20, Issue 4, pp. 610-618 (1981) 및 M. Pitter 등의 옵틱스 레터(Optics Letters), Vol. 29, No. 11, June 1 (2004)에 기술되어 있다. 웨이퍼 표면 결함을 검출하고 분석하기 위한 헤테로다인 스캐터로미터는 US 5,923,423 A에 기술되어 있다. 이미 언급한 바와 같이, 이러한 공지된 접근법은 일반적으로 위상 정보에 액세스하기 위해 다수의 측정과 스캐닝 이동을 요하며, 따라서 대용량의 제조에서의 검사에는 그리 적합하지 못하다. 위상 감응형 검출 기법과 위상 감응형 이미징의 응용예는 Institute of Biophysics, Imaging and Optical Science University of Nottingham, UK의 M. Pitter 등에 의한 "CMOS cameras for phase sensitive imaging"에 기술되어 있고, 이는 http://www.physics.ox.ac.uk/lcfi/FastImSem/Pitter.pdf로 공표되어 있다. 본 출원에 따르면, Pitter에 의해 개시된 것과 유사한 록-인 이미지 검출기가 리소그래피 공정의 파라미터의 측정을 위해 산란측정 및/또는 회절 기반 계측에 적용된다.
도 3의 검사 장치(100)에서는, 방사선 소스(102)에 의해 방출된 방사선 빔이 빔 스플리터(124, 126)에 의해 3개의 빔(조명 빔, 제1 기준 빔 및 제2 기준 빔)으로 분할되고 각 빔은 특정한 광 경로를 따른다.
조명 빔이 따르는 조명 경로 및 집광 경로는 공지된 스캐터로미터의 조명 경로 및 집광 경로와 유사하다. 그러나, 본 예에서 방사선 소스(102)는 주파수 ω의 단색의 방사선을 방출한다. 주파수 ω의 조명 빔에는 애퍼처(128)에 의해 필요한 프로파일이 부여되고 대물 렌즈(106)를 통해 기판(W) 상으로 포커싱된다. 대물 렌즈(106)는 높은 개구수(NA)를 가지며, 바람직하게는 적어도 0.9, 더 바람직하게는 적어도 0.95의 개구수를 가진다. 액침 기법을 이용하면 스캐터로미터는 1이 넘는 개구수를 가지는 렌즈를 가질 수도 있다. (여전히 주파수 ω의) 입사 방사선은 기판(W) 상의 타겟에 의해 회절 스펙트럼으로 회절된다. 적어도 0차 및 선택적으로 더 높은 차수의 빔이 대물 렌즈(106)에 의해 집광되고, 미러(122)로 재지향된다. 미러(122)는 산란/회절된 방사선을 시야 조리개(130) 및 미러(116c)를 통해 록-인 이미지 검출기(112)로 지향시킨다. 검출기(112)에 의해 검출된 신호는 프로세서 및 컨트롤러(PU)로 출력되고, 수행 중인 특정 유형의 측정에 따라 달라지는 계산에 사용된다.
집광 퓨필이 상이한 유형의 측정에 적응가능하도록 하기 위해, 시야 조리개(130)는 디스크 주위에 형성된 다수의 애퍼처 패턴을 포함할 수 있고, 이러한 디스크가 회전하여 필요한 패턴을 적소에 가져오게 된다. 대안으로서 또는 부가적으로, 동일한 효과를 이루기 위해 시야 조리개(130)의 세트가 제공되어 스왑될 수 있다. 변형가능한 미러 어레이 또는 투과형 공간 광 변조기 등의 프로그램가능 시야 조리개 디바이스 또한 이용될 수 있다. 집광/이미징 모드를 조정하기 위한 다른 방법으로서 이동 미러 또는 프리즘이 이용될 수 있다. 본 명세서와 청구범위에서 시야 조리개에 대한 언급은 집광 경로에서 투과될 타겟의 회절 스펙트럼의 필요한 부분의 선택을 가능하게 하는 임의의 디바이스를 포함하는 것으로 해석되어야 한다.
본 예에서 검출기(112)는 대물 렌즈의 역 퓨필 평면을 '재-이미징'한다는 점을 상기해야 한다. 퓨필 평면은 방사선의 반경방향 위치가 입사 각도를 규정하고 각도방향 위치가 방사선의 방위 각도를 규정하는 평면이다. 검출기(112)는 또한 역 투영 퓨필 평면에 위치할 수도 있으며, 이는 렌즈 시스템(106)의 초점 길이에 있다. 회절 스펙트럼의 단지 선택된 부분들만이 검출기에 입사한다. 이들이 어느 부분인지는: (i) 선택된 조명 방향(들); (ii) 조명 파장(λ)에 관한 타겟 격자의 주기성에 의존하는 회절 차수의 각도 분산; 및 (iii) 시야 조리개(130)에 의한 스펙트럼의 부분들의 선택에 의존한다. 회절 기반 계측을 위해, 보다 높은 차수들 중에서 적어도 하나가 선택된 부분에 포함된다고 가정한다(예컨대, +1차 및/또는 -1차). 그러나 산란측정은 단지 0차만 포함되어도 가능하고, 이러한 구성을 갖는 실시예가 배제되는 것은 아니다. 본 설명을 통해, 도 3 이후로 '회절 패턴' 또는 '회절 스펙트럼'이라는 용어가 편의상 사용될 것이다. 문맥상 다른 사정이 없는 한, 본 개시내용의 헤테로다인 간섭측정 기법에 기초한 검사 장치가 이용된다는 가정하에, 회절 스펙트럼의 어떠한 특정 부분도 포함되거나 배제되는 것으로 의도되지 않는다. 통상의 기술자라면 본 개시내용을 다양한 유형의 스캐터로미터 또는 다른 유형의 측정 기구에도 손쉽게 적응시킬 수 있을 것이다.
헤테로다인 간섭측정 기법을 구현하기 위해서, 제1 기준 경로를 따르는 제1 기준 빔이 미러(116a)를 통해 주파수 시프터(AOM)(108)로 지향된다. 제1 기준 빔의 주파수는 AOM에 의해 주파수
Figure 112017004476991-pct00008
으로 시프트된 다음, 주파수 시프트된 제1 기준 빔(즉, 1차 회절 빔)은 미러(116b, c)에 의해 검출기(112)로 지향된다.
제2 기준 경로를 따르는 추가적인 제2 기준 빔은 빔 스플리터(124, 126)를 통해 주파수 시프터(AOM)(110)로 지향된다. 제2 기준 빔의 주파수는 AOM에 의해 (상이한) 주파수
Figure 112017004476991-pct00009
로 시프트된다. 그 다음에 주파수 시프트된 제2 기준 빔(즉, 1차 회절 빔)은 미러(118a, b)에 의해 미러(120)로 지향된다. 미러(120)로부터, 주파수 시프트된 제2 기준 빔은 조명 빔과 동일한 광 경로를 따른다. 주파수
Figure 112017004476991-pct00010
의 주파수 시프트된 제2 기준 빔은 그에 따라 현미경 대물 렌즈(106)를 통해 기판(W) 상으로 포커싱되고, 검출기(112)로 재반사 및 지향된다. 제2 기준 빔은 어떠한 특정 조명 프로파일도 없다는 점에서 조명 빔과 다르다.
이러한 구성에서, 주파수 ω의 회절 빔, 주파수
Figure 112017004476991-pct00011
의 제1 기준 빔, 그리고 주파수
Figure 112017004476991-pct00012
의 제2 기준 빔은 검출기(112)에서 중첩되어, 검출기에서 간섭 패턴을 생성하게 된다.
주파수 시프트로 인하여, 이러한 간섭하는 빔들은 특성 및 "비트" 주파수들을 셋업한다. 이러한 간섭하는 빔들은 다음의 4개의 비트 주파수를 갖는 신호를 생성한다:
Figure 112017004476991-pct00013
. 이들은 록-인 이미지 검출기를 사용하여, 도 4를 참조하여 앞서 기술한 원리들을 이용하여 별개로 검출될 수 있다.
측정된 산란 신호와 연관되고 신호에 인코딩된 정보가 간섭하는 신호들에 의해 생성된다. 록-인 기준 주파수
Figure 112017004476991-pct00014
Figure 112017004476991-pct00015
,
Figure 112017004476991-pct00016
, 또는 중 선택된 하나로 세팅함으로써, 다음의 정보 신호들 중 임의의 것이 필요에 따라 독립적으로 인출(retrieve)될 수 있다:
- 주파수
Figure 112017004476991-pct00018
에 록킹된 신호로부터: 제1 기준 빔에 대한, 각 픽셀 p(u, v)에서의 측정 신호(즉, 타겟(T)의 회절 스펙트럼의 선택된 부분)의 진폭, 위상 및 세기. 기준 빔이 검출 퓨필에 걸쳐 일정하거나 적어도 잘 규정된 위상을 가진다고 가정할 때, 이러한 비트 주파수에서의 신호의 위상은 타겟(T)으로부터 회절된 광학 신호의 위상을 직접 표현한다. 이러한 위상은 각 픽셀에서 독립적으로 검출될 수 있다.
- 주파수
Figure 112017004476991-pct00019
에 록킹된 신호로부터: 제2 기준 빔에 대한 측정 신호의 진폭, 위상 및 세기. 본 출원에서 이러한 신호는 원거리장 회절 측정을 위해 반드시 유용한 것은 아니다. 그러나 이는 위에서 인용한 Kumar 등의 문헌에 기초하여, 예를 들면 초점 추정을 포함하는 기타 다른 목적을 위해 이용될 수 있다. Kumar 등의 문헌의 내용은 원용에 의해 전체로서 본 명세서에 포함된다.
- 주파수
Figure 112017004476991-pct00020
에 록킹된 신호로부터: 세기 정규화를 위해 기준 신호가 획득되고 이용될 수 있다.
Figure 112017004476991-pct00021
에서의 신호는 무시될 수 있다.
원칙적으로, 단일한 록-인 이미지 검출기는 임의의 주어진 시간에 이러한 신호 중 단지 하나만을 인출할 수 있다. 이러한 정보 신호들 중 둘 이상을 인출하기 위해서 검출기(112)는 관심 신호들을 잇따라 기록하기 위해 관심 주파수 각각에 순차적으로 주파수 록킹될 수 있다. 대안으로서, 상이한 정보 신호들을 동시에 획득하기 위해 몇몇 검출기들이 병렬로 이용될 수도 있다. 대안으로서, 다수의 주파수 성분들을 동시에 구별하기 위해 단일한 록-인 이미지 검출기(112) 내에 5개 이상의 샘플링 및 집적 회로가 구현될 수 있다.
앞서 장치의 형태와 기능을 상세히 소개하였고, 이제는 장치의 실제 응용예와 다양한 정보 신호들에 대해 설명할 것이고, 장치 및 방법의 대안적인 예에 대해 설명할 것이다.
광학 계측에 있어서 세기 정규화에 대한 응용
위에서 언급한 정보 신호들 중 마지막 것을 참조하면, 처리 유닛(PU)은 세기 정규화 정보를 이용하여 조명 소스(102)의 세기의 변화를 보상함으로써, 이러한 변화가 타겟 특성의 변화로 오인되지 않도록 한다. 알려진 스캐터로미터에서 정규화 기준 빔이 따르는 경로와 비교하여, 본 예에서의 제2 기준 빔은 실제 측정에 이용되는 조명 경로 및 집광 경로가 따르는 경로와 거의 동일한, 광학 시스템을 통한 경로를 따른다. 그러므로, 이러한 신호는 측정 결과의 보다 정확한 정규화를 가능하게 한다. 특정 주파수 시프트 및 록-인 검출을 적용함으로써, 제1 정보 신호에 영향을 미치지 않고 제2 기준 빔이 회절 방사선의 조명 및 검출과 동일한 경로를 따르게 될 수 있다. 역으로, (알려진 장치와는 다르게) 이들이 동일한 경로를 따르고 동일한 검출기를 이용하지만 회절 신호는 세기 정규화의 측정에 영향을 미치지 않는다.
물론 위상 정보가 필요에 따라 인출될 수 있지만, 세기 이미지 I(u, v)가 정규화의 목적을 위해 충분할 수 있다.
회절 기반 계측/ 산란측정에 대한 응용
잘 알려진 바와 같이, 산란측정 및 회절 기반 계측이 수행될 수 있는 방법은 그 수가 많으며, 본 명세서에서는 일반적으로 적용가능한 새로운 기법의 적용을 예시하기 위해 단지 몇 가지 예만을 설명할 것이다. 이하에서 개시되는 예들에서, 회절 방사선은 위상 정보와 함께 캡쳐된다는 점이 공통된다. 달리 말하면, 캡쳐된 이미지는 픽셀당 단순한 스칼라 값보다는 복소수 또는 복소 벡터로 간주될 수 있는 픽셀 값을 가진다. 벡터가 주기 함수의 위상 정보를 나타내기 때문에, 벡터는 또한 '페이저(phasor)'로 지칭될 수도 있다.
퓨필 이미지 검출을 이용한 응용예
도 5(a) 및 5(b)는 검출기(112) 상에 형성되고 검출기에 의해 검출될 수 있는 2개의 조명 모드에 대응하여 획득된 회절 패턴 이미지의 예를 나타낸다. 도면의 각 부분의 중심부에는, 타겟 격자(138)가 도시되어 있으며, 이러한 타겟 격자는 본 예에서 계측 장치의 조명 빔에 의해 형성된 조명 스팟(140)에 의해 언더필된 상태이다. 도면에 도시되지는 않았으나, 이러한 격자(138)는 복합 격자의 성분 격자들을 형성하는 격자들의 더 큰 세트 중 일부일 수 있다. 이러한 격자는 X 방향으로 주기성을 갖는 1차원 격자이다. Y 방향 격자 또한 제공될 것이고, 및/또는 사선 또는 2차원 주기성을 갖는 격자도 제공될 것이다.
도 5(a) 및 5(b)의 예는 록-인 검출기(112)가 예를 들어,
Figure 112017004476991-pct00022
과 동일한 주파수에 록킹된 경우의 동작을 나타낸다. 이러한 경우에는, 앞서 설명한 바와 같이 타겟(T)에 의해 회절된 주파수
Figure 112017004476991-pct00023
의 빔과 조명 소스로부터 실질적으로 직접 나온 주파수
Figure 112017004476991-pct00024
의 제1 기준 빔 사이의 간섭에 의해 생성된 주파수
Figure 112017004476991-pct00025
에서의 정보 신호를 검출기(112)가 단지 "감지(see)"하게 될 것이다. 주어진 형태의 애퍼처 플레이트(128)에 의해 제공된 조명 프로파일은 각 도면에서 좌측에 도시되어 있다. 검출기(112)는 광학 필드의 위상(φ) 및 진폭(A)과 (필요한 경우) 검출된 신호의 세기(I)의 측정을 가능하게 한다. 검출기(112)에 의해 연관된 진폭 및 위상의 분리를 예시하기 위해, 2개의 별도 검출된 이미지들이 도면의 각 부분에서 우측에 표현되어 있다. 이미지(142-A 및 142-φ)는 각각 검출된 신호의 진폭과 위상을 캡쳐한다. 복소 픽셀 값들을 2개의 서로 다른 이미지로 도시한 것은 순전히 예시적인 것이다. 이것들은 2개의 별도 이미지로 처리되거나 (디지털 컬러 사진에서 상이한 컬러 채널들과 유사하게) 복소 픽셀 값들을 갖는 단일한 이미지로 취급될 수 있다. 위에서 언급한 바와 같이, 세기 이미지 또한 계산될 수 있지만 이는 도면에 도시되어 있지 않다. 또한 위에서 언급한 바와 같이, 진폭 및 위상 성분이 전기장의 한 쌍의 직교 성분 벡터, Ex 및 Ey를 통해 간접적으로 표현될 수도 있다. A 및 φ로 값을 표현한 것은 선택의 문제이다. 나아가, 진폭 및 위상에 대신하여 세기 및 위상(I 및 φ)이 이용되지 말아야 할 이유도 없다.
도 5(a)에서, 조명 프로파일은 광축에서 벗어난 조명의 단일한 패치(patch)(144(I))를 규정한다. 이는 도 3에 도시된 예이다. 시야 조리개(130)의 작용으로 인하여, 단지 +1차 회절 신호만이 보이며 이는 144(+1)로 표기되어 있다. 이와 다른 회절 차수(144(0)에 점선 윤곽으로 표시된 0차를 포함)는 시야 조리개(130)에 의해 차단되거나, 대물 렌즈에 진입조차 하지 않는다(예컨대, 도 3(b)에 도시된 -1차, 및 1차보다 높은 차수). 이와 같은 축외 조명 패턴의 이용은, 기존의 원형 대칭 조명 애퍼처가 이용되는 경우에 이미징될 수 있는 최소 피치의 1/2 피치를 갖는 회절 격자(오버레이 타겟)로부터 명확한 1차 신호를 얻기 위해 활용될 수 있다. 그러나, 통상적으로 비교를 위해 -1차 회절 신호가 획득될 필요가 있다. 그러므로 이러한 배열은, -1 및 +1차 회절 차수를 별개로 획득하기 위해 타겟을 회전시키거나 조명 모드 또는 이미징 모드를 변경하면서, 타겟을 상이한 조건들 하에서 2회 측정할 것을 요한다.
도 5(b)의 예에서는, 도 3의 검사 장치(100)로부터 시야 조리개(130)가 제거되어 있고, 도 5(a)의 애퍼처 조리개(128)가 도 5(b)의 세그먼트화된 애퍼처 조리개(128)로 대체되어 있다. 이러한 유형의 애퍼처는 조명 퓨필에서 서로 정반대에 위치하는 2개의 조명 사분원(a 및 b로 표기됨)을 가지며, 그들 사이에 암 상태인 사분원이 있다. 그러므로, 검출된 이미지(142-A 및 142-φ)에서는 a0 및 b0로 표기된 0차 반사 및 a-1, a+1, b-1, b+1로 표기된 1차 회절 신호가 보인다. 조명 애퍼처의 다른 사분원은 암 상태이기 때문에, 보다 일반적으로는 조명 패턴이 180° 회전 대칭을 갖기 때문에, 검출된 이미지에서 회절 차수 a-1 및 b+1은 "자유" 상태이며, 이는 이러한 차수들이 조명 애퍼처의 다른 부분들로부터의 0차 또는 이보다 높은 차수의 신호와 중첩되지 않음을 의미한다. 세그먼트화된 조명 패턴의 이러한 특성은, 기존의 원형 대칭 조명 애퍼처가 이용되는 경우에 이미징될 수 있는 최소 피치의 1/2 피치를 갖는 회절 격자(오버레이 타겟)로부터 명확한 1차 신호를 얻기 위해 활용될 수 있다. 동시에, 동일한 (복소) 이미지의 상이한 영역들로부터 2개의 반대되는 1차 신호가 추출될 수 있고, 이로써 별도의 측정을 행할 필요가 없게 된다. 이러한 회절 패턴 및 산란 측정을 위해 이것이 활용될 수 있는 방식은 이하의 추가적인 예와 함께 공지 문헌인 US 2010-0201963에 기술되어 있다.
타겟 재구성 프로세스의 응용예
타겟(T) 등의 타겟 구조체 및 그 회절 특성의 모델링과 조합하여 위에서 살펴본 검사 장치를 이용하면, 타겟의 형상 및 기타 다른 파라미터의 측정이 다수의 방법으로 수행될 수 있다. 도 6에 표현된 이러한 프로세스의 예에서, 타겟 구조체(제1 후보 구조체)의 제1 추정치에 기초한 회절 패턴이 계산되어 관측된 회절 패턴과 비교된다. 그 다음에 모델의 파라미터가 체계적으로 변화되고 회절이 일련의 반복으로 재계산되어, 새로운 후보 구조체를 생성하여 최적의 근사에 이르게 된다. 기존의 스캐터로미터를 이용하는 경우 단지 모델링된 회절 패턴과 관측된 회절 패턴 간의 세기만을 비교할 수 있었지만, 도 3의 장치를 이용하면 진폭 및 위상(또는 세기 및 위상)이 비교될 수 있다. 다음의 논의에서, 진폭 및 위상(또는 세기 및 위상)은 "진폭/세기 및 위상"으로 간략하게 표현할 것이다. 이러한 구절은 또한 앞서 논의한 바와 같은 대안적인 형태의 표현을 포괄하는 것으로 이해되어야 한다.
도 6을 보다 세부적으로 참조하면, 본 설명을 위해 타겟은 단지 한 방향으로 주기성을 갖는 것으로 가정될 것이다(1차원 구조체). 실제로는 두 방향으로 주기성을 가질 수 있고(2차원 구조체), 그에 따라 처리가 적응될 것이다.
602: 위상 정보를 포함하여 타겟의 원거리장 회절 패턴이 위에서 기술된 바와 같은 스캐터로미터를 이용하여 측정된다. 이렇게 측정된 회절 패턴은 컴퓨터 등의 계산 시스템에 포워딩된다. 계산 시스템은 위에서 언급된 처리 유닛(PU)일 수 있거나, 별개의 장치일 수 있다. 그에 따라 연관된 광학 필드의 회절 신호 세기(I) 및/또는 진폭(A) 및 위상(φ)이 인출된다.
603: 수많은 파라미터 ai(a1, a2, a3 등)으로 타겟 구조체의 파라미터화된 모델을 규정하는 '모델 레시피'가 구축된다. 이러한 파라미터는 예컨대, 1차원 구조체에서, 측벽의 각도, 피처의 높이 또는 깊이, 피처의 폭을 표현할 수 있다. 타겟 재료 및 그 하부 층의 특성 또한 (산란측정 방사선 빔에 있는 특정 파장에서의) 굴절률 등의 파라미터로 표현된다. 중요하게도, 타겟 구조체는 그 형상 및 재료 특성을 기술하는 수 십 개의 파라미터들에 의해 규정될 수 있지만, 모델 레시피는 이들 중 많은 것들이 고정된 값을 갖도록 규정할 것이고, 다른 것들은 이후의 프로세스 단계의 목적을 위해서 가변 또는 '유동' 파라미터가 될 것이다. 종래의 출원은 고정된 파라미터와 유동 파라미터 간의 선택이 이루어질 수 있는 프로세스를 개시한다. 나아가, 이러한 종래의 출원은 파라미터들이 완전히 독립적인 유동 파라미터들이 되지는 않고 변화가 허용될 수 있는 방식을 소개한다. 도 6을 설명하기 위한 목적으로, 단지 가변 파라미터들만이 파라미터 pi로 고려된다.
604: 유동 파라미터에 대한 초기 값 ai (0)(즉, a1 (0), a2 (0), a3 (0) 등)을 셋팅함으로써 모델 타겟 구조체가 추정된다. 각각의 유동 파라미터는 레시피에서 규정된 바와 같이 특정한 미리정해진 범위 내에서 생성될 것이다.
606: 모델의 상이한 엘리먼트들의 광학적 특성과 함께 형상을 포함하여, 추정된 타겟 구조체를 표현하는 파라미터가 이용되어, 예를 들면 RCWA 등의 정밀 광학 회절 방법 또는 맥스웰 방정식의 임의의 여타 풀이법을 이용하여 산란 특성이 계산된다. 이에 의해 추정된 타겟 구조체의 추정 또는 모델 회절 패턴을 얻게 된다. 종래의 출원에서는 모델링된 원거리장 회절 패턴을 단순한 세기 값으로 변환하였지만, 본 출원의 단계(606)에서는 진폭/세기 및 위상을 표현하기 위해 진폭/세기 및 위상의 별개의 값들, 또는 벡터 Ex, Ey를 편리한 어떠한 형태로든지 유지한다.
608, 610: 그 다음에 측정된 회절 패턴(진폭/세기 및 위상) 및 모델 회절 패턴이 비교되고 이들 간의 유사도 및 차이가 이용되어 모델 타겟 구조체에 대하여 "메리트 함수"를 계산한다. 이러한 단계를 위해, 단계(602, 606)에서 획득한 진폭/세기 및 위상은 다양한 방식으로 표현될 수 있음을 고려하여, 양 단계를 위해 동일한 형태의 표현을 선택하는 것이 일반적으로 편리할 것이다. 그렇지 않으면 단계(608)에서의 비교는 약간의 사전-변환을 수반할 수 있다.
612; 모델이 실제의 타겟 구조체를 정확히 표현하기 전에 개선될 필요가 있다고 메리트 함수가 나타내고 있다고 가정하면, 새로운 파라미터 a1 (1), a2 (1), a3 (1) 등이 추정되고 단계(606)로 반복적으로 피드백된다. 단계(606-612)가 반복된다.
탐색을 돕기 위해, 단계(606)에서의 계산은 메리트 함수의 부분 도함수를 추가로 생성할 수 있고, 이는 파라미터 공간의 이러한 특정 영역에서 파라미터의 증가 또는 감소가 메리트 함수를 증가 또는 감소시키는 감도를 나타낸다. 메리트 함수의 계산 및 도함수의 이용은 본 기술분야에 일반적으로 알려져 있으므로 여기서는 상세히 설명하지 않을 것이다.
614: 이러한 반복적인 프로세스가 요구되는 정확도로 솔루션에 수렴하였다고 메리트 함수가 나타내는 경우, 현재 추정된 파라미터는 실제 타겟 구조체의 측정으로 보고된다.
이러한 반복적인 프로세스의 연산 시간은 사용되는 순방향 회절 모델에 의해서, 즉 추정된 타겟 구조체로부터 정밀 광학 회절 이론을 이용한 추정된 모델 회절 패턴의 계산에 의해 대체적으로 결정된다. 보다 많은 파라미터가 필요한 경우, 보다 큰 자유도가 있다. 원칙적으로 계산 시간은 자유도의 수의 거듭제곱으로 증가한다. 606에서 계산된 추정 또는 모델 회절 패턴은 다양한 형태로 표현될 수 있다. 계산된 패턴이 단계(602)에서 생성된 측정 패턴과 동일한 형태로 표현된다면 비교는 단순화된다. 예를 들어, 모델링된 퓨필 패턴은 도 3의 장치에 의해 측정된 퓨필 패턴과 쉽게 비교될 수 있다. 구조체 파라미터를 결정하기 위한 프로세스는 예컨대 WO 2012-126718에 보다 상세하게 기술되어 있다.
진폭/세기 및 위상 모두의 비교는, 상이한 모델 파라미터의 효과가 구별될 수 있는 더 많은 정보가 있다는 점에서 반복적인 프로세스의 견고성을 개선하는 것으로 예상될 수 있다. 개선된 성능은 줄어든 연산 부담(예컨대, 더 적은 반복 및/또는 더 적은 가변 파라미터가 요구됨) 및/또는 최종 결과의 더 높은 정확도로 실현될 수 있다. 위에서 언급된 종래의 출원인 US 2012-243004 A1은 타겟 재구성에서 위상 정보의 이용을 보다 구체적으로 예시한다.
흐름도에 도시되어 있지는 않지만, 다른 방법은 각 측정을 수행할 때 모델을 계산하는 대신에 사전 계산된 회절 패턴의 라이브러리를 이용한다. 관측된 회절 패턴에 최적으로 부합하는 패턴을 라이브러리에서 탐색한 다음 라이브러리 패턴을 생성하는데 사용된 형상 및 여타 파라미터 값을 검색함으로써 관심 파라미터의 측정이 획득된다. 라이브러리 내에서 최적으로 부합하는 패턴을 찾기 위한 기준으로서 진폭/세기 이미지 및 위상 이미지를 이용함으로써 라이브러리 탐색이 보다 식별력 있게 이루어질 수 있다. 반복적인 수정이 뒤따르는 라이브러리 탐색의 조합을 이용하여 하이브리드 방법이 구현될 수도 있다.
이러한 측정은 비트 주파수
Figure 112017004476991-pct00026
로 동기화된 록-인 이미지 검출기(112)를 이용하여 수행된다. 세기 정규화를 위한 측정은, 위에서 논의한 바와 같이 록-인 주파수를
Figure 112017004476991-pct00027
로 셋팅함으로써 프로세스 중에 편리한 시간에 수행될 수 있다. 대안으로서, 록-인 주파수
Figure 112017004476991-pct00028
로의 별개의 검출 브랜치가
Figure 112017004476991-pct00029
에서의 측정과 병렬적으로 작동될 수 있다. 도 6의 방법의 적절한 단계에서, 즉 602와 608 사이의 특정한 시간에, 측정된 회절 패턴에 정규화가 적용될 수 있다. 모델과의 비교를 위해 회절 패턴으로부터 키 파라미터가 추출되는 예에서, 통상적으로 키 파라미터의 추출 이전에, 정규화가 원시(raw) 진폭/세기 데이터에 대해 수행될 것이다.
응용예 : 퓨필 평면에서의 회절 기반 오버레이
도 7(a) 및 7(b)를 참조하면, 위에서 언급한 다른 종래 출원에서는 회절 패턴에서 단순히 비대칭을 관측함으로써 리소그래피 공정의 다양한 성능 파라미터가 어떻게 측정될 수 있는지를 개시한다. 오버레이 측정은 예컨대, 검출기(112)에 의해 측정된 타겟(138)으로부터의 도 5(a) 또는 5(b)의 회절 패턴으로부터 추론될 수 있다. 오버레이 타겟에서의 격자(138)는 기판 상의 제1 및 제2 층에 서로 포개져 형성된 2개의 격자를 포함한다. 오버레이 이외의 파라미터를 측정하기 위해서, 예를 들면 리소그래피 공정의 초점 또는 선량 성능을 측정하기 위해서, 다른 특별히 형성된 타겟과 함께 비대칭이 이용될 수도 있다. 이러한 기법은, 비이상적인 초점 또는 선량 셋팅이 각 격자 라인의 비대칭 형상을 유발하도록, 격자 라인의 한 측 또는 다른 측 상에 미세한 피처를 수반하게 된다. 다른 형태의 오버레이는 다수의 패턴 공정에 의해 만들어진 인터리빙된 라인들 간의 오버레이이다. 오버레이 측정의 예에서 기술된 본 발명의 기법은 이러한 모든 유형의 계측에 손쉽게 적응될 수 있다. 격자(138)에 대한 비대칭 신호가(예컨대), 검출기(112)를 이용하여 캡쳐된, 한 쌍의 회절 패턴 이미지로부터(도 5(a) 참조) 또는 동일한 회절 패턴 내에서의 상이한 영역들로부터(도 5(b) 참조) 추출된 +1차 및 -1차의 세기들을 비교함으로써 얻어질 수 있다.
도 7(a)는 도 5(a)에 도시된 상황에 적용가능한 예시적인 프로세스를 나타내며, 여기서는 회절 스펙트럼 중 양측의 부분들(예컨대, +1차 및 -1차)이 2개의 별개 측정에서 검출기(112)에 기록된다. 단계(701)에서 기판, 예컨대 반도체 웨이퍼는 오버레이 타겟(138)을 포함하는 구조체를 생성하기 위해 도 2의 리소그래피 셀을 통해 1회 이상 처리된다. 702에서는, 도 5(a) 좌측의 애퍼처 플레이트(128)와 함께 도 3의 계측 장치를 이용하여, 도 5(a) 우측의 +1차 회절 패턴이 획득된다. 703에서는, 예를 들어 타겟을 회전시키거나 조명 모드를 변경함으로써 -1차 회절 패턴이 획득된다.
단계(704)에서는, 단계 S2 및 S3에서 획득된 회절 패턴 내에서 관심 영역(ROI)이 선택적으로 식별되며, 이로부터 세기 레벨이 측정될 것이다. 이러한 단계가 필요한지 여부는, 선택된 회절 차수가 발견되는 114(+1) 등의 영역 외부에서 회절 패턴이 잡음을 포함하는지 여부에 달려 있다.
각각의 회절 차수에 대해 ROI를 식별하고 그 세기를 측정한 다음, 격자 구조체의 비대칭, 따라서 오버레이 오차(또는 기타 파라미터)가 결정될 수 있다. 이는, 이미지 프로세서 및 컨트롤러(PU)가 임의의 세기 차이를 식별하기 위해 타겟(138)에 대하여 +1차 및 -1차에 대해 획득된 진폭/세기 값(및 선택적으로 위상)을 비교함으로써 이루어진다. 진폭/세기 차이는 각 격자에 대한 비대칭의 측정을 얻기 위해 단계(705)에서 계산된다. 단계(706)에서, 격자의 오버레이 바이어스에 대한 정보 및 비대칭 측정으로부터, 프로세서는 타겟(T) 주변에서 오버레이 오차를 계산한다.
이제, 완벽하게 구현된 공정에서라면 회절 스펙트럼의 비대칭을 측정하는 것이 오버레이를 측정하는 좋은 방법이지만, 실제로는 상부 또는 하부 격자 피처에서의 공정 유발 비대칭 등의 기타 다른 요인이 결과에 바람직하지 않은 영향을 미칠 수 있다. 재료 및 형상의 기타 변화 또한 마찬가지로 비대칭 측정으로부터 추론되는 오버레이 측정의 정확도를 떨어뜨린다. 록-인 이미지 검출기(112)로부터의 진폭/세기 정보에 더하여 위상 정보의 가용성은, 추가적인 측정을 행할 필요 없이 정확도를 개선하기 위해 활용될 수 있다. 단계(705)에서 관측된 비대칭의 유형(원인)에 대해 추가적인 정보를 획득하기 위해서 2개의 회절 패턴의 위상 이미지(142-φ)가 비교될 수 있다. 대안으로서 또는 부가적으로, 단계(705)에서 진폭 또는 세기를 비교한 후에, 위상 이미지로부터의 정보를 이용하여 단계(706)에서 수행되는 관심 파라미터의 계산에 있어서의 교정치를 계산할 수 있다. 대안으로서 또는 부가적으로, 단계(705)에서의 비교에 앞서, 측정된 진폭 또는 세기에 있어서의 교정치를 계산하기 위해 위상 정보가 적용될 수 있다. 특정한 응용으로서, 캡쳐된 이미지를 위한 초점 교정치를 계산하기 위해 위상 정보가 적용될 수 있다. 이러한 오차 교정치는 예를 들어, 단계(704)에서 ROI를 보다 정확히 규정하기 위해, 또는 요구되는 회절 차수를 정확히 추출하기 위한 다른 방식으로 적용될 수 있다.
도 7(b)는 도 7(a)와 매우 유사하며, 도 5(b)에 도시된 상황에서 수행되는 동등한 프로세스의 일례이다. 예를 들어 도 5(b)의 애퍼처 플레이트(128)와 함께 도 3의 계측 장치를 이용하면, 1회의 측정으로, 하지만 (복소) 이미지의 상이한 부분들에서, +1 및 -1 회절 차수 모두가 기록된다는 점을 상기해야 한다. 그러므로, 단계(702, 703)는 단일한 단계(702')로 대체되며, 여기서는 +1 및 -1 회절 차수가 동시에 기록된다. 그 다음으로 단계(704')에서, 회절 스펙트럼 중에서 선택된 양측의 부분들(본 예에서는 +1 및 -1 회절 차수)을 추출하기 위해 단계(702')에서 획득된 회절 패턴 내에서 2개의 관심 영역(ROI)이 주의 깊게 식별되며, 이로부터 세기 레벨(선택적으로 위상)이 측정될 것이다. 각 회절 차수에 대해 ROI를 식별하고 그 진폭/세기(선택적으로 위상)를 측정한 다음, 단계(705', 706')에서 격자 구조체의 비대칭, 따라서 오버레이 오차 등의 관심 파라미터가 결정될 수 있다. 도 7(a)에 대해 설명한 바와 같이, 정확도를 개선하기 위해 단계(704', 705' 및/또는 706')에서 위상 정보가 이용될 수 있다.
응용예 : 암시야 이미징 계측
도입부에서 언급한 바와 같이, 회절 기반 계측을 위한 다른 유형의 검사 장치는 퓨필 이미지 검출 대신에 암시야 이미징을 채용한다. 실제로는 앞서 언급한 종래 출원에 개시된 바와 같이, 퓨필 평면 이미징 및 암시야 이미징 기능을 위한 광학 시스템은 많은 컴포넌트를 공유할 수 있고, 두 유형의 장치 모두 하나의 상업적으로 입수가능한 장치에 유용하게 조합될 수 있다. 암시야 이미징 기능 및 퓨필 이미징 기능은, 종래의 출원에 예시된 바와 같이 빔을 상이한 브랜치들로 분할함으로써 제공될 수 있다. 대안으로서, 이동가능한 컴포넌트가 제공되어 광학 시스템의 단일 브랜치를 다른 형태 대신에 하나의 형태로 변환할 수 있다. 이하의 예는 단순화를 위해 단지 암시야 이미징 기능을 갖는다. 다시 말하지만, 실제 제품에 있어서 광학 시스템은 렌즈 및 편광기 등의 많은 부수적인 컴포넌트를 포함할 것이다. 이들은 명확화를 위해 도면에 도시되지 않는다.
도 8(a)는 헤테로다인 간섭측정 기법을 구현하기 위해 암시야 이미징을 수행하도록 구성되고 록-인 이미지 검출기 및 주파수 시프터를 통합한 검사 장치(800)를 나타낸다. 이러한 광학적 배열은 일반적으로 도 3의 배열과 동일하며, 동등한 컴포넌트를 위해 동일한 도면 부호를 사용하였다. 여기서는 단지 그 차이점에 대해서만 설명할 것이다. 도 3의 장치와의 주요한 차이는 이미징 렌즈(802)(또는 렌즈 시스템)의 제공이라는 점이다. 타겟(T)으로부터 산란된 방사선과 기준 빔은 광학 시스템(802)에 의해 포커싱되어 기판(W) 상의 타겟(T)의 이미지를 록-인 이미지 검출기(112) 상에 형성하게 된다. 다시 말해서, 이제 검출기(112)는 대물 렌즈(106)의 퓨필 평면과 공액 관계인 평면 대신에 타겟의 평면과 공액 관계인 평면에 놓인다. 이러한 평면에서의 좌표는 u 및 v가 아니라 x 및 y로 표기된다. 문헌들로부터 알 수 있는 바와 같이, 암시야 이미징 동작 모드를 채용하면 더 작은 타겟을 이용할 수 있게 되고, 다수의 작은 타겟으로부터의 측정이 동시에 이루어질 수 있게 된다. 이는 기판 상에서 더 적은 공간을 이용한다는 점에서, 그리고 대용량 제조에서의 계측 응용을 위해 높은 측정 처리율을 유지할 수 있다는 점에서 장점을 가질 수 있다.
도 8(b)는 공지된 기술에 따라 기판 상에 형성된 복합 타겟을 나타낸다. 이러한 복합 타겟은 4개의 격자(842 내지 845)를 포함하는데, 이들 격자는 모두 조명 스팟(840) 내에 놓이게 되도록 서로 조밀하게 위치한다. 이미징 렌즈(802)는 타겟(T)의 이미지가 포커싱될 검출기(112) 상의 이미지 평면을 제공한다. 회절 스펙트럼에서 1차 중 단지 선택된 것만이 이미지의 형성에 기여하게 되고, 나머지는 대물 렌즈의 애퍼처 또는 시야 조리개(130)에 의해 배제된다. 결과적으로, 각 "이미지"는 격자 라인이 분해될 수 있는 기존의 이미지가 아니다. (기존의 이미지를 형성하기 위해서는 적어도 2개의 회절 차수가 간섭할 필요가 있다.) 오히려, 록-인 이미지 검출기(112)가 비트 주파수
Figure 112017004476991-pct00030
로 동기화될 때, 각각의 격자는 광의 패치로 제공되고, 이러한 광의 세기는 회절 스펙트럼의 선택된 부분으로 회절되는 에너지에 의존한다.
오버레이 측정에 전용화된 예에서 격자(842 내지 845)는 그 자체로, 기판(W) 상에 형성되는 반도체 디바이스의 상이한 층들에 패터닝되는 중첩된 격자들을 포함하는 오버레이 격자들이다. 격자들(842 내지 845)은, 복합 격자의 상이한 부분들이 형성되는 층들 사이에 오버레이의 측정을 용이하게 하기 위해 서로 상이하게 바이어스된 오버레이 오프셋을 가질 수 있다. 격자(842 내지 845)는 또한 도시된 바와 같이, 입사 방사선을 X 및 Y 방향으로 회절시키도록 그 배향이 서로 다를 수 있다. 일례로서, 격자(842 및 844)는 각각 +d 및 -d의 오프셋을 갖는 X-방향 격자이다. 격자(843 및 845)는 각각 +d 및 -d의 오프셋을 갖는 Y-방향 격자이다. 타겟 설계 및 바이어싱 스킴의 수많은 변형예가 알려져 있고 필요에 따라 적용가능하다. 4개의 격자가 도시되어 있지만, 다른 실시예에서는 요구되는 정확도를 얻기 위해 더 큰 매트릭스를 필요로 할 수 있다. 타겟은 이미 언급한 바와 같이 오버레이 이외의 파라미터의 측정을 얻기 위해 타겟의 비대칭의 측정이 이용될 수 있도록 적응될 수 있다. 이러한 파라미터의 예로서 리소그래피 공정에서의 초점 및 선량 등이 있다.
도 8(c)는, 도 8(a)의 장치에서 도 8(c)에도 도시된 바와 같은 애퍼처 플레이트(128) 및 도 8(b)의 타겟을 이용하여, 검출기(112)에 의해 검출될 수 있는 진폭 이미지(846-A) 및 위상 이미지(846-φ)의 예를 나타낸다. 암 상태의 직사각형은 검출기(112) 상에서의 이미지의 필드를 나타내며, 이러한 이미지 필드 내에서 기판 상의 조명 스팟(140)이 대응하는 원형 영역(850) 내로 이미징된다. 그 내부에서 직사각형 영역(852-855)은 작은 타겟 격자(842 내지 845)의 "이미지"를 나타낸다. 격자가 제품 영역에 위치한다면, 제품 피처가 또한 이러한 이미지 필드 주변에 보일 것이다. 이미지 프로세서 및 컨트롤러(PU)는 격자(842 내지 845)의 개별 이미지(852 내지 855)를 식별하기 위해 패턴 인식을 이용하여 이러한 이미지(846-A 및 846-φ)를 처리한다. 일단 격자의 개별 이미지가 식별되면, 광학 필드의 평균 세기, 위상 및 진폭 등의 특성이 각 격자에 대하여, 위에서 기술한 바와 같이 측정 신호로부터 인출될 수 있다. 측정된 세기/진폭 및/또는 위상은, 각각의 격자에서 비대칭의 측정을 얻기 위해 상이한 타겟 배향 또는 이미징 모드 또는 조명 모드들로 취해진 이미지들 사이에서 비교될 수 있다. 상이하게 바이어스된 격자에 대해 비대칭을 비교함으로써, 처리 유닛(PU) 또는 별개의 컴퓨터가 리소그래피 공정의 오버레이 또는 파라미터의 측정치를 계산할 수 있다.
예를 들면, 공지된 기술에 따른 오버레이 측정 결과가, 격자에서의 비대칭을 추론하기 위해 주어진 격자에 대해 측정된 신호를 비교함으로써 얻어질 수 있고, 오버레이 격자에서의 비대칭은 오버레이 오차의 지표로서 이용될 수 있다.
도 9는 위에서 살펴본 장치와 타겟을 이용하여 오버레이 측정하는 기본적인 방법을 예시한다. 본 예에서 이러한 방법은 도 3 및 4의 장치를 이용하여 US 2011-027704에 기술된 방법에 기초한다. 원칙적으로, 도 8(b)의 복합 격자를 포함하는 2개의 층들 사이의 오버레이 오차는 +1차 및 -1차 암시야 이미지에서의 세기를 비교함으로써 드러나는 격자의 비대칭을 통해 측정된다. 단계 910에서 기판, 예컨대 반도체 웨이퍼는 계측 타겟을 형성하는 오버레이 격자(842-845)를 포함하는 구조체를 생성하기 위해 도 2의 리소그래피 셀을 통해 1회 이상 처리된다.
920에서는, 비트 주파수
Figure 112017004476991-pct00031
로 동기화된 록-인 이미지 검출기(112)와 함께 도 8의 계측 장치를 사용할 때, 격자(842-845)의 (복소) 이미지가 1차 회절 빔 중 단지 하나만을 이용하여 획득된다(즉, -1). 그 다음으로, 조명 모드를 변경하든지, 이미징 모드를 변경하든지, 또는 계측 장치의 관측 시야에서 기판(W)을 180° 회전시키든지, 나머지 1차 회절 빔(+1)을 이용하여 격자의 제2 (복소) 이미지가 획득될 수 있다(단계 930). 결과적으로, 각각의 격자에 대한 +1 회절 방사선이 제2 이미지에서 캡쳐된다. 각 이미지에서 모든 격자(842-845)가 캡쳐될 수 있는지 또는 별개의 이미지들에서 격자들을 캡쳐하기 위해 장치 또는 기판이 이동될 필요가 있는지는 설계 선택의 문제이다. 어느 경우든, 모든 컴포넌트 격자의 제1 및 제2 이미지는 록-인 이미지 검출기(112)를 통해 캡쳐된다고 가정한다.
단계(940)에서, 각각의 컴포넌트 격자의 이미지 내에서 관심 영역(ROI, 도 8(c) 참조)이 주의 깊게 식별되고, 이로부터 세기 레벨이 측정될 것이다. 이는, 특히 개별적인 격자 이미지의 에지 주위에서 세기 값이 일반적으로 에지 효과 뿐만 아니라 레지스트 두께, 조성, 라인 형상 등의 공정 변수에 상당히 의존할 수 있기 때문에 수행되는 것이다.
각 개별 격자에 대해 ROI를 식별하고 ROI에 걸쳐 그 세기를 측정한 다음, 격자 구조체의 비대칭, 따라서 오버레이 오차가 결정될 수 있다. 종래의 출원에 기술되어 있는 바와 같이, 이는 이미지 프로세서 및 컨트롤러(PU)가 단계(950)에서 세기 차이를 식별하기 위해서 각 이미지(852-855)에 대하여 +1차 및 -1차에 대해 획득된 진폭/세기 값 및/또는 위상 값을 비교함으로써 이루어진다. 각각의 격자에 대해 비대칭의 측정을 얻기 위해 이러한 세기 차이가 단계(950)에서 계산된다. 단계(960)에서는, 격자의 오버레이 바이어스에 대한 정보와 비대칭 측정치로부터 프로세서가 타겟(T) 주변에서 오버레이 오차(또는 기타 관심 파라미터)를 계산한다.
도 7에 대하여 설명한 것과 유사한 방식으로, 관심 파라미터의 측정의 계산의 정확도를 개선하도록, 록-인 이미지 검출기(112)를 통해 획득된 위상 정보가 진폭 및/또는 세기 정보와 조합될 수 있다.
위상 정보는 또한 퓨필 평면에서 '필터링된' 신호의 모델링을 가능하게 한다. 이미지 평면에서 측정된 신호는 푸리에 필터링될 수 있고, 그 다음에 퓨필 평면에서 '필터링된' 신호가 모델링될 수 있다. 모델링은 이미지 프로세서 및 컨트롤러(PU)에 의해 수행될 수 있다. 퓨필 평면에서 필터링된 신호의 재구성은, 예를 들어 관심 영역 외부에 불균일이 있는 경우 또는 타겟 구조체가 제품 구조체에 인접하는 경우에 측정 품질을 개선한다. 데이터 사후 처리 동안에 위상 정보가 이용되어 초점 오차를 계산적으로 추론하고 이에 대응할 수 있다. 그러면 측정 품질이 추가로 개선된다.
도 10(a)는 암시야 이미징 검사 장치의 또 다른 예를 나타낸다. 도 10(a)의 예는 도 8의 예와 유사하지만, 록-인 이미지 검출기의 상이한 섹션들 상에서 +1차 및 -1차 이미지 모두를 동시에 캡쳐하도록 수정된다. 이러한 수정은 공개 문헌인 US 2011-102753 A1에 기술되어 있는 발명에 기초하며, 문헌의 전체 내용이 원용에 의해 본원에 포함된다.
이러한 구성에서, 수정된 애퍼처 플레이트(128)가 조명 퓨필의 중심부에서만 조명을 제공하도록 선택된다. 도 10(b)에 도시된 바와 같이, 이는 입사 광선(I)이 타겟(T) 상에 수직 입사하여 1차 회절 빔들이 광축(O)의 반경 방향 양측에 위치하게 되는 한편, 0차 빔은 집광 경로를 따라 광축(O)을 따라서 진행하게 됨을 의미한다. 수정된 시야 조리개(130)가 1차 회절 빔을 제외하고는 모두를 필터링한다.
시야 조리개(130)를 통과하는 +1 및 -1 회절 차수는 각각, 개별 광학 웨지(1010)에 의해 추후 분산될 수 있다. 이에 의해 2개의 1차 회절 빔이 서로 분리되어 "복시(double vision)" 효과를 발생시킬 수 있다. 따라서, 회절 스펙트럼의 양측의 부분들이 검출기 상의 상이한 위치들에서 캡쳐되고, 별개로 추출 및 처리될 수 있다. 이와 같이, 비대칭 측정을 얻기 위해 단지 하나의 이미징 단계가 요구된다. 도 10(a)의 장치는 +1 및 -1 회절 차수를 x 방향으로 분리하도록 x 축을 따라 정렬된 광학 웨지(1010)를 포함한다. 대안으로서, 광학 웨지(1010)는 +1 및 -1 회절 차수를 y 방향으로 분리하도록 y 축을 따라 정렬될 수 있거나, 또는 4개의 광학 웨지(1010)의 세트가 이용되어 광학 웨지(1010) 중 하나는 x 축을 따라 정렬되고 나머지는 y 축을 따라 정렬됨으로써 +1 및 -1 회절 차수를 x 및 y 방향으로 분리할 수 있다.
세기 정규화는, 도 6의 프로세스에 대해 위에서 논의한 것과 동일한 방식으로, 록-인 주파수를
Figure 112017004476991-pct00032
로 셋팅함으로써 편리한 시간에 수행될 수 있다.
변형예
회절 패턴을 획득하기 위해 이용된 조명 경로는, 또한 기준 빔의 주파수를 시프트하는 대신에 또는 이에 부가하여, 주파수 시프터를 포함할 수 있다. 회절 신호와 기준 빔 모두가 주파수 시프트되는 경우, 예를 들어 주파수 시프트된 조명 빔과 주파수 시프트된 제1 기준 빔 간의 간섭에 의해 생성된 결과적인 비트 주파수 값은 더 낮은 값으로(수 헤르츠 정도까지) 감소될 수 있다. 더 낮은 주파수는 획득 주파수에 대해 보다 완화된 규격을 갖는 이미지 센서의 이용을 허용할 수 있다. 그러나, 이러한 더 낮은 획득 주파수는 높은 측정 처리율을 요하지 않는 응용예에 사용하기에 덜 적합할 수 있다.
도 8의 장치에서는, 제1 기준 빔이 미러(116c) 다음에 검출기(112)에 앞서 배치되어 있는 이미징 렌즈(802)에 의해 검출기(112) 상으로 포커싱된다. 대조적으로 도 10(a)의 장치에서는, 제1 기준 빔이 이미징 렌즈(802) 다음에 검출기(112)에 앞서 배치되어 있는 미러(116c)에 의해 검출기(112)로 지향된다. 각각의 배열은 응용예에 따라 실제적인 기구에 구현될 수 있다.
도 3, 8, 10의 장치에서는, 감쇠 디바이스(미도시), 예컨대 중성 농도 필터가 제1 기준 경로 내의 특정 위치에 배치되어, 주파수 시프트된 제1 기준 빔의 세기를 특정한 경우의 회절 신호의 세기와 호환되도록 조정할 수 있다. 감쇠의 정도는 가변일 수 있다. 예를 들어, 동력 중성 농도 필터 휠에 의해 그러할 수 있다.
0차 회절 빔과 1차 회절 빔 간의 간섭에 의해 생성된 간섭 패턴을 분석함으로써 초점 교정이 이루어질 수 있다.
도 11에는 편광계 및 타원편광 측정을 수행하기 위해 동기화된 록-인 이미징 검출기를 이용하여 편광의 변조를 이용하는 것이 나타나 있다. 이는 독립형 기구일 수도 있지만, 도 3, 8 또는 10의 검사 장치(100)를 이용하여 수행되는 선택적인 동작 모드일 수도 있다. 방사선 소스(1102)에 의해 생성된 조명 빔은 편광 엘리먼트(1103)에 의해 편광될 수 있다. 변조 엘리먼트(1104)는 조명 빔의 편광 상태를 고주파수에서 주기적으로 변조한다. 이러한 변조 엘리먼트는 예를 들면 편광 엘리먼트(1103) 이후에 배치될 수 있다. 변조 엘리먼트는 회전형 편광 엘리먼트(예컨대, 회전형 편광기, EOM 등)로 이루어질 수 있다. 그 다음에 조명 빔은 광학 컴포넌트(1105) 및 현미경 대물 렌즈(1106)를 통해 타겟(T)을 조명하기 위해 이용된다. 조명 빔의 편광은 회전형 편광 엘리먼트의 주파수로 회전하기 때문에, 타겟으로부터 회절되어 광학 컴포넌트(1107)를 통해 록-인 검출기(1112)로 지향되는 광은 또한 동일한 주파수로 변화하는 세기 및/또는 편광의 시변 성분을 포함한다. 검사 장치(100)에, 예를 들면 록-인 검출기(1112) 이전에, 고정형 분석기(1108)를 도입함으로써, 검출기(1112)를 회전 주파수로 록킹하는 것에 의해, 신호의 진폭 및 위상이 추출될 수 있다. 그 후 측정된 신호의 진폭 및 위상은 타겟의 편광계 파라미터를 추출하는데 이용될 수 있다. 이러한 예에서 복소 이미지 데이터는 예컨대, 편광 벡터의 진폭 및 각도를 나타낼 수 있다.
셋업은 또한 고정형 편광기 및 회전형 분석기와 함께 작업될 수 있다. 회전형 편광기 대신에 전기 광학 변조기가 이용될 수도 있다.
결론
본원에서 개시된 방법 및 연관된 검사 장치는 다음의 이점 중 하나 이상을 제공한다.
산란 타겟의 원거리장 회절 패턴의 측정은 위상 감응형 헤테로다인 간섭측정 기법을 구현함으로써 이루어진다. 원거리장 회절 패턴의 측정은 예컨대, 연관된 광학 필드의 진폭 및 위상 뿐만 아니라 회절된 신호 세기의 인출을 가능하게 한다. 이는 산란 타겟의 측정된 복소 원거리장 회절 패턴에 기초하여 완전한 타겟 재구성을 수행할 수 있는 가능성을 열어 놓는다. 그러면 예컨대, 재구성 프로세스(예를 들면, CD 재구성 또는 완전한 타겟 재구성)의 정확도가 높아질 것이다.
세기 기반 스캐터로미터는 측정된 광 세기로부터 임계 계측 파라미터(예컨대, CD, 오버레이 및 초점)를 인출하기 위해 보다 큰 타겟을 필요로 한다. 본원에서 개시된 기법은 전-필드(full-field) 측정을 가능하게 하여, 난해한 역의 산란 문제를 해소할 수 있게 한다. 이러한 역의 산란 문제(산란 타겟에 대한 산란된 필드)에 대해 보다 정확하고 잘 규정된 해결책이 제시될 수 있다. 이에 의해 웨이퍼 상에 계측 타겟을 배치하기 위해 필요한 공간이 실질적으로 줄어들게 된다.
재구성을 수행하지 않고 관심 파라미터의 측정치를 직접 계산하기 위해서 특정 타겟과 함께 비대칭이 측정되고 이용되는 예에서는, 위상 정보의 가용성으로 인하여, 계산된 측정치가 처리 또는 형상의 다른 파라미터의 변화에 대해 덜 민감할 수 있다. 위상 정보는 관심 파라미터의 계산 내에서 이용될 수 있거나, 또는 계산된 값에 교정치를 적용하기 위해 이용될 수 있다.
높은 분해능과 정확도의 측정을 제공할 수 있는 능력은 계측에 있어서 실질적인 도전 과제이다. 계측 시스템은 많은 잡음 소스에 노출되어 있다(예컨대, 광학적 잡음 소스, 전자적 잡음 소스, 기계적 잡음 소스). 잡음 감소를 위한 일반적인 방법은, 기준 검출기(예컨대, 포토다이오드)를 이용하여 세기 변동(기준 신호를 전달)을 측정한 다음, 기준 신호를 이용하여 측정된 관심 신호에서 잡음을 줄이는 것이다. 그러나 이러한 일반적인 방법의 성능은, 관심 신호의 경로와 비교할 때, 기준 경로의 광학, 전자 및 기계적 컴포넌트의 차이로 인하여 제한된다. 앞서 개시된 바와 같은 제2 기준 빔의 제공은, 관심 신호 뿐만 아니라 기준 경로를 위해 동일한 빔 경로가 이용될 수 있도록 한다. 기준 신호 및 관심 신호 모두를 측정하기 위해 적절한 주파수 기준들로 하나의 검출기가 이용될 수 있다. 나아가, 헤테로다인 간섭측정 기법에 기초하여 측정을 수행함으로써 DC 잡음 소스를 제거할 수 있다.
헤테로다인 간섭측정 기법에 기초한 계측 장치에 의해, 노광 선량을 실질적으로 줄일 수 있고(즉, 타겟 조명에 대해 낮은 광 세기), 더 높은 파워의 "국부 발진기"(즉, 제1 기준 빔)에 커플링될 때 동일한 SNR(신호 대 잡음 비)을 달성할 수 있다. 이는 예컨대, 광학 선량 및/또는 적산 시간을 늘리지 않고도 개선된 SNR을 얻기 위해 이용될 수 있다. 이는 기준 빔의 파워를 증가시킴으로써 암 상태의 웨이퍼(낮은 산란 웨이퍼)의 측정을 가능하게 한다. 위에서 언급한 바와 같이, 기준 빔이 세기에 있어서 튜닝가능하도록 하기 위해 동력 ND 필터가 추가될 수 있다.
본 명세서에서는, IC의 제조에 있어서 리소그래피 장치의 사용에 대해 특정하게 언급하였지만, 본 명세서에서 설명된 리소그래피 장치는 집적 광학 시스템, 자기 도메인 메모리(magnetic domain memory)용 유도 및 검출 패턴, 평판 디스플레이, 액정 디스플레이(LCD), 박막 자기 헤드 등의 제조와 같은 기타 응용예들을 가질 수 있음을 이해하여야 한다. 통상의 기술자라면, 이러한 대안적인 응용예의 맥락에 있어서, 본원에서 "웨이퍼" 또는 "다이"라는 용어를 사용할 때 각각 "기판" 또는 "타겟부" 등의 보다 일반적인 용어와 동일한 의미로 고려될 수 있다는 점을 이해할 것이다. 본원에서 언급되는 기판은 노광 전후에, 예컨대 트랙(통상 기판에 레지스트 층을 도포하는 툴 및/또는 노광된 기판을 현상하는 툴), 계측 툴 및/또는 검사 툴에서 처리될 수 있다. 적용가능한 경우, 본 개시내용은 이러한 기판 처리 툴 및 이와 다른 기판 처리 툴에 적용될 수 있다. 나아가, 기판은 예컨대 다층 IC를 생성하기 위하여 복수 회 처리될 수 있으므로, 본 명세서에 사용되는 기판이라는 용어는 이미 여러 번 처리된 층들을 포함한 기판을 지칭할 수도 있다.
본 발명의 실시예를 광학 리소그래피의 관점에서 이용하는 것에 대해 구체적으로 언급하였지만, 본 발명은 예컨대 임프린트 리소그래피와 같은 다른 응용에도 이용될 수 있고, 문맥이 허용하는 한 본 발명은 광학 리소그래피로 제한되지 않는다는 점을 이해할 것이다. 임프린트 리소그래피에서, 패터닝 디바이스의 토포그래피는 기판 상에 형성된 패턴을 정한다. 패터닝 디바이스의 토포그래피는 기판에 공급된 레지스트의 층에 프레스된 후에, 이 레지스트를 전자기 방사선, 열, 압력 또는 이들의 조합을 가함으로써 경화시킨다. 패터닝 디바이스는 레지스트가 경화된 후에 레지스트를 벗겨냄으로써 기판에 패턴을 잔류시킨다.
본 명세서에 사용된 "방사선" 및 "빔"이라는 용어는, 자외(UV) 방사선(예컨대, 약 365, 355, 248, 193, 157, 또는 126 nm의 파장을 가짐) 및 극자외(EUV) 방사선(예컨대, 5 nm 내지 20 nm 범위의 파장을 가짐)을 포함하는 모든 형태의 전자기 방사선뿐만 아니라 이온 빔 또는 전자 빔과 같은 입자 빔을 포괄한다.
문맥이 허용하는 경우 "렌즈"라는 용어는 굴절식, 반사식, 자기식, 전자기식, 및 정전식 광학 요소들을 포함하는 다양한 타입의 광학 요소들 중 임의의 것 또는 그 조합을 지칭할 수도 있다.
본 발명의 특정 실시예에 대해 설명하였지만, 본 발명은 기술된 바와 다르게 실시될 수 있다는 점을 이해할 것이다. 나아가, 장치의 부품들이 위에서 개시된 바와 같은 방법을 기술하는 기계 판독가능 명령의 하나 이상의 시퀀스를 포함하는 컴퓨터 프로그램 또는 이러한 컴퓨터 프로그램이 저장된 데이터 저장 매체(예컨대, 반도체 메모리, 자기 또는 광학 디스크)의 형태로 구현될 수 있다.
전술한 내용은 예시를 위한 것으로서, 본 발명을 제한하려는 것이 아니다. 따라서, 통상의 기술자라면 하기 청구항들의 범위를 벗어나지 않고서도 전술한 본 발명에 대한 변형이 이루어질 수도 있음을 이해할 것이다.

Claims (26)

  1. 타겟 구조체의 특성을 측정하기 위한 검사 장치로서,
    방사선 소스, 및 광학 시스템과 조합되는 이미지 검출기를 포함하고, 상기 광학 시스템은:
    - 상기 방사선 소스로부터 방사선을 수광하고, 선택된 조명 프로파일을 갖는 조명 방사선의 빔을 형성하며 상기 조명 방사선을 기판 상의 타겟 상으로 포커싱하기 위한 조명 경로;
    - 타겟으로부터 회절 방사선을 집광하고 상기 회절 방사선의 선택된 부분을 상기 이미지 검출기에 전달하기 위한 집광 경로; 및
    - 상기 방사선 소스로부터 방사선을 수광하고, 기준 방사선의 빔을 상기 회절 방사선과 간섭하도록 상기 이미지 검출기에 전달하기 위한 기준 경로
    를 규정하며,
    상기 이미지 검출기는 2차원 이미지를 캡쳐하기 위한 픽셀들의 어레이를 포함하고,
    상기 조명 경로 및 상기 기준 경로 중 적어도 하나는, 상기 이미지 검출기에서의 방사선의 세기가 상기 기준 방사선의 주파수와 상기 회절 방사선의 주파수 사이의 차이에 대응하는 특성 주파수를 갖는 시변 성분을 포함하도록 상기 기준 방사선의 광 주파수를 시프트하기 위한 디바이스를 포함하고,
    상기 이미지 검출기는 상기 시변 성분의 진폭과 위상 모두를 나타내는 각각의 픽셀 정보에 대해 기록하기 위해 상기 특성 주파수를 참조하여 동작가능한 록-인(lock-in) 이미지 검출기를 포함하는, 타겟 구조체의 특성을 측정하기 위한 검사 장치.
  2. 제1항에 있어서,
    상기 타겟의 특성의 측정치를 계산하기 위해 기록된 진폭 및 위상 정보를 처리하기 위한 프로세서를 더 포함하는, 타겟 구조체의 특성을 측정하기 위한 검사 장치.
  3. 제2항에 있어서,
    상기 광학 시스템은 상기 타겟의 회절 스펙트럼의 적어도 2개의 선택된 부분들로부터 별개로 진폭 및 위상 정보를 기록하도록 동작가능하고, 상기 프로세서는 적어도 2개의 선택된 부분들로부터 기록된 진폭 및 위상 정보를 이용하여 상기 타겟의 특성의 측정치를 계산하도록 구성되는, 타겟 구조체의 특성을 측정하기 위한 검사 장치.
  4. 제3항에 있어서,
    상기 적어도 2개의 선택된 부분들은 상기 회절 스펙트럼 중 양측의 부분들을 포함하고, 상기 특성은 비대칭인, 타겟 구조체의 특성을 측정하기 위한 검사 장치.
  5. 제3항 또는 제4항에 있어서,
    상기 집광 경로에서 상기 광학 시스템은 상기 회절 스펙트럼의 적어도 2개의 선택된 부분들을 상기 이미지 검출기 상의 상이한 위치들에 전달하도록 구성되고, 상기 프로세서는 상기 이미지 검출기의 대응하는 영역들에서의 픽셀들로부터 각각의 부분에 대해 진폭 및 위상 정보를 추출하도록 구성되는, 타겟 구조체의 특성을 측정하기 위한 검사 장치.
  6. 제5항에 있어서,
    상기 집광 경로에서 상기 광학 시스템은 상기 타겟 상의 상이한 위치들로부터의 회절 방사선을 상기 이미지 검출기 상의 상이한 위치들에 전달하도록 구성되는 이미징 광학기기를 포함하고, 상기 타겟 상의 각각의 위치에 대해 상기 회절 방사선의 선택된 부분들이 상기 타겟 상의 상이한 부분들로 지향되도록 배열되는 빔 전환(diverting) 광학기기를 더 포함하며, 상기 프로세서는 상기 이미지 검출기의 대응하는 영역들에서의 픽셀들로부터 상기 회절 방사선의 각각의 선택된 부분과 상기 타겟 상의 각각의 위치에 대해 진폭 및 위상 정보를 추출하도록 구성되는, 타겟 구조체의 특성을 측정하기 위한 검사 장치.
  7. 타겟 구조체의 특성을 측정하기 위한 방법으로서,
    (a) 방사선 소스로부터 방출되어 선택된 조명 프로파일을 갖는 조명 방사선으로 기판 상의 타겟을 조명하는 단계;
    (b) 상기 타겟으로부터 회절 방사선을 집광하고, 상기 회절 방사선의 선택된 부분을 2차원 이미지를 캡쳐하기 위한 픽셀들의 어레이를 포함하는 이미지 검출기에 전달하는 단계; 및
    (c) 상기 방사선 소스로부터 방출된 기준 방사선의 빔을 상기 회절 방사선과 간섭하도록 상기 이미지 검출기에 전달하는 단계
    를 포함하고,
    단계 (a) 및 (c)는, 상기 기준 방사선과 상기 조명 방사선 사이에 광 주파수 시프트를 도입하여 상기 이미지 검출기에서의 방사선의 세기가 상기 주파수 시프트에 대응하는 특성 주파수를 갖는 시변 성분을 포함하도록 하는 단계를 포함하며, 단계 (b)는 상기 시변 성분의 진폭과 위상 모두에 대해 2차원 이미지를 기록하기 위해 상기 이미지 검출기를 상기 특성 주파수에서 록-인 이미지 검출기로 동작시키는 단계를 포함하는, 타겟 구조체의 특성을 측정하기 위한 방법.
  8. 제7항에 있어서,
    상기 회절 방사선은 퓨필 평면에서 측정되는, 타겟 구조체의 특성을 측정하기 위한 방법.
  9. 제7항에 있어서,
    상기 회절 방사선은 이미징 광학기기에 의해 이미징되는 이미지 평면에서 측정되는, 타겟 구조체의 특성을 측정하기 위한 방법.
  10. 제7항 내지 제9항 중 어느 한 항에 있어서,
    (d) 상기 타겟의 특성의 측정치를 계산하기 위해 기록된 진폭 및 위상 정보를 처리하는 단계
    를 더 포함하는, 타겟 구조체의 특성을 측정하기 위한 방법.
  11. 제10항에 있어서,
    단계 (d)는, 상기 타겟의 회절 스펙트럼의 적어도 2개의 선택된 부분들로부터 별개로 진폭 및 위상 정보를 처리하여 적어도 2개의 선택된 부분들로부터의 기록된 진폭 및 위상 정보를 이용하여 상기 타겟의 특성의 측정치를 계산하는 단계를 포함하는, 타겟 구조체의 특성을 측정하기 위한 방법.
  12. 제11항에 있어서,
    상기 적어도 2개의 선택된 부분들은 상기 회절 스펙트럼 중 양측의 부분들을 포함하고, 상기 특성은 비대칭인, 타겟 구조체의 특성을 측정하기 위한 방법.
  13. 제12항에 있어서,
    단계 (d)에서 상기 비대칭은 상기 회절 스펙트럼의 선택된 부분들의 진폭 정보로부터 계산되고, 상기 위상 정보는 비대칭의 계산 이전 및/또는 이후에 교정치(correction)를 계산하여 적용하는데 이용되는, 타겟 구조체의 특성을 측정하기 위한 방법.
  14. 디바이스 제조 방법으로서,
    디바이스 피처 및 계측 타겟이 리소그래피 공정에 의해 일련의 기판 상에 형성되고, 하나 이상의 처리된 기판 상에서 상기 계측 타겟의 특성이 제10항에 따른 방법에 의해 측정되며, 측정된 특성은 추가적인 기판의 처리를 위해 상기 리소그래피 공정의 파라미터를 조정하는데 이용되는, 디바이스 제조 방법.
  15. 제10항에 따른 방법의 단계 (d)를 구현하기 위한 기계 판독가능 명령의 하나 이상의 시퀀스를 포함하는 컴퓨터 프로그램이 저장되어 있는 컴퓨터 판독가능한 기록 매체.
  16. 삭제
  17. 삭제
  18. 삭제
  19. 삭제
  20. 삭제
  21. 삭제
  22. 삭제
  23. 삭제
  24. 삭제
  25. 삭제
  26. 삭제
KR1020177001173A 2014-07-09 2015-06-19 검사 장치, 검사 방법 및 디바이스 제조 방법 KR101948912B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
EP14176391 2014-07-09
EP14176391.2 2014-07-09
PCT/EP2015/063828 WO2016005167A1 (en) 2014-07-09 2015-06-19 Inspection apparatus, inspection method and device manufacturing method

Publications (2)

Publication Number Publication Date
KR20170016006A KR20170016006A (ko) 2017-02-10
KR101948912B1 true KR101948912B1 (ko) 2019-02-15

Family

ID=51167716

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020177001173A KR101948912B1 (ko) 2014-07-09 2015-06-19 검사 장치, 검사 방법 및 디바이스 제조 방법

Country Status (7)

Country Link
US (1) US9753379B2 (ko)
KR (1) KR101948912B1 (ko)
CN (1) CN106662824B (ko)
IL (1) IL249468B (ko)
NL (1) NL2014994A (ko)
TW (1) TWI572992B (ko)
WO (1) WO2016005167A1 (ko)

Families Citing this family (42)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE112016001982T5 (de) * 2015-04-28 2018-02-15 Kla-Tencor Corporation Recheneffiziente auf röntgenstrahlgestützte messung des overlays
US10436409B2 (en) * 2015-05-28 2019-10-08 Texas Instruments Incorporated Methods and apparatus for light efficient programmable headlamp with anamorphic optics
TWI656409B (zh) * 2015-09-09 2019-04-11 美商克萊譚克公司 基於輔助電磁場之引入之一階散射測量疊加之新方法
US9846128B2 (en) * 2016-01-19 2017-12-19 Applied Materials Israel Ltd. Inspection system and a method for evaluating an exit pupil of an inspection system
WO2017153133A1 (en) 2016-03-08 2017-09-14 Asml Netherlands B.V. Inspection apparatus and method, lithographic apparatus, method of manufacturing devices and computer program
WO2017201334A1 (en) * 2016-05-19 2017-11-23 Regents Of The University Of Colorado, A Body Corporate Complex spatially-resolved reflectometry/refractometry
CN109196336B (zh) * 2016-06-02 2021-10-15 东京毅力科创株式会社 利用奇异光束的暗场晶片纳米缺陷检查系统
KR20190015553A (ko) * 2016-06-09 2019-02-13 에이에스엠엘 네델란즈 비.브이. 계측 장치
KR102217202B1 (ko) * 2016-07-05 2021-02-19 에이에스엠엘 네델란즈 비.브이. 검사 장치를 위한 조명 소스, 검사 장치 및 검사 방법
US10317198B2 (en) 2016-09-30 2019-06-11 Kla-Tencor Corporation Three-dimensional mapping of a wafer
EP3321736A1 (en) * 2016-11-10 2018-05-16 ASML Netherlands B.V. Measurement system, lithographic system, and method of measuring a target
US11435399B2 (en) * 2017-02-08 2022-09-06 Vanderbilt University Efficient laser-induced single-event latchup and methods of operation
EP3370486A1 (en) * 2017-03-02 2018-09-05 ASML Netherlands B.V. Radiation source
US11092902B2 (en) * 2017-06-21 2021-08-17 Asml Netherlands B.V. Method and apparatus for detecting substrate surface variations
US11079564B2 (en) * 2017-07-20 2021-08-03 Cymer, Llc Methods and apparatuses for aligning and diagnosing a laser beam
EP3444836B1 (en) * 2017-08-17 2020-01-29 FEI Company Diffraction pattern detection in a transmission charged particle microscope
EP3470926A1 (en) * 2017-10-16 2019-04-17 ASML Netherlands B.V. Metrology apparatus, lithographic system, and method of measuring a structure
US10962888B2 (en) * 2017-11-29 2021-03-30 Taiwan Semiconductor Manufacturing Co., Ltd Structures for acoustic wave overlay error determination using periodic structures
CN108020179B (zh) * 2017-12-28 2019-11-05 西安应用光学研究所 一种高精度角度测量装置及方法
KR102079721B1 (ko) * 2018-03-20 2020-02-20 성균관대학교산학협력단 박막간섭효과 기반 광학탐침자
DE102018107112B9 (de) * 2018-03-26 2020-02-27 Carl Zeiss Smt Gmbh Verfahren zur Inspektion einer Maske
IL277639B2 (en) * 2018-04-06 2023-10-01 Asml Netherlands Bv Test device with non-linear optics
EP3553602A1 (en) * 2018-04-09 2019-10-16 ASML Netherlands B.V. Model based reconstruction of semiconductor structures
JP7023790B2 (ja) * 2018-05-22 2022-02-22 株式会社Screenホールディングス フォトマスク検査装置およびフォトマスク検査方法
US10622238B2 (en) 2018-06-07 2020-04-14 Kla-Tencor Corporation Overlay measurement using phase and amplitude modeling
CN112384861B (zh) * 2018-06-29 2024-03-26 应用材料公司 用于在基板上的无掩模光刻术的实时自动聚焦
WO2020057900A1 (en) * 2018-09-19 2020-03-26 Asml Netherlands B.V. Metrology sensor for position metrology
DE102018221647B3 (de) * 2018-12-13 2020-06-04 Carl Zeiss Smt Gmbh Detektionseinrichtung zur Erfassung einer Struktur auf einem Flächenabschnitt einer Lithografiemaske sowie Vorrichtung und Verfahren mit einer derartigen Detektionseinrichtung
CN113260924A (zh) * 2018-12-31 2021-08-13 Asml荷兰有限公司 用于重叠量测的方法及其设备
DE102019103814B3 (de) * 2019-02-14 2020-07-02 Hochschule Trier - Trier University of Applied Sciences Vorrichtung zum optischen Messen einer Oberfläche
US20200335406A1 (en) * 2019-04-19 2020-10-22 Kla Corporation Methods And Systems For Combining X-Ray Metrology Data Sets To Improve Parameter Estimation
US10921261B2 (en) * 2019-05-09 2021-02-16 Kla Corporation Strontium tetraborate as optical coating material
US11703460B2 (en) 2019-07-09 2023-07-18 Kla Corporation Methods and systems for optical surface defect material characterization
CN110376164B (zh) * 2019-07-11 2021-08-06 太原理工大学 一种用于微尺度下流体界面性质测量的传感器
EP3783439A1 (en) * 2019-08-22 2021-02-24 ASML Netherlands B.V. Metrology device and detection apparatus therefor
EP3786713A1 (en) * 2019-09-02 2021-03-03 ASML Netherlands B.V. Metrology method and device for determining a complex-valued field
US11359916B2 (en) * 2019-09-09 2022-06-14 Kla Corporation Darkfield imaging of grating target structures for overlay measurement
EP3798729A1 (en) * 2019-09-26 2021-03-31 ASML Netherlands B.V. Method for inferring a processing parameter such as focus and associated appratuses and manufacturing method
CN114730140A (zh) * 2019-12-05 2022-07-08 Asml控股股份有限公司 使用锁定放大器技术的重叠测量系统
WO2022157009A1 (en) * 2021-01-19 2022-07-28 Asml Holding N.V. Systems and methods for measuring intensity in a lithographic alignment apparatus
GB2622252A (en) * 2022-09-08 2024-03-13 Comind Tech Limited System and method
KR102590798B1 (ko) * 2023-04-05 2023-10-19 한국기계연구원 리소그래피 장치 및 방법

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101116765B1 (ko) 2009-04-10 2012-02-22 에이에스엠엘 네델란즈 비.브이. 유체 핸들링 디바이스, 침지 리소그래피 장치 및 디바이스 제조 방법
WO2013178484A1 (en) 2012-05-29 2013-12-05 Asml Netherlands B.V. Support apparatus, lithographic apparatus and device manufacturing method
WO2013178438A1 (en) 2012-05-29 2013-12-05 Asml Netherlands B.V. Object holder and lithographic apparatus
KR101476347B1 (ko) 2011-02-25 2014-12-24 에이에스엠엘 네델란즈 비.브이. 리소그래피 장치, 리소그래피 장치를 제어하는 방법 및 디바이스 제조 방법

Family Cites Families (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6034378A (en) * 1995-02-01 2000-03-07 Nikon Corporation Method of detecting position of mark on substrate, position detection apparatus using this method, and exposure apparatus using this position detection apparatus
KR100503877B1 (ko) * 1996-03-06 2006-01-27 에이에스엠엘 네델란즈 비.브이. 차동간섭계시스템및이시스템을구비한리소그래픽스텝-앤드-스캔장치
US5923423A (en) 1996-09-12 1999-07-13 Sentec Corporation Heterodyne scatterometer for detecting and analyzing wafer surface defects
US6479200B1 (en) * 2001-04-19 2002-11-12 Advanced Micro Devices, Inc. Method of controlling stepper process parameters based upon scatterometric measurements of DICD features
US6937343B2 (en) * 2002-08-29 2005-08-30 Applied Materials, Israel, Ltd. Laser scanner with amplitude and phase detection
US7106454B2 (en) * 2003-03-06 2006-09-12 Zygo Corporation Profiling complex surface structures using scanning interferometry
US6985232B2 (en) 2003-03-13 2006-01-10 Tokyo Electron Limited Scatterometry by phase sensitive reflectometer
WO2005010799A2 (en) 2003-07-16 2005-02-03 Shrenik Deliwala Optical encoding and reconstruction
US7397596B2 (en) 2004-07-28 2008-07-08 Ler Technologies, Inc. Surface and subsurface detection sensor
US7791727B2 (en) 2004-08-16 2010-09-07 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US20070002336A1 (en) 2005-06-30 2007-01-04 Asml Netherlands B.V. Metrology apparatus, lithographic apparatus, process apparatus, metrology method and device manufacturing method
US20080036984A1 (en) * 2006-08-08 2008-02-14 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
KR100866038B1 (ko) * 2007-08-01 2008-11-05 서강대학교산학협력단 헤테로다인 간섭계를 이용한 주사 현미경
NL1036245A1 (nl) 2007-12-17 2009-06-18 Asml Netherlands Bv Diffraction based overlay metrology tool and method of diffraction based overlay metrology.
NL1036597A1 (nl) 2008-02-29 2009-09-01 Asml Netherlands Bv Metrology method and apparatus, lithographic apparatus, and device manufacturing method.
NL1036857A1 (nl) 2008-04-21 2009-10-22 Asml Netherlands Bv Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method.
US20090262335A1 (en) * 2008-04-22 2009-10-22 Vladimir Alexeevich Ukraintsev Holographic scatterometer
CN101344375B (zh) * 2008-07-31 2011-06-29 上海微电子装备有限公司 高分辨率外差激光干涉系统及提高分辨率的方法
NL2004094A (en) 2009-02-11 2010-08-12 Asml Netherlands Bv Inspection apparatus, lithographic apparatus, lithographic processing cell and inspection method.
KR101461457B1 (ko) 2009-07-31 2014-11-13 에이에스엠엘 네델란즈 비.브이. 계측 방법 및 장치, 리소그래피 시스템, 및 리소그래피 처리 셀
WO2011023517A1 (en) 2009-08-24 2011-03-03 Asml Netherlands B.V. Metrology method and apparatus, lithographic apparatus, lithographic processing cell and substrate comprising metrology targets
NL2007176A (en) 2010-08-18 2012-02-21 Asml Netherlands Bv Substrate for use in metrology, metrology method and device manufacturing method.
US9140998B2 (en) 2010-11-12 2015-09-22 Asml Netherlands B.V. Metrology method and inspection apparatus, lithographic system and device manufacturing method
WO2012126718A1 (en) 2011-03-21 2012-09-27 Asml Netherlands B.V. Method and apparatus for determining structure parameters of microstructures
NL2010401A (en) 2012-03-27 2013-09-30 Asml Netherlands Bv Metrology method and apparatus, lithographic system and device manufacturing method.
NL2010458A (en) 2012-04-16 2013-10-17 Asml Netherlands Bv Lithographic apparatus, substrate and device manufacturing method background.
KR101759608B1 (ko) 2012-05-29 2017-07-20 에이에스엠엘 네델란즈 비.브이. 메트롤로지 방법 및 장치, 기판, 리소그래피 시스템 및 디바이스 제조 방법
NL2011816A (en) 2012-11-30 2014-06-04 Asml Netherlands Bv Method of determining dose and focus, inspection apparatus, patterning device, substrate and device manufacturing method.
CN103092002B (zh) * 2013-01-17 2015-01-07 清华大学 一种具有图形锁定功能的激光干涉光刻系统

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101116765B1 (ko) 2009-04-10 2012-02-22 에이에스엠엘 네델란즈 비.브이. 유체 핸들링 디바이스, 침지 리소그래피 장치 및 디바이스 제조 방법
KR101476347B1 (ko) 2011-02-25 2014-12-24 에이에스엠엘 네델란즈 비.브이. 리소그래피 장치, 리소그래피 장치를 제어하는 방법 및 디바이스 제조 방법
WO2013178484A1 (en) 2012-05-29 2013-12-05 Asml Netherlands B.V. Support apparatus, lithographic apparatus and device manufacturing method
WO2013178438A1 (en) 2012-05-29 2013-12-05 Asml Netherlands B.V. Object holder and lithographic apparatus

Also Published As

Publication number Publication date
NL2014994A (en) 2016-04-12
US20160011523A1 (en) 2016-01-14
TWI572992B (zh) 2017-03-01
TW201606450A (zh) 2016-02-16
CN106662824A (zh) 2017-05-10
KR20170016006A (ko) 2017-02-10
US9753379B2 (en) 2017-09-05
IL249468A0 (en) 2017-02-28
CN106662824B (zh) 2018-07-24
WO2016005167A1 (en) 2016-01-14
IL249468B (en) 2021-05-31

Similar Documents

Publication Publication Date Title
KR101948912B1 (ko) 검사 장치, 검사 방법 및 디바이스 제조 방법
CN113204173B (zh) 检查设备、检查方法和制造方法
KR101994385B1 (ko) 비대칭 측정 방법, 검사 장치, 리소그래피 시스템 및 디바이스 제조 방법
US9778025B2 (en) Method and apparatus for measuring asymmetry of a microstructure, position measuring method, position measuring apparatus, lithographic apparatus and device manufacturing method
JP5280555B2 (ja) 検査装置および方法、リソグラフィ装置、リソグラフィ処理セル、およびデバイス製造方法
JP6251386B2 (ja) クリティカルディメンション関連特性を決定する方法、検査装置およびデバイス製造方法
JP6045588B2 (ja) メトロロジ方法及び装置並びにデバイス製造方法
KR102238969B1 (ko) 기판을 검사하는 방법, 계측 장치 및 리소그래피 시스템
US9921489B2 (en) Focus monitoring arrangement and inspection apparatus including such an arrangement
KR102328438B1 (ko) 계측 장치
TWI631321B (zh) 用於檢測裝置之照明源、檢測裝置及檢測方法
US10866526B2 (en) Metrology method and device
JP2020518848A (ja) メトロロジパラメータ決定及びメトロロジレシピ選択
US11150563B2 (en) Method of measuring a parameter of a patterning process, metrology apparatus, target
NL2020530A (en) Metrology sensor, lithographic apparatus and method for manufacturing devices
US10215954B2 (en) Focus monitoring arrangement and inspection apparatus including such an arrangement
EP3401733A1 (en) Method of measuring a structure, inspection apparatus, lithographic system and device manufacturing method
CN114080536A (zh) 量测方法和相关的计算机产品

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant