KR102217202B1 - 검사 장치를 위한 조명 소스, 검사 장치 및 검사 방법 - Google Patents

검사 장치를 위한 조명 소스, 검사 장치 및 검사 방법 Download PDF

Info

Publication number
KR102217202B1
KR102217202B1 KR1020197002901A KR20197002901A KR102217202B1 KR 102217202 B1 KR102217202 B1 KR 102217202B1 KR 1020197002901 A KR1020197002901 A KR 1020197002901A KR 20197002901 A KR20197002901 A KR 20197002901A KR 102217202 B1 KR102217202 B1 KR 102217202B1
Authority
KR
South Korea
Prior art keywords
radiation
pump
measurement
source
measurement radiation
Prior art date
Application number
KR1020197002901A
Other languages
English (en)
Other versions
KR20190025657A (ko
Inventor
파트리치우스 알로이시우스 야코버스 틴네만스
난 린
샌더 바스 루볼
시몬 기스버트 요세푸스 마티센
Original Assignee
에이에스엠엘 네델란즈 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from EP16181778.8A external-priority patent/EP3276419A1/en
Application filed by 에이에스엠엘 네델란즈 비.브이. filed Critical 에이에스엠엘 네델란즈 비.브이.
Publication of KR20190025657A publication Critical patent/KR20190025657A/ko
Application granted granted Critical
Publication of KR102217202B1 publication Critical patent/KR102217202B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/17Systems in which incident light is modified in accordance with the properties of the material investigated
    • G01N21/47Scattering, i.e. diffuse reflection
    • G01N21/4788Diffraction
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/8806Specially adapted optical and illumination features
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/956Inspecting patterns on the surface of objects
    • GPHYSICS
    • G02OPTICS
    • G02FOPTICAL DEVICES OR ARRANGEMENTS FOR THE CONTROL OF LIGHT BY MODIFICATION OF THE OPTICAL PROPERTIES OF THE MEDIA OF THE ELEMENTS INVOLVED THEREIN; NON-LINEAR OPTICS; FREQUENCY-CHANGING OF LIGHT; OPTICAL LOGIC ELEMENTS; OPTICAL ANALOGUE/DIGITAL CONVERTERS
    • G02F1/00Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics
    • G02F1/35Non-linear optics
    • G02F1/353Frequency conversion, i.e. wherein a light beam is generated with frequency components different from those of the incident light beams
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70008Production of exposure light, i.e. light sources
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70808Construction details, e.g. housing, load-lock, seals or windows for passing light in or out of apparatus
    • G03F7/70833Mounting of optical systems, e.g. mounting of illumination system, projection system or stage systems on base-plate or ground
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/956Inspecting patterns on the surface of objects
    • G01N2021/95676Masks, reticles, shadow masks
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N2201/00Features of devices classified in G01N21/00
    • G01N2201/06Illumination; Optics
    • G01N2201/069Supply of sources
    • G01N2201/0696Pulsed
    • G01N2201/0697Pulsed lasers

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Health & Medical Sciences (AREA)
  • Immunology (AREA)
  • Analytical Chemistry (AREA)
  • Biochemistry (AREA)
  • General Health & Medical Sciences (AREA)
  • Chemical & Material Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Pathology (AREA)
  • Nonlinear Science (AREA)
  • Optics & Photonics (AREA)
  • Engineering & Computer Science (AREA)
  • Environmental & Geological Engineering (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Length Measuring Devices By Optical Means (AREA)

Abstract

검사 장치를 위한 측정 방사선을 발생시키는 조명 소스가 개시된다. 상기 소스는 적어도 제 1 측정 방사선 및 제 2 측정 방사선을 발생시켜, 제 1 측정 방사선 및 제 2 측정 방사선이 비트 성분으로 변조되는 조합된 측정 방사선을 형성하도록 간섭하게 한다. 조명 소스는 HHG 소스일 수 있다. 또한, 이러한 소스를 포함한 검사 장치 및 연계된 검사 방법이 개시된다.

Description

검사 장치를 위한 조명 소스, 검사 장치 및 검사 방법
본 출원은 2016년 7월 5일에 출원된 EP 출원 16178048.1 및 2016년 7월 28일에 출원된 EP 출원 16181778.8의 우선권을 주장하며, 이는 본 명세서에서 그 전문이 인용참조된다.
본 발명은 리소그래피 장치 및 측정을 수행하는 방법에 관한 것이다. 특히, 이는 리소그래피 장치 내에 포함된 검사 장치, 및 특히 그 조명 소스뿐 아니라, 이와 함께 측정을 수행하는 방법에 관한 것이다.
리소그래피 장치는 기판 상에, 통상적으로는 기판의 타겟부 상에 원하는 패턴을 적용시키는 기계이다. 리소그래피 장치는, 예를 들어 집적 회로(IC)의 제조 시에 사용될 수 있다. 그 경우, 대안적으로 마스크 또는 레티클이라 칭하는 패터닝 디바이스가 IC의 개별층 상에 형성될 회로 패턴을 생성하기 위해 사용될 수 있다. 이 패턴은 기판(예컨대, 실리콘 웨이퍼) 상의 (예를 들어, 다이의 부분, 한 개 또는 수 개의 다이를 포함하는) 타겟부 상으로 전사(transfer)될 수 있다. 특정 패턴 및 재료 조성을 각각 갖는 다수 층들이 적용되어, 완성된 제품의 기능 디바이스들 및 상호연결들을 정의한다.
리소그래피 공정들에서는, 예를 들어 공정 제어 및 검증(verification)을 위해, 흔히 생성된 구조체들의 측정들을 수행하는 것이 바람직하다. 이러한 측정들을 수행하는 다양한 툴들이 알려져 있으며, 임계 치수(CD)를 측정하는 데 흔히 사용되는 스캐닝 전자 현미경 및 오버레이, 즉 디바이스 내의 두 층들의 정렬 정확성을 측정하는 특수 툴들을 포함한다. 최근에는, 리소그래피 분야에서의 사용을 위해 다양한 형태의 스케터로미터(scatterometer)들이 개발되었다.
알려진 스케터로미터들의 예시들은 흔히 지정된(dedicated) 메트롤로지 타겟들의 제공에 의존한다. 예를 들어, 방법은 측정 빔이 격자보다 작은 스폿을 발생시키도록 충분히 큰[즉, 격자가 언더필링(underfill)됨], 단순한 격자 형태의 타겟을 필요로 할 수 있다. 소위 재구성 방법들에서, 타겟 구조체의 수학적 모델과 산란 방사선의 상호작용을 시뮬레이션함으로써 격자의 속성들이 계산될 수 있다. 시뮬레이션된 상호작용이 실제 타겟으로부터 관찰되는 것과 유사한 회절 패턴을 생성할 때까지 모델의 파라미터들이 조정된다.
재구성에 의한 피처 형상들의 측정에 추가하여, 회절-기반 오버레이가 공개된 특허 출원 US2006066855A1에 설명된 바와 같이 이러한 장치를 이용하여 측정될 수 있다. 회절 차수들의 다크-필드 이미징(dark-field imaging)을 이용한 회절-기반 오버레이 메트롤로지는 더 작은 타겟들에 대한 오버레이 측정들을 가능하게 한다. 이 타겟들은 조명 스폿보다 작을 수 있고, 웨이퍼 상의 제품 구조체(product structure)들에 의해 둘러싸일 수 있다. 다크 필드 이미징 메트롤로지의 예시들은, 예를 들어 US2011102753A1 및 US20120044470A와 같은 다수의 공개된 특허 출원들에서 찾아볼 수 있다. 다수 격자들이 복합(composite) 격자 타겟을 이용하여 하나의 이미지에서 측정될 수 있다. 알려진 스케터로미터들은 가시광 또는 근적외선 범위 내의 광을 사용하는 경향이 있고, 이는 격자의 피치가 실제로 관심이 있는 속성들을 갖는 실제 제품 구조체들보다 훨씬 더 거칠(coarse) 것을 요구한다. 이러한 제품 피처들은 훨씬 더 짧은 파장들을 갖는 심자외(DUV) 또는 극자외(EUV) 방사선을 이용하여 정의될 수 있다. 불행하게도, 이러한 파장들은 메트롤로지에 사용가능하거나 통상적으로 이용가능하지 않다.
다른 한편으로는, 현대 제품 구조체들의 치수들은 너무 작아서 광학 메트롤로지 기술들에 의해 이미징될 수 없다. 작은 피처들은, 예를 들어 다중 패터닝 공정들 및/또는 피치-증대(pitch-multiplication)에 의해 형성되는 것들을 포함한다. 이에 따라, 고용량 메트롤로지를 위해 사용되는 타겟들은 흔히 오버레이 오차들 또는 임계 치수들이 관심 속성인 제품들보다 훨씬 더 큰 피처들을 사용한다. 측정 결과들은 실제 제품 구조체들의 치수들과 간접적으로만 관련되고, 메트롤로지 타겟이 리소그래피 장치에서의 광학 투영 및/또는 제조 공정의 다른 단계들에서의 상이한 처리 중에 동일한 왜곡들을 격지 않기 때문에 정확하지 않을 수 있다. 스캐닝 전자 현미경(SEM)이 이 현대 제품 구조체들을 직접 분석할 수 있지만, SEM은 광학 측정들보다 훨씬 더 시간 소모적이다. 또한, 전자들이 두꺼운 공정 층들을 관통할 수 없고, 이로 인해 메트롤로지 적용들에 덜 적절하게 된다. 접촉 패드(contact pad)들을 이용하여 전기적 속성들을 측정하는 바와 같은 다른 기술들도 알려져 있지만, 이는 실제 제품 구조체의 간접적인 증거만을 제공한다.
메트롤로지 동안 사용되는 방사선의 파장을 감소시킴으로써[즉, "연질 X-선(soft X-ray)" 파장 스펙트럼을 향해 이동시킴으로써], 더 작은 구조체들을 분해하여 구조체들의 구조적 변동들에 대한 민감도를 증가시키고, 및/또는 제품 구조체들로 더 침투시키는 것이 가능하다. 하지만, 이는 메트롤로지 시스템의 스펙트럼 분해능(spectral resolution)에서의 대응하는 개선을 필요로 할 수 있다. 추가적으로, 제품 구조체들의 복잡성이 증가하고 있고, 제품 구조체들은 증가한 수의 층들 및 대응하는 두께 증가를 포함한다. 이는 차례로 메트롤로지 측정들을 수행하는 데 필요한 스펙트럼 분해능을 증가시킨다.
본 발명은 앞서 설명된 타입의 측정들을 수행하는 대안적인 검사 장치 및 방법을 제공하는 것을 목표로 한다.
본 발명의 제 1 실시형태에 따르면, 적어도 제 1 측정 방사선 및 제 2 측정 방사선을 발생시키도록 작동가능하여, 상기 제 1 측정 방사선 및 상기 제 2 측정 방사선이 비트 성분(beat component)으로 변조되는 조합된 측정 방사선을 형성하도록 간섭하게 하는 검사 장치를 위한 측정 방사선을 발생시키는 조명 소스가 제공된다.
본 발명의 제 2 실시형태에 따르면, 적어도 제 1 고조파 방사선(high harmonic radiation) 및 제 2 고조파 방사선을 발생시키도록 작동가능하여, 상기 제 1 고조파 방사선 및 상기 제 2 고조파 방사선이 비트 성분으로 변조되는 조합된 고조파 방사선을 형성하도록 간섭하게 하는, 고조파 방사선을 발생시키는 조명 소스가 제공된다.
본 발명의 제 3 실시형태에 따르면, 기판 상의 타겟 구조체를 측정하는 방법이 제공되고, 이는: 적어도 제 1 측정 방사선 및 제 2 측정 방사선을 발생시켜, 상기 제 1 측정 방사선 및 상기 제 2 측정 방사선이 비트 성분으로 변조되는 조합된 측정 방사선을 형성하도록 간섭하게 하는 단계; 측정 방사선으로 타겟 구조체를 조명하여, 비트 성분으로 변조되는 산란 방사선을 유도하는 단계; 산란 방사선을 검출하는 단계; 및 검출된 산란 방사선을 처리하는 단계를 포함하며, 상기 처리하는 단계는 상기 비트 성분을 이용하여 상기 산란 방사선을 스펙트럼으로 분해하는 단계를 포함한다.
본 발명의 제 4 실시형태에 따르면, 비트 성분으로 변조되는 측정 방사선을 제공하도록 작동가능한 제 1 또는 제 2 실시형태의 조명 소스; 측정 방사선으로 타겟 구조체를 조명하여, 비트 성분으로 변조되는 산란 방사선을 유도하도록 작동가능한 조명 시스템; 산란 방사선을 검출하도록 작동가능한 검출기; 및 상기 비트 성분을 이용하여 상기 산란 방사선을 스펙트럼으로 분해하도록 작동가능한 프로세서를 포함하는 검사 장치가 제공된다.
본 발명은 앞서 설명된 바와 같은 본 발명에 따른 방법에서 제어 단계들을 구현하는 기계-판독가능한 명령어들의 1 이상의 시퀀스를 포함한 컴퓨터 프로그램 제품을 더 제공한다.
첨부된 도면들을 참조하여, 본 발명의 다양한 실시예들의 구조 및 작동뿐만 아니라, 본 발명의 또 다른 실시형태들, 특징들 및 장점들이 아래에서 상세하게 설명된다. 본 발명은 본 명세서에서 설명되는 특정한 실시예들에 제한되지 않는다는 것을 유의한다. 본 명세서에서, 이러한 실시예들은 단지 예시적인 목적으로만 제시된다. 당업자라면, 본 명세서에 포함되는 교시에 기초하여 추가적인 실시예들을 명백히 알 것이다.
이제 대응하는 참조 부호들이 대응하는 부분들을 나타내는 첨부된 개략적인 도면들을 참조하여, 단지 예시의 방식으로만 본 발명의 실시예들을 설명할 것이다:
도 1은 리소그래피 장치를 도시하는 도면;
도 2는 본 발명에 따른 검사 장치가 사용될 수 있는 리소그래피 셀(lithographic cell) 또는 클러스터(cluster)를 도시하는 도면;
도 3은 알려진 다크-필드 이미징 검사 방법들을 수행하도록 구성되는 검사 장치를 개략적으로 예시하는 도면;
도 4는 본 발명의 일 실시예에 따른 검사 장치의 개략적인 다이어그램;
도 5는 도 4의 검사 장치의 조명 소스에 의해 생성되는 측정 방사선의 파장(λ)에 대한 세기(I)의 플롯;
도 6은 본 발명의 일 실시예에 따른 도 4의 검사 장치의 조명 소스의 더 상세한 개략적인 다이어그램; 및
도 7은 본 발명의 일 실시예에 따른 메트롤로지 방법을 설명하는 흐름도이다.
본 발명의 실시예들을 상세히 설명하기에 앞서, 본 발명의 실시예들이 구현될 수 있는 예시적인 환경을 제시하는 것이 유익하다.
도 1은 리소그래피 장치(LA)를 개략적으로 도시한다. 상기 장치는 방사선 빔(B)(예를 들어, UV 방사선 또는 DUV 또는 EUV 방사선)을 컨디셔닝(condition)하도록 구성되는 조명 시스템(일루미네이터)(IL); 패터닝 디바이스(예를 들어, 마스크)(MA)를 지지하도록 구성되고, 소정 파라미터들에 따라 패터닝 디바이스를 정확히 위치시키도록 구성된 제 1 위치설정기(PM)에 연결되는 패터닝 디바이스 지지체 또는 지지 구조체(예를 들어, 마스크 테이블)(MT); 기판(예를 들어, 레지스트-코팅된 웨이퍼)(W)을 유지하도록 각각 구성되고, 소정 파라미터들에 따라 기판을 정확히 위치시키도록 구성된 제 2 위치설정기(PW)에 각각 연결되는 2 개의 기판 테이블(예를 들어, 웨이퍼 테이블)(WTa 및 WTb); 및 기판(W)의 (예를 들어, 1 이상의 다이를 포함하는) 타겟부(C) 상으로 패터닝 디바이스(MA)에 의해 방사선 빔(B)에 부여된 패턴을 투영하도록 구성되는 투영 시스템(예를 들어, 굴절 투영 렌즈 시스템)(PS)을 포함한다. 기준 프레임(reference frame: RF)이 다양한 구성요소들을 연결하며, 패터닝 디바이스 및 기판 및 이들 상의 피처들의 위치들을 설정하고 측정하는 기준의 역할을 한다.
조명 시스템은 방사선을 지향, 성형, 또는 제어하기 위하여, 굴절, 반사, 자기, 전자기, 정전기 또는 다른 타입의 광학 구성요소들, 또는 여하한의 그 조합과 같은 다양한 타입들의 광학 구성요소들을 포함할 수 있다.
패터닝 디바이스 지지체는 패터닝 디바이스의 방위, 리소그래피 장치의 디자인, 및 예를 들어 패터닝 디바이스가 진공 환경에서 유지되는지의 여부와 같은 다른 조건들에 의존하는 방식으로 패터닝 디바이스를 유지한다. 패터닝 디바이스 지지체는 패터닝 디바이스를 유지하기 위해 기계적, 진공, 정전기, 또는 다른 클램핑 기술들을 이용할 수 있다. 패터닝 디바이스 지지체(MT)는, 예를 들어 필요에 따라 고정되거나 이동가능할 수 있는 프레임 또는 테이블일 수 있다. 패터닝 디바이스 지지체는, 패터닝 디바이스가 예를 들어 투영 시스템에 대해 원하는 위치에 있을 것을 보장할 수 있다.
본 명세서에서 사용되는 "패터닝 디바이스"라는 용어는, 기판의 타겟부에 패턴을 생성하기 위해서 방사선 빔의 단면에 패턴을 부여하는 데 사용될 수 있는 여하한의 디바이스를 언급하는 것으로 폭넓게 해석되어야 한다. 방사선 빔에 부여된 패턴은, 예를 들어 상기 패턴이 위상-시프팅 피처(phase-shifting feature)들 또는 소위 어시스트 피처(assist feature)들을 포함하는 경우, 기판의 타겟부 내의 원하는 패턴과 정확히 일치하지 않을 수도 있다는 것을 유의하여야 한다. 일반적으로, 방사선 빔에 부여된 패턴은 집적 회로와 같이 타겟부에 생성될 디바이스 내의 특정 기능 층에 해당할 것이다.
본 명세서에 도시된 바와 같이, 상기 장치는 (예를 들어, 투과 패터닝 디바이스를 채택하는) 투과형으로 구성된다. 대안적으로, 상기 장치는 (예를 들어, 앞서 언급된 바와 같은 타입의 프로그램가능한 거울 어레이를 채택하거나, 반사 마스크를 채택하는) 반사형으로 구성될 수 있다. 패터닝 디바이스의 예로는 마스크, 프로그램가능한 거울 어레이, 및 프로그램가능한 LCD 패널을 포함한다. 본 명세서의 "레티클" 또는 "마스크"라는 용어의 어떠한 사용도 "패터닝 디바이스"라는 좀 더 일반적인 용어와 동의어로 간주될 수 있다. 또한, "패터닝 디바이스"라는 용어는 이러한 프로그램가능한 패터닝 디바이스를 제어하는 데 사용하는 패턴 정보를 디지털 형태로 저장하는 디바이스를 언급하는 것으로 해석될 수도 있다.
본 명세서에서 사용되는 "투영 시스템"이라는 용어는, 사용되는 노광 방사선에 대하여, 또는 침지 액체의 사용 또는 진공의 사용과 같은 다른 인자들에 대하여 적절하다면, 굴절, 반사, 카타디옵트릭(catadioptric), 자기, 전자기 및 정전기 광학 시스템, 또는 여하한의 그 조합을 포함하는 여하한 타입의 투영 시스템을 포괄하는 것으로서 폭넓게 해석되어야 한다. 본 명세서의 "투영 렌즈"라는 용어의 어떠한 사용도 "투영 시스템"이라는 좀 더 일반적인 용어와 동의어로 간주될 수 있다.
또한, 리소그래피 장치는 투영 시스템과 기판 사이의 공간을 채우기 위해서, 기판의 적어도 일부분이 비교적 높은 굴절률을 갖는 액체, 예컨대 물로 덮일 수 있는 형태로도 구성될 수 있다. 또한, 침지 액체는 리소그래피 장치 내의 다른 공간들, 예를 들어 마스크와 투영 시스템 사이에도 적용될 수 있다. 침지 기술은 투영 시스템의 개구수(numerical aperture)를 증가시키는 기술로 당업계에 잘 알려져 있다.
작동 시, 일루미네이터(IL)는 방사선 소스(SO)로부터 방사선 빔을 수용한다. 예를 들어, 소스가 엑시머 레이저(excimer laser)인 경우, 소스 및 리소그래피 장치는 별도의 개체일 수 있다. 이러한 경우, 소스는 리소그래피 장치의 일부분을 형성하는 것으로 간주되지 않으며, 방사선 빔은 예를 들어 적절한 지향 거울 및/또는 빔 익스팬더(beam expander)를 포함하는 빔 전달 시스템(BD)의 도움으로, 소스(SO)로부터 일루미네이터(IL)로 통과된다. 다른 경우, 예를 들어 소스가 수은 램프인 경우, 소스는 리소그래피 장치의 통합부일 수 있다. 소스(SO) 및 일루미네이터(IL)는, 필요에 따라 빔 전달 시스템(BD)과 함께 방사선 시스템이라고도 칭해질 수 있다.
일루미네이터(IL)는, 예를 들어 방사선 빔의 각도 세기 분포를 조정하는 조정기(AD), 인티그레이터(IN) 및 콘덴서(CO)를 포함할 수 있다. 일루미네이터는 방사선 빔의 단면에 원하는 균일성(uniformity) 및 세기 분포를 갖기 위해, 방사선 빔을 컨디셔닝하는 데 사용될 수 있다.
방사선 빔(B)은 패터닝 디바이스 지지체(MT) 상에 유지되는 패터닝 디바이스(MA) 상에 입사되며, 패터닝 디바이스에 의해 패터닝된다. 패터닝 디바이스(예를 들어, 마스크)(MA)를 가로질렀으면, 방사선 빔(B)은 투영 시스템(PS)을 통과하며, 이는 기판(W)의 타겟부(C) 상에 상기 빔을 포커스한다. 제 2 위치설정기(PW) 및 위치 센서(IF)(예를 들어, 간섭계 디바이스, 리니어 인코더, 2-D 인코더 또는 용량성 센서)의 도움으로, 기판 테이블(WTa 또는 WTb)은 예를 들어 방사선 빔(B)의 경로 내에 상이한 타겟부(C)들을 위치시키도록 정확하게 이동될 수 있다. 이와 유사하게, 제 1 위치설정기(PM) 및 (도 1에 명확히 도시되지 않은) 또 다른 위치 센서는, 예를 들어 마스크 라이브러리(mask library)로부터의 기계적인 회수 후에, 또는 스캔하는 동안, 방사선 빔(B)의 경로에 대해 패터닝 디바이스(예를 들어, 마스크)(MA)를 정확히 위치시키는 데 사용될 수 있다.
패터닝 디바이스(예를 들어, 마스크)(MA) 및 기판(W)은 마스크 정렬 마크들(M1, M2) 및 기판 정렬 마크들(P1, P2)을 이용하여 정렬될 수 있다. 비록, 예시된 기판 정렬 마크들은 지정된(dedicated) 타겟부들을 차지하고 있지만, 그들은 타겟부들 사이의 공간들 내에 위치될 수도 있다[이들은 스크라이브-레인 정렬 마크(scribe-lane alignment mark)들로 알려져 있음]. 이와 유사하게, 패터닝 디바이스(예를 들어, 마스크)(MA) 상에 1보다 많은 다이가 제공되는 상황들에서, 마스크 정렬 마크들은 다이들 사이에 위치될 수 있다. 또한, 디바이스 피처들 사이에서 다이들 내에 작은 정렬 마크가 포함될 수도 있으며, 이 경우 마크들은 인접한 피처들과 상이한 여하한의 이미징 또는 공정 조건들을 필요로 하지 않고, 가능한 한 작은 것이 바람직하다. 정렬 마크들을 검출하는 정렬 시스템은 아래에서 더 설명된다.
도시된 장치는 다양한 모드들에서 사용될 수 있다. 스캔 모드에서, 패터닝 디바이스 지지체(예를 들어, 마스크 테이블)(MT) 및 기판 테이블(WT)은 방사선 빔에 부여된 패턴이 타겟부(C) 상에 투영되는 동안에 동기적으로 스캐닝된다[즉, 단일 동적 노광(single dynamic exposure)]. 패터닝 디바이스 지지체(예를 들어, 마스크 테이블)(MT)에 대한 기판 테이블(WT)의 속도 및 방향은 투영 시스템(PS)의 확대(축소) 및 이미지 반전 특성에 의하여 결정될 수 있다. 스캔 모드에서, 노광 필드의 최대 크기는 단일 동적 노광 시 타겟부의 (스캐닝 되지 않는 방향으로의) 폭을 제한하는 반면, 스캐닝 동작의 길이는 타겟부의 (스캐닝 방향으로의) 높이를 결정한다. 당업계에 잘 알려져 있는 바와 같이, 다른 타입들의 리소그래피 장치 및 작동 모드들이 가능하다. 예를 들어, 스텝 모드가 알려져 있다. 소위 "마스크없는(maskless)" 리소그래피에서는, 프로그램가능한 패터닝 디바이스가 정지된 상태로 유지되지만 변화하는 패턴을 가지며, 기판 테이블(WT)은 이동되거나 스캐닝된다.
또한, 상술된 사용 모드들의 조합 및/또는 변형, 또는 완전히 다른 사용 모드들이 채택될 수도 있다.
리소그래피 장치(LA)는 2 개의 기판 테이블들(WTa, WTb) 및 기판 테이블들이 교환될 수 있는 2 개의 스테이션들 - 노광 스테이션(EXP) 및 측정 스테이션(MEA) - 을 갖는 소위 듀얼 스테이지 타입으로 이루어진다. 하나의 기판 테이블 상의 하나의 기판이 노광 스테이션에서 노광되고 있는 동안, 또 다른 기판이 측정 스테이션에서 다른 기판 테이블 상으로 로딩(load)될 수 있고, 다양한 준비작업 단계들이 수행될 수 있다. 이는 상기 장치의 스루풋을 상당히 증가시킬 수 있다. 준비작업 단계들은 레벨 센서(LS)를 이용하여 기판의 표면 높이 윤곽들을 매핑(map)하는 단계, 및 정렬 센서(AS)를 이용하여 기판 상의 정렬 마커들의 위치를 측정하는 단계를 포함할 수 있다. 위치 센서(IF)가 노광 스테이션뿐 아니라 측정 스테이션에 있는 동안 기판 테이블의 위치를 측정할 수 없는 경우, 기준 프레임(RF)에 대해 두 스테이션들에서 기판 테이블의 위치들이 추적될 수 있도록 제 2 위치 센서가 제공될 수 있다. 다른 구성들이 알려져 있고, 나타낸 듀얼-스테이지 구성 대신에 이용가능하다. 예를 들어, 기판 테이블 및 측정 테이블이 제공되는 다른 리소그래피 장치들이 알려져 있다. 이들은 준비작업 측정들을 수행하는 경우에 함께 도킹(dock)되고, 그 후 기판 테이블이 노광을 거치는 동안에 도킹해제(undock)될 수 있다.
도 2에 나타낸 바와 같이, 리소그래피 장치(LA)는 때때로 리소셀(lithocell) 또는 클러스터라고도 칭하는 리소그래피 셀(LC)의 일부분을 형성하며, 이는 기판 상에 전-노광(pre-exposure) 및 후-노광(post-exposure) 공정들을 수행하는 장치를 포함한다. 통상적으로, 이들은 레지스트 층들을 증착시키는 스핀 코터(spin coater: SC), 노광된 레지스트를 현상하는 디벨로퍼(developer: DE), 칠 플레이트(chill plate: CH) 및 베이크 플레이트(bake plate: BK)를 포함한다. 기판 핸들러 또는 로봇(RO)이 입력/출력 포트들(I/O1, I/O2)로부터 기판을 집어올리고, 이를 상이한 공정 장치 사이에서 이동시킨 후, 리소그래피 장치의 로딩 베이(loading bay: LB)로 전달한다. 흔히 집합적으로 트랙이라고도 하는 이 디바이스들은, 리소그래피 제어 유닛(LACU)을 통해 리소그래피 장치를 제어하는 감독 제어 시스템(supervisory control system: SCS)에 의해 자체 제어되는 트랙 제어 유닛(TCU)의 제어를 받는다. 따라서, 스루풋과 처리 효율성을 최대화하기 위해 상이한 장치가 작동될 수 있다.
그 후, 트랙에 의해 처리된 기판들은 에칭 및 디바이스 제조 공정 내의 다른 화학적 또는 물리적 처리들을 위해 다른 처리 툴들로 이송된다. 몇몇 경우, 이러한 에칭 또는 화학적/물리적 처리 단계들 이후에 기판들에 대한 메트롤로지가 수행될 수 있다.
리소그래피 장치 제어 유닛(LACU)은 설명되는 다양한 액추에이터들 및 센서들의 모든 이동들 및 측정들을 제어한다. 또한, LACU는 장치의 작동과 관련된 바람직한 계산들을 구현하도록 신호 처리 및 데이터 처리 능력을 포함한다. 도입부 및 청구항들의 전문용어에서, 이 처리 및 제어 기능들의 조합은 단순히 "제어기"라고 칭해졌다. 실제로, 제어 유닛(LACU)은 장치 내의 서브 시스템 또는 구성요소의 실시간 데이터 획득, 처리 및 제어를 각각 취급하는 많은 서브-유닛들의 시스템으로서 실현될 것이다. 예를 들어, 하나의 처리 서브시스템이 기판 위치설정기(PW)의 서보 제어(servo control)에 지정될 수 있다. 별도의 유닛들이 개략 및 미세 액추에이터들 또는 상이한 축선들을 다룰 수도 있다. 또 다른 유닛은 위치 센서(IF)의 판독에 지정될 수 있다. 장치의 전체 제어는 이 서브-시스템들의 처리 유닛들과, 조작자들과, 및 리소그래피 제조 공정에 관련된 다른 장치들과 통신하는 중앙 처리 유닛에 의해 제어될 수 있다.
도 3a는 소위 다크 필드 이미징 메트롤로지를 구현하는 검사 장치의 핵심 요소들을 개략적으로 나타낸다. 상기 장치는 독립형 디바이스(stand-alone device)이거나, 예를 들어 측정 스테이션에서 리소그래피 장치(LA) 또는 리소그래피 셀(LC)에 통합될 수 있다. 상기 장치에 걸쳐 수 개의 브랜치들을 갖는 광학 축선이 점선(O)으로 나타내어진다. 도 3b에는 타겟 격자 구조체(T) 및 회절 광선들이 더 상세히 예시된다.
도입부에 인용된 선출원들에서 설명된 바와 같이, 도 3a의 다크-필드-이미징 장치는 분광 스케터로미터(spectroscopic scatterometer)에 추가하여, 또는 이 대신에 사용될 수 있는 다목적 각도-분해 스케터로미터(multi-purpose angle-resolved scatterometer)의 일부일 수 있다. 이 타입의 검사 장치에서, 방사선 소스(11)에 의해 방출된 방사선은 조명 시스템(12)에 의해 컨디셔닝된다. 예를 들어, 조명 시스템(12)은 시준 렌즈 시스템(collimating lens system), 컬러 필터(color filter), 편광기(polarizer) 및 어퍼처 디바이스(aperture device)를 포함할 수 있다. 컨디셔닝된 방사선은 조명 경로를 따르고, 이는 부분 반사면(partially reflecting surface: 15)에 의해 반사되고, 현미경 대물 렌즈(16)를 통해 기판(W) 상의 스폿(S)으로 포커스된다. 메트롤로지 타겟(T)이 기판(W) 상에 형성될 수 있다. 렌즈(16)는 높은 개구수(NA), 바람직하게는 적어도 0.9 및 더 바람직하게는 적어도 0.95를 갖는다. 필요에 따라, 1이 넘는 개구수를 얻기 위해 침지 유체가 사용될 수 있다. 다목적 스케터로미터는 2 이상의 측정 브랜치들을 가질 수 있다. 추가적으로, 예를 들어 세기 정규화, 포착 타겟들의 개략 이미징, 포커싱 등을 위한 기준 방사선을 수집하기 위해, 또 다른 광학 시스템들 및 브랜치들이 실제 장치에 포함될 것이다. 이들의 세부내용들은 앞서 언급된 이전 공개공보들에서 찾아볼 수 있다. 본 발명의 목적을 위해서는, 다크-필드 이미징 메트롤로지에 대한 관심 측정 브랜치만이 예시되고 상세히 설명된다.
다크-필드 이미징을 위한 수집 경로에서, 이미징 광학 시스템(21)이 센서(23)(예를 들어, CCD 또는 CMOS 센서) 상에 기판(W) 상의 타겟의 이미지를 형성한다. 어퍼처 스톱(aperture stop: 20)이 수집 경로에서 평면(P')에 제공된다. 평면(P')은 대물 렌즈(16)의 퓨필 평면(P)(도시되지 않음)에 대해 켤레인 평면이다. 또한, 어퍼처 스톱(20)은 퓨필 스톱이라고 할 수 있다. 조명 어퍼처가 상이한 형태들을 취할 수 있는 것처럼, 어퍼처 스톱(20)은 상이한 형태들을 취할 수 있다. 어퍼처 스톱(20)은 렌즈(16)의 유효 어퍼처와 조합하여, 산란 방사선의 어떤 부분이 센서(23) 상에 이미지를 생성하는 데 사용되는지를 결정한다. 통상적으로, 어퍼처 스톱(20)은 0차 회절 빔을 차단하도록 기능하여, 센서(23) 상에 형성되는 타겟의 이미지가 1차 빔(들)으로부터만 형성되도록 한다. 이미지를 형성하기 위해 1차 빔들이 조합되는 예시에서, 이는 다크-필드 현미경법과 균등한, 소위 다크 필드 이미지일 것이다. 하지만, 본 출원에서는, 아래에서 설명되는 바와 같이 한 번에 1차들 중 하나만이 이미징된다. 센서(23)에 의해 포착된 이미지들은 이미지 프로세서 및 제어기(40)로 출력되며, 이들의 기능은 수행되고 있는 측정들의 특정 타입에 의존할 것이다. 본 발명을 위해서는, 타겟 구조체의 비대칭 측정들이 수행된다. 비대칭 측정들이 타겟 구조체들의 정보와 조합되어, 이들을 형성하는 데 사용된 리소그래피 공정의 성능 파라미터들의 측정들을 얻을 수 있다. 이 방식으로 측정될 수 있는 성능 파라미터들은, 예를 들어 오버레이, 포커스 및 도즈를 포함한다.
메트롤로지 타겟(T)이 기판(W) 상에 제공되는 경우, 이는 현상 이후에 바아(bar)들이 솔리드 레지스트 라인들로 형성되도록 프린트되는 1-D 격자일 수 있다. 타겟은 현상 이후에 격자가 레지스트에서 솔리드 레지스트 필라(pillar)들 또는 비아(via)들로 형성되도록 프린트되는 2-D 격자일 수 있다. 대안적으로, 바아, 필라 또는 비아는 기판 안으로 에칭될 수 있다. 이 격자들 각각은 검사 장치를 이용하여 속성들이 조사될 수 있는 타겟 구조체의 일 예시이다.
조명 시스템(12)의 다양한 구성요소들은 동일한 장치 내에서 상이한 메트롤로지 '레시피들(recipes)'을 구현하도록 조정가능할 수 있다. 특정한 특성들로서 파장(색) 및 편광을 선택하는 것에 추가하여, 조명 시스템(12)은 상이한 조명 프로파일들을 구현하도록 조정될 수 있다. 평면(P")이 검출기(19)의 평면 및 대물 렌즈(16)의 퓨필 평면(P)과 켤레이기 때문에, 평면(P") 내의 조명 프로파일이 스폿(S)으로 기판(W) 상에 입사하는 광의 각도 분포를 정의한다. 상이한 조명 프로파일들을 구현하기 위해, 어퍼처 디바이스가 조명 경로 내에 제공될 수 있다. 어퍼처 디바이스는 이동가능한 슬라이드 또는 휠에 장착되는 상이한 어퍼처들을 포함할 수 있다. 대안적으로, 이는 프로그램가능한 공간 광 변조기를 포함할 수 있다. 또 다른 대안예로서, 광섬유들이 평면(P") 내의 상이한 위치에 배치되고, 그 각각의 위치들에서 광을 전달하거나 광을 전달하지 않도록 선택적으로 사용될 수 있다. 이 변형예들은 모두 앞서 인용된 문서들에서 논의되고 예시된다.
제 1 예시적인 조명 모드에서, 입사각이 'I'로 나타낸 바와 같도록 광선들(30a)이 제공되고, 타겟(T)에 의해 반사된 0차 광선의 경로는 '0'으로 표시된다(광학 축선 'O'과 혼동하지 않아야 함). 제 2 조명 모드에서는, 광선들(30b)이 제공될 수 있고, 이 경우 입사각 및 반사각은 바뀔 것이다. 이 조명 모드들은 둘 다 오프-액시스(off-axis) 조명 모드들로 인식될 것이다. 많은 상이한 조명 모드들이 상이한 목적으로 구현될 수 있다.
도 3b에 더 상세히 나타낸 바와 같이, 타겟 구조체의 일 예시로서 타겟 격자(T)가 대물 렌즈(16)의 광학 축선(O)에 수직인 기판(W)과 배치된다. 오프-액시스 조명 프로파일의 경우, 축선(O)을 벗어난 각도로부터 격자(T)에 입사하는 조명 광선(I)은 0차 광선[실선(0)] 및 2 개의 1차 광선들[1점쇄선(+1) 및 2점쇄선(-1)]을 발생시킨다. 오버필링(overfill)되는 작은 타겟 격자를 이용하면, 이 광선들은 메트로롤지 타겟 격자(T) 및 다른 피처들을 포함한 기판의 영역을 덮는 많은 평행 광선들 중 하나에 불과하다는 것을 기억하여야 한다. 조명 광선들(30a)의 빔이 (유용한 양의 광을 수용하는 데 필요한) 유한 폭을 갖기 때문에, 입사 광선들(I)은 사실상 다양한 각도들을 차지할 것이고, 회절된 광선들(0 및 +1/-1)은 어느 정도 확산(spread out)될 것이다. 작은 타겟의 점확산 함수(point spread function)에 따르면, 각각의 차수 +1 및 -1은 나타낸 바와 같은 단일의 이상적인 광선이 아니라, 다양한 각도들에 걸쳐 더 확산될 것이다.
또한, 도 3a를 참조하면, 광선들(30a)로의 제 1 조명 모드 하에서, 타겟 격자로부터의 +1차 회절 광선들이 대물 렌즈(16)에 들어가고 센서(23)에 기록되는 이미지에 기여할 것이다. 제 2 조명 모드가 사용되는 경우, 광선들(30b)은 광선들(30b)에 반대인 각도로 입사되고, 이에 따라 -1차 회절 광선들이 대물렌즈에 들어가고 이미지에 기여한다. 오프-액시스 조명을 사용하는 경우에 어퍼처 스톱(20)은 0차 방사선을 차단한다. 이전 공개공보들에서 설명된 바와 같이, 조명 모드들은 X 및 Y 방향들에서 오프-액시스 조명으로 정의될 수 있다.
이 상이한 조명 모드들 하에서의 타겟 격자의 이미지들을 비교함으로써, 비대칭 측정들이 얻어질 수 있다. 대안적으로, 비대칭 측정들은 동일한 조명 모드를 유지하지만 타겟을 회전시킴으로써 얻어질 수 있다. 오프-액시스 조명이 도시되지만, 대신에 타겟들의 온-액시스(on-axis) 조명이 사용될 수 있고, 수정된 오프-액시스 어퍼처(20)가 실질적으로 단 하나의 1차 회절 광을 센서로 통과시키는 데 사용될 수 있다. 또 다른 예시에서, +1차 및 -1차를 센서(23) 상의 상이한 위치들로 향하게 하는 효과를 갖는 프리즘이 어퍼처 스톱(20) 대신에 사용되어, 이들이 2 개의 순차적인 이미지 포착 단계들의 필요없이 검출되고 비교될 수 있다. 이 기술은 앞서 언급된 공개된 특허 출원 US2011102753A1에 개시되어 있으며, 그 내용은 본 명세서에 인용참조된다. 1차 빔들에 추가하여, 또는 이 대신에, 2차, 3차 및 더 높은 차수의 빔들(도 3에 도시되지 않음)이 측정에 사용될 수 있다. 또 다른 변형예로서, 오프-액시스 조명 모드는 일정하게 유지될 수 있는 한편, 반대 회절 차수들을 사용하여 이미지들을 포착하기 위해 타겟 자체가 대물 렌즈(16) 아래에서 180 도 회전된다.
앞선 기술들은 통상적으로 가시 파장을 갖는 방사선을 사용하여 수행된다. 이러한 것으로서, 스케터로메트리 타겟들은 기판 상의 제품 구조체들보다 큰 피치를 갖는다. 일 예시로서, 스케터로메트리 타겟은 미크론(㎛) 단위로 측정되는 타겟 격자 피치를 가질 수 있는 반면, 동일한 기판 상의 제품 구조체들은 나노미터(nm) 단위로 측정되는 피치를 가질 수 있다.
피치의 이러한 차이는 측정된 오버레이와 제품 구조체들 상의 실제 오버레이 사이의 오프셋을 유도한다. 오프셋은 적어도 부분적으로 리소그래피 장치의 광학 투영 왜곡들 및/또는 제조 공정의 다른 단계들에서의 상이한 처리로 인한 것이다. 현재, 오프셋은 전체 측정된 오버레이에 대한 중요한 기여를 포함한다. 그러므로, 이를 감소시키거나 제거하는 것이 전체 오버레이 성능을 개선할 것이다.
예를 들어, 2 nm 내지 50 nm 사이의 파장들을 갖는 EUV 범위 또는 "연질 X-선"의 방사선을 방출하는 소스들을 사용하는 메트롤로지 툴들이 개발될 수 있다. 이러한 소스들의 예로는 방전 생성 플라즈마 소스, 레이저 생성 플라즈마 소스 또는 고차 고조파 생성(High-order Harmonic Generation: HHG) 소스를 포함한다. HHG 소스는 방출된 광에서 큰 플럭스의 시준된 광자들(고휘도)을 제공할 수 있는 것으로 알려져 있다.
메트롤로지 적용예에 사용되는 HHG 소스들은 유럽 특허 출원들 EP152020301, EP16168237, EP16167512에서 예시되고 더 설명되며, 이들은 본 명세서에서 그 전문이 인용참조된다. 메트롤로지 적용예들에서, 이러한 HHG 소스들은 (단일 포착으로 더 많은 측정 정보를 얻기 위해) (예를 들어) 수직 입사로, 수직 입사에 매우 근접하여(예를 들어, 수직으로부터 10 도 이내), 스침 입사로(예를 들어, 표면으로부터 20 도 이내), 임의의 각도 또는 다중 각도로 사용될 수 있다.
회절-기반 측정의 정확성을 최대화하기 위해, 예를 들어 오버레이 오차 또는 임계 치수를 결정하기 위해, 검출기에 도달하는 방사선의 속성들을 최적화할 필요가 있다. 산란 방사선의 속성은 사용되는 방사선의 속성들 및 측정되는 구조체의 속성들에 의존적이다. 예를 들어, 광자들의 수를 증가시키기 위해, 예를 들어 8 nm 내지 20 nm 또는 그 이상의 파장들의 방사선을 방출하는 큰 대역폭 소스가 사용될 수 있다. 또한, 이러한 큰 대역폭 소스는 (예를 들어) 상이한 구조체, 구조체 밀도 및/또는 재료가 상이한 파장으로 상이한 측정 감도를 나타낼 수 있기 때문에, 단일 측정에 대해 더 많은 정보를 제공한다. 상이한 파장들의 측정 방사선을 사용한 측정들을 상관시키는 능력이 측정을 더 견고하게 만든다. 하지만, 큰 대역폭 소스는 타겟 측정에서 회절 차수들을 오버랩하는 문제를 유도할 수 있으며, 특히 파장들이 측정되고 있는 구조체의 두께와 비슷한 크기이거나 더 작은 경우에 메트롤로지 툴이 우수한 스펙트럼 분해능을 가질 필요가 있다.
산란 방사선의 품질을 설명하는 데 사용할 수 있는 하나의 파라미터는 소위 "스택 감도(stack sensitivity)"이다. 이 파라미터는 측정된 신호(예를 들어, 비대칭 측정)의 강도를 설명한다. 이러한 "스택 감도"는 방사선의 파장 및 타겟 구조체의 두께에 따라 주기적으로 변동하는 것으로 나타내어질 수 있다. 스택 두께(T)에 대한 분해능을 결정하는 변동의 주기(Δλs)는 다음과 같이 설명될 수 있다:
Figure 112019010350889-pct00001
여기서, λ는 방사선의 파장이고, T는 측정되고 있는 구조체의 광학 두께이다. 제품 구조체의 예시적인 광학 두께는 400 nm일 수 있고, 예시적인 방사선 파장은 λ = 13 nm일 수 있다. 이 예시에서, "스택 감도" 변동의 주기(Δλs)는 0.21 nm이다.
검출기에서 측정되는 방사선을 최적화하기 위해, 검사 장치는 스택 감도(Δλs)의 주기적 변동들의 크기보다 우수한 스펙트럼 분해능을 갖는 것이 필요하다. 특히, 스택 감도의 주기적인 변동들을 완전히 분해하기 위해, 검사 장치의 필요한 스펙트럼 분해능(Δλr)은 변동 주기(Δλs)의 적어도 2 배가 되어야 한다. 그러므로, 본 예시에서, 검사 장치에 대한 필요한 스펙트럼 분해능(Δλr)은 대략 0.1 nm일 수 있다.
카메라 또는 유사한 디바이스 상으로의 측정 방사선을 스펙트럼으로 분해하기 위해 측정되고 있는 타겟 구조체(예를 들어, 격자 구조체)를 사용하는 것이 제안되었다. 그 후, 이러한 검사 장치의 스펙트럼 분해능은 광학 시스템의 속성들 및 타겟 구조체의 속성들에 의해 결정될 것이다. 타겟 크기 제약들로 인해, 전형적인 검사 장치의 스폿 직경은 약 2 ㎛로 제한된다. 조명 방사선이 가우스 빔(Gaussian beam)이라고 가정하면, 빔 웨이스트 직경(D)과 조명 방사선의 개구수(NA) 간의 다음 관계가 도출될 수 있다:
Figure 112019010350889-pct00002
λ = 13 nm의 파장을 갖는 조명 방사선에 대해, 개구수는 앞서 언급된 특정 스폿 직경에 대해 NA = 4 mrad로서 도출될 수 있다.
현재, 제품 구조체들의 피치는 대략 P = 40 nm이다. 이 피치를 갖는 타겟 구조체를 측정하는 회절-기반 검사 장치(예를 들어, 스케터로미터)의 스펙트럼 분해능은: Δλ ≒ 2P × NA = 80 × 0.004 = 0.32 ㎚로서 도출될 수 있다. 검사 장치에 의해 제공되는 스펙트럼 분해능은 요구되는 0.1 nm보다 크다. 이는 이러한 방식으로 스택 감도의 주기적 변동들을 적절히 분해하는 것이 가능하지 않다는 것을 의미한다. 개구수의 크기를 감소시킴으로써 검사 장치의 스펙트럼 분해능을 개선하는 것이 가능하다. 하지만, 이는 차례로 타겟 크기가 증가될 것을 요구할 것이다. 이는 NA의 감소가 더 큰 스폿 직경을 유도할 것이기 때문이다. 타겟 구조체들은 바람직하게는 "언더필링"(즉, 스폿 직경이 타겟의 크기보다 작음)되어야 한다. 그러므로, 스폿 직경이 증가되는 경우, 타겟의 크기도 비례하여 증가되어야 한다. 더 큰 타겟들이 기판의 표면에서 더 많은 공간을 차지하고, 이는 예를 들어 제품-당 제조 비용들을 증가시키기 때문에 생산 환경에서 바람직하지 않다.
특정 메트롤로지 예시로서, 연질 X-선 DBO(Diffraction-Based Overlay metrology)가 근수직 입사 조명을 사용할 수 있고, 이는 측정 방사선이 스택(측정되고 있는 구조체 또는 타겟)에 깊이 침투할 수 있게 한다. 이는 (예를 들어, 측정 방사선 파장에 대한) 두꺼운 스택들의 링잉 효과(ringing effect)들을 야기할 수 있다. 충분한 스펙트럼 분해능이 없다면, 이 링잉 효과들은 DBO 민감도를 0으로 평균할 것이며, 이는 수용가능하지 않다.
또 다른 특정 메트롤로지 예시에서, 연질 X-선 OCD(Optical Critical Dimension metrology) 및 ARO(At-Resolution Overlay metrology, 즉 편향된 타겟들을 필요로 하지 않는 재구성 기반 오버레이 메트롤로지)에 대하여, 측정된 신호의 정보 내용을 최대화하기 위해(즉, 정보의 평균화를 방지하기 위해) 높은 스펙트럼 분해능이 바람직하다. 또한, 큰 스펙트럼 범위가 바람직할 수 있으며, 겹치는 회절 차수들을 야기할 수 있을 정도로 크다. 겹치는 차수들이 격자 기반 기준 브랜치에서 발생하는 경우, 이는 조명 세기 정규화(즉, 곱셈적 잡음 억제)가 실패하게 할 것이다.
다음에서, 검사 장치의 스펙트럼 분해능을 개선하는 방법 및 장치가 설명될 것이다.
제 1 파장의(또는 제 1 파장을 중심으로 하는) 제 1 펌프 방사선 빔 및 제 2 파장의(또는 적어도 제 2 파장을 중심으로 하는) 제 2 펌프 방사선 빔으로부터 (예를 들어, 고조파) 측정 방사선을 생성하는 HHG 소스와 같은 조명 소스가 설명된다. 제 1 및 제 2 펌프 방사선 빔들에 의해 생성되는 (예를 들어, 측정 방사선의 고조파에 대응하는) 생성된 측정 방사선은 간섭하여 상기 제 1 및 제 2 파장들에 의존하는 비트 주파수에서의 헤테로다인 신호 또는 비트를 야기한다. 제 1 및 제 2 파장들의 차이는 작아야 하며, 예를 들어 1 nm 이하이다. 일 실시예에서, 파장 차이는 이보다 훨씬 작을 것이다; 예를 들어, (주파수에 관하여 표현된) 차이는 100 MHz보다 작거나, 10 MHz보다 작거나, 1 MHz보다 작거나, 0.1 MHz보다 작거나, 10 kHz보다 작거나 1 kHz보다 작을 수 있다. 이 신호는 특정 시간 간격에 걸쳐 측정될 수 있고, 예를 들어 푸리에 변환을 수행함으로써 분석되어, 이 측정된 신호의 스펙트럼 조성을 추출하거나 재구성할 수 있다.
도 4는 타겟(T)을 측정하는 작동 구성으로 나타낸, 이 예시에서는 HHG 소스(400)를 포함하는 조명 시스템을 포함한 검사 장치의 일 실시예를 포함한다. HHG 소스(400)는 제 1 파장(λ)의 제 1 펌프 방사선 빔(410)을 방출하는 제 1 펌프 방사선 소스(405), 및 (적어도) 제 2 파장(λ+Δ)의 제 2 펌프 방사선 빔(420)을 방출하는 제 2 펌프 방사선 소스(415)를 포함하며, 여기서 Δ는 작은 파장 오프셋이고, 예를 들어 제 1 파장(λ)에 비해 1 nm보다 작다(가능하게는, 앞서 설명된 바와 같이 훨씬 더 작다). 정확한 변조 기술에 따라, 1 이상의 "사이드 밴드(side bands)"가 발생할 수 있다는 것을 유의하여야 한다. 이러한 경우, 제 2 펌프 방사선 빔(420)은 하나의 고유 단일 파장(λ+Δ)을 중심으로 하지 않을 수있다. 대신에, 예를 들어 두 개의 파장: 즉 λ+Δ 및 λ-Δ가 존재할 수 있다. 이는, 예를 들어 진폭 변조를 사용하는 경우에 예상될 수 있다.
제 1 펌프 방사선 빔(410) 및 제 2 펌프 방사선 빔(420)은 [제 1 펌프 방사선 빔(410)에 의해 생성되는] 제 1 측정 방사선(430) 및 [제 2 펌프 방사선 빔(420)에 의해 생성되는] 제 2 측정 방사선(435)의 대응하는 고조파가 간섭하여, 검출기 블록(445)에서 각각의 대응하는 (고차) 고조파 쌍에 대한 조합된 측정 방사선에서 비트 성분(헤테로다인 신호)을 생성하는 방식으로, HHG 가스 제트(425)와 같은 HHG 매질을 여기시킨다. HHG 매질은, 예를 들어 고조파 생성 고체와 같은 가스 이외의 매질을 포함할 수 있다.
일단 원하지 않는 방사선 파장들을 차단하는 필터 요소(적외선 블록)(440)를 통과하면, 제 1 및 제 2 측정 방사선(430, 435)은 그 후 (도시되지 않은 개재 광학기를 통해) 타겟(T)을 측정하는 데 사용된다. 그 후, 타겟(T)에 의해 산란된 방사선은 검출기 블록(445)에 의해 검출될 것이며, 여기서 이것이 간섭하여 비트 성분을 발생시킨다. 일 실시예에서, 검출기 블록(445)은 양의 회절 차수들을 위한 제 1 검출기(450), 음의 회절 차수들을 위한 제 2 검출기(455), 및 0차 회절 차수를 위한 제 3 검출기(460)를 포함한다. 하지만, 다른 실시예들에서, 검출기 블록(445)은 단 하나의 검출기[예를 들어, 검출기(450), 검출기(455) 또는 검출기(460) 중 하나] 또는 두 개의 검출기[예를 들어, 검출기들(450, 455, 460) 중 어느 둘]를 포함할 수 있다. 검출기(들)에 의해 포착되는 (예를 들어, 고차) 회절 차수들은 HHG 스펙트럼의 고조파 차수들과 혼동되어서는 안 된다.
예시된 실시예에서, 제 1 및 제 2 펌프 방사선 빔들을 생성하는 2 개의 별개의 펌프 방사선 소스들이 존재한다. 이러한 실시예에서, 필요한 비트 성분을 얻기 위해, 제 1 및 제 2 펌프 방사선 소스(405, 415)는 제 1 및 제 2 펌프 방사선 빔들이 설명된 바와 같이 작은 일정한 오프셋만큼 상이한 파장 또는 주파수를 갖도록 모드-잠금(mode-locked)(465)(예를 들어, 시간에 있어서 동기화)되는 것이 제안된다.
대안적인 실시예에서, 제 1 및 제 2 펌프 방사선 빔들은 생성되는 2 개의 고조파 소스들이 위상에 있어서 잠기도록 단일 펌프 방사선 소스로부터 생성될 수 있다. 이러한 실시예에서, 파장 오프셋은 HHG 가스 제트 이전에 펌프 방사선 빔들 중 하나에서 전기-광학 변조기를 사용하여 얻어질 수 있다. 전기-광학 변조기들의 예시들은 비선형 결정들 및/또는 유체들을 포함한다. 적용된 변조는 주파수 변조 및/또는 위상 변조 및/또는 진폭 변조를 포함할 수 있다. 제 1 및 제 2 펌프 방사선 빔들 간의 파장 오프셋을 얻기 위한 다른 대안적인 변조 전략들은 하나의 빔이 다른 하나에 대해 HHG 가스 제트/매질을 여기시키는 위치를 변동시키는 것, 및/또는 펌프 레이저 빔들의 활성화 사이의 상대 시간 지연을 변동시키는 것을 포함할 수 있다. 다른 대안예들은 하나의 펌프 방사선 빔에 의해 생성된 고조파가 다른 펌프 방사선 빔보다 큰 파장 시프트를 갖도록; 예를 들어 각각의 펌프 방사선 빔에 의해 여기되는 상이한 위치들에서 국부적인 가스 압력(또는 매질이 고체인 경우에 표면 속성)을 변화시킴으로써 HHG 가스 제트/매질을 조작하는 것을 포함할 수 있다.
알려진 바와 같이, HHG 소스는 2 개의 별개의 모드들로 작동하는 것으로 여겨질 수 있다. 제 1 모드에서, 결과적인 HHG 주파수 스펙트럼은 생성된 고조파 차수마다 하나씩 별개의 스파이크들 또는 피크들을 포함하며, 이로 인해 주파수 빗(frequency comb)에 접근한다. 제 2 작동 모드에서, 고조파 차수들은 이들이 겹쳐서 광대역 스펙트럼을 형성하도록 각각 상당히 더 넓다. 이 두 작동 모드들 간의 차이는 펌프 레이저 펄스의 폭이다. 궁극적으로 고주파 발생에 기여하는 펌프 레이저 펄스의 더 짧은 지속 시간은 개별적인 고조파 차수들의 스펙트럼 확장(spectral broadening)을 유도할 것이다. 소정 지점에서, 개별적인 차수들은 주파수/파장에서 서로 겹치기 시작할 것이다[이 오버랩이 발생하기 시작하는 지점은 본질적으로 이 두 작동 모드들 간의 교차점(crossover point)을 정의함].
도 5는 제 1 작동 모드의 세기에 대한 파장의 플롯이다. 제 1 펌프 방사선 빔에 의해 생성된 바와 같은 2 개의 별개의 고차들(510a, 510b) 및 제 2 펌프 방사선 빔에 의해 생성된 바와 같은 대응하는 2 개의 별개의 고차들(520a, 520b)이 도시된다. 예를 들어, 1030 nm의 제 1 파장에 대해, 79차(510a)는 약 13.0 nm에서 파장 피크를 유도할 것이다. 이러한 개별적인 피크의 통상적인 대역폭(530)은 0.1 nm FWHM(Full Width Half Maximum)이다. (대칭의 이유로) 단원자 기체들에서 통상적으로 고르지 않은 고차 고조파들만이 발생되고 있다는 것을 유의한다. 일 실시예에서, 대응하는 피크들 간의 파장 차이(540)는 이 대역폭(530)보다 작다. 이 파장 차이(540)는 m으로 나눈 펌프 방사선 빔들의 파장 오프셋(Δλ)에 의존하며, 이때 m은 HHG 소스 피크 파장의 특정 고차 고조파를 표시하는 정수이다[예를 들어, 피크들(510a 및 520a)에 대해 m = 79].
각각의 m차 고조파 쌍에 대해, 검출된 광-전류에 비트 성분이 존재할 것이다. 이 비트 성분의 주파수(B)는 2 개의 모드 잠금 펌프 레이저들 간의 주파수 차이의 m 배일 것이다; 즉 다음과 같다:
Figure 112019010350889-pct00003
이때, c는 광속이다.
푸리에 변환 분광학 기술들은 비트 성분에 의해 변조된 바와 같은, 시간에 걸친 검출된 신호의 변동로부터 스펙트럼 조성(예를 들어, 각각의 m차 고조파 쌍의 세기)을 결정하는 데 사용될 수 있다. 이는 푸리에 변환(시간 변수에 걸쳐 적분됨)에 의해 수행될 수 있다. 이는 사인 또는 코사인 형상(단일 주파수) 신호와 검출된 신호의 내적을 연산하는 것을 포함할 수 있다. 푸리에-관련 변환들(예를 들어, 코사인 변환, 하틀리 변환 등)과 같은 다른 변환들이 신호를 스펙트럼으로 분해하는 데 사용될 수도 있다.
스펙트럼 정보는 공간적으로 포착되는 것이 아니라, 오히려 측정된 신호의 비트 성분에서 시간적으로 포착되기 때문에, 검출기 블록[예를 들어, 검출기 블록(445)]의 개별적인 검출기들은 카메라(검출기 어레이)보다는 단순한 포토다이오드 디바이스일 수 있다. 이러한 포토다이오드 디바이스는 특정 시간 주기에 걸쳐 시간의 함수로서 (회절된) 측정 방사선을 포착하도록 작동할 수 있다. 비트 성분의 비트 주파수가 예를 들어 kHz 범위 내에 있을 수 있기 때문에, 측정 방사선은 밀리초 정도(예를 들어, 40 ms 내지 100 ms)의 시간 주기에 걸쳐 측정될 수 있다. 잡음을 감소시키기 위해 이 측정들 각각의 반복 샘플들이 얻어질 수 있다.
메트롤로지 적용예들에서 사용되는 방사선 소스들은 조명 세기 및/또는 스펙트럼 변동들이 문제일 수 있다. 이에 대처하기 위해, 종래의 소스들은 측정 방사선이 빔 스플리터 또는 유사한 것에 의해 방향전환되는 별도의 기준 브랜치를 사용할 수 있다. 그 후, 이 기준 브랜치를 사용한 측정들이 여하한의 측정들을 정규화하는 데 사용될 수 있다. 이는 실제 측정에 이용가능한 광자들의 수를 감소시키고, 기준 브랜치 및 빔 스플리터에 대한 요건은 비용과 복잡성을 추가한다. 본 명세서에 설명된 방법들 및 장치들을 사용하여, 스펙트럼 분해되는 개별적인 0차 회절 및/또는 고차 회절 고조파들이 생성되고 개별적으로 측정될 수 있다. 그러므로, 1보다 많은 검출기, 예를 들어 0차 회절에 대한 검출기뿐만 아니라 고차 회절들 중 1 이상에 대한 1 이상의 검출기가 제공될 수 있다. 도 4에 나타낸 특정 예시에서, 검출기 블록(445)은 양의 고차 회절들 중 1 이상을 검출하는 제 1 검출기(450), 음의 고차 회절들 중 1 이상을 검출하는 제 2 검출기(455) 및 0차 회절을 검출하는 제 3 검출기(460)를 포함한다. 검출기들(450, 455, 460)은 그 대응하는 회절 차수(들)를 포착하기 위한 올바른 위치에 위치되어야 한다.
이를 행함으로써, 고차 회절 측정(들)은 모두, 예를 들어 대응하는 0차 회절 측정으로 자기-정규화(self-normalize)될 수 있다. 이는, 예를 들어 특정 고조파 차수(m)에 대한 고차 회절 측정을 그 고조파 차수(m)에 대한 대응하는 0차 회절 측정으로 나눔으로써 수행될 수 있다. 대응하는 회절 차수들의 여하한의 조합이 이 자기-정규화를 달성하는 데 사용될 수 있다는 것을 유의하여야 한다. 관심 파라미터에 관한 정보가 0차 회절로부터 얻어지는 경우, 고차 회절들 중 하나가 0차 회절을 정규화하는 데 사용될 수 있다. 그렇지 않으면, 하나의 고차 회절이 또 다른 대응하는 고차 회절을 정규화하는 데 사용될 수 있다. 이 방식으로, 소스 조명 세기 변동들이 검출된 측정들로부터 제거된다. 또한, 이 형태의 자기-정규화는 어레이 검출기와 조합된 격자의 분산이 스펙트럼 분해능을 생성하는 데 사용되는 더 전통적인 검출 방식들에 적용될 수도 있다는 것을 유의한다. 하지만, 대안적인 실시예에서, 정규화 신호를 제공하는 기준 검출기(470) 및 빔 스플리터(467)가 선택적으로 존재할 수 있다.
원하는 비트 성분을 얻기 위해, 제 1 및 제 2 펌프 방사선 빔들은 필요한 간섭을 얻도록 충분히 (공간적으로 또는 시간적으로) 근접해야 한다. 도 6은 제 1 실시예에서 이를 달성하는 방법을 나타낸다. 제 1 실시예에서, 빔들은 공간적으로 분리되어, 제 1 펌프 방사선 빔 및 제 2 펌프 방사선 빔이 각각 HHG 매질/가스 내의 별개의 두 위치들에 포커싱되도록 한다. 이러한 실시예에서, 제 1 펌프 방사선 빔 및 제 2 펌프 방사선 빔은 시간적으로 분리되지는 않으며, 즉 이들은 실질적으로(또는 대략적으로) 동시에 발사(활성화)된다. 2 개의 별개의 위치들은, 예를 들어 10 ㎛ 내지 100 ㎛의 간격으로, 간섭을 유도하기에 충분히 서로 근접할 필요가 있다. 이 실시예에서, 결과적인 2 개의 측정 방사선 빔들은 타겟 상으로 이미징되어 2 개의 인접한 스폿들을 유도한다. 결과적인 전기장은 (예를 들어, 포토다이오드) 검출기 상에서 간섭할 것이다.
도 6은, 예를 들어 도 4에 나타낸 조명 시스템(400) 대신에 사용될 수 있는 조명 시스템(HHG 소스)(600)을 도시한다. 제 1 펌프 방사선 소스(405)는 특정 파장(λ)으로(또는 이를 중심으로) 제 1 펌프 방사선 빔(410)을 방출하고, 제 2 펌프 방사선 소스(415)는 적어도 하나의 특정 파장(λ+Δ)으로(또는 이를 중심으로) 제 2 펌프 방사선 빔(420)을 방출한다. 제 1 펌프 방사선 빔(410)은 HHG 가스 제트(425) 내의 제 1 위치(610)에 포커스되도록 제 1 광학 요소(602)로 전파된다. 유사하게, 제 2 펌프 방사선 빔(420)은 HHG 가스 제트(425) 내의 제 2 위치(615)에 포커스되도록 제 2 광학 요소(605)로 전파된다. 위치들(610 및 615)은 이미 설명된 바와 같이, 제 1 펌프 방사선 빔(410) 및 제 2 펌프 방사선 빔(420)이 간섭하도록 충분히 가깝다.
제 1 펌프 방사선 빔(410) 및 제 2 펌프 방사선 빔(420)은 가스와 상호작용하여 제 1 측정 방사선(430) 및 제 2 측정 방사선(435)을 제공한다. 이 측정 방사선(430, 435)은 원하지 않는 방사선 파장들을 억제하는 광학 요소(440)(예를 들어, IR 필터)를 통과한다. 후속하여, 제 1 측정 방사선(430) 및 제 2 측정 방사선(435)은 (비록 위치들이 대안적으로 겹치거나 부분적으로 겹칠 수 있지만) 상이한 위치들에서 타겟(T)을 조명하여, 검출기(도시되지 않음)에 회절된 측정 방사선의 간섭 및 이에 따른 측정가능한 비트 성분을 유도한다.
대안적인 실시예에서, 제 1 및 제 2 펌프 방사선 빔들은 공간적으로가 아니라 시간적으로 분리된다(즉, 이들은 HHG 가스 제트에서 동일한 위치에, 또는 적어도 공간적으로 겹치도록 충분히 가깝게 포커스되지만, 동시에 발사되지는 않는다). 두 펌프 방사선 빔들은 이들이 간섭하도록 이들 사이에 충분히 짧은 지속 시간으로 HHG 가스로 '발사'되어야 한다. 하지만, HHG 가스 제트 내의 가스 원자들이 각각의 발사 사이에 그 '초기 상태'로 돌아가지 않았을 수도 있다. 이러한 실시예에서, 제 1 및 제 2 펌프 방사선 빔들의 발사 간의 지연은, 예를 들어 20 fs 미만일 수 있다.
앞선 설명은 제 1 "주파수 빗" 작동 모드에서 작동하는 HHG 소스에 관하여 논의되었지만, 이 제 1 모드와 설명된 제 2 작동 모드 간의 차이는 단지 스펙트럼 확장뿐이라는 것을 이해하여야 한다. 이는 본 명세서에 설명된 헤테로다인 푸리에 변환 분광법에 대해 본질적인 차이를 만들지 않으며, 설명된 개념들은 이 제 1 및 제 2 작동 모드들 모두에 동등하게 적용가능하다.
도 7은 기판 상의 타겟 또는 다른 구조체를 측정하는 방법의 흐름도이다. 예시적인 방법은 도 3 또는 도 4에 나타낸 것과 같은 검사 장치에서 구현될 수 있다. 앞서 설명된 바와 같이, 통상적인 스케터로미터 기반 검사 장치에서, 방사선의 0차 및/또는 고차(예를 들어, +1차 및/또는 -1차) 회절들이 타겟 구조체의 (오버레이 또는 임계 치수와 같은) 관련 속성을 결정하는 데 사용된다.
제 1 단계(701)에서, HHG 소스(400, 600)와 같은 조명 시스템에 의해 제 1 측정 방사선 및 제 2 측정 방사선이 제공된다. 이미 설명된 바와 같이, 제 1 및 제 2 측정 방사선을 각각 발생시키는 제 1 및 제 2 펌프 방사선 빔들 사이의 파장 오프셋의 결과로서, 제 1 및 제 2 펌프 방사선 빔들에 의해 생성되는 대응하는 고조파들은 간섭하여 상기 제 1 및 제 2 파장들에 의존하는 비트 주파수에서 헤테로다인 신호 또는 비트를 야기한다.
제 2 단계(702)에서, 제 1 및 제 2 측정 방사선에 의해 타겟 구조체(T)가 조명된다. 조합된 측정 방사선은 타겟 구조체에 의해 다수의 회절 차수들로 회절되고, 이들 각각은 비트 성분에 의해 변조된다. 고차 회절 및/또는 0차 회절 방사선은 타겟 구조체의 관심 파라미터가 결정될 정보를 포함한다. 0차 회절 방사선은 타겟 구조체에 의해 회절되지 않고 타겟 구조체에 의해 반사되는 조합된 조명 방사선의 부분을 포함한다.
제 3 단계(703)에서, 반사된 방사선 빔은 검출기 블록(445)과 같은 검출기 블록에서 검출된다. 제 3 단계는 하나, 일부 또는 각각의 회절 차수의 시간에 대한 신호 (세기) 변동으로서 (비트 성분으로 변조된) 산란 방사선을 검출하는 단계를 포함할 수 있다. 일 실시예에서, 양의 고차 회절은 제 1 검출기(예를 들어, 포토다이오드) 상에 포착되고, 음의 고차 회절은 제 2 검출기 상에 포착되며, 0차 회절은 제 3 검출기 상에 포착된다.
제 4 단계(704)에서, 검출된 방사선은 추가 처리를 위해 처리 유닛으로 보내질 수 있다. 특히, 검출된 산란 방사선은 푸리에 변환을 사용하여 스펙트럼으로 분해되어 스펙트럼 분해 측정 데이터를 도출할 수 있다. 또한, 이 단계는 하나의 검출된 회절 차수를 또 다른 검출된 회절 차수로, 예를 들어 고차 회절들 중 1 이상을 0차로 자기-정규화하는 단계를 포함할 수 있다. 이 자기-정규화 단계는 고조파 차수마다 스펙트럼 분해 데이터에 대해 수행될 수 있다. 그 후, 처리 단계는 예를 들어 재구성 또는 비대칭 결정 기술들을 사용하여 스펙트럼 분해 측정 데이터로부터 1 이상의 관심 파라미터를 결정한다.
앞선 기재내용은 HHG 소스에 관하여 조명 소스를 설명하지만, 본 명세서에 기재된 교시들은 이에 제한되지 않으며, 가스 매질을 조명하기 위해 펌프 또는 시드 방사선 소스를 사용하는 다른 조명 소스들이 본 명세서의 범위에 포함된다.
본 명세서에 개시된 조명 소스 및 수반되는 장치들 및 방법들의 장점은 다음을 포함한다:
· 빠른 획득 시간과 함께 높은 스펙트럼 분해능 생성.
· 광-기계 부품들을 이동시키는 요건이 존재하지 않음. 다른 조명 소스들은, 예를 들어 하나의 측정 빔의 다른 것에 대한 경로 길이를 변경하기 위해 1 이상의 광-기계 디바이스의 이동을 사용할 수 있다.
· 조명 세기 변동들을 보정하기 위해 별도의 기준 브랜치에 대한 요건이 존재하지 않음.
· 0차 회절을 분광적으로 측정하기 위해 (타겟의 구역 내의) 별도의 광학 요소에 대한 요건이 존재하지 않음.
· 넓은 스펙트럼 범위(즉, 파장 범위)를 허용하여, 측정되는 정보 내용 및 측정되는 광자들의 양을 증가시킴(광자 산탄 잡음을 억제함).
· 카메라가 회절된 방사선을 검출할 필요가 없고, 대신에 포토다이오드들이 사용될 수 있으며, 이는 판독 잡음 및 가능하게는 비용과 복잡성을 감소시킬 수 있음.
후속한 번호가 매겨진 항목들에서 더 많은 실시예들이 개시된다:
1. 검사 장치를 위한 측정 방사선을 발생시키는 조명 소스에 있어서,
적어도 제 1 측정 방사선 및 제 2 측정 방사선을 발생시키도록 작동가능하여, 상기 제 1 측정 방사선 및 상기 제 2 측정 방사선이 비트 성분으로 변조되는 조합된 측정 방사선을 형성하도록 간섭하게 하는 조명 소스.
2. 1 항에 있어서, 상기 제 1 측정 방사선 및 상기 제 2 측정 방사선은 스펙트럼 가간섭성(spectrally coherent)인 조명 소스.
3. 1 항 또는 2 항에 있어서, 제 1 측정 방사선은 제 1 파장을 중심으로 하고, 제 2 측정 방사선은 제 2 파장을 중심으로 한 적어도 하나의 성분을 포함하는 조명 소스.
4. 3 항에 있어서, 상기 제 1 파장과 상기 제 2 파장 간의 차이는 0.01 nm보다 작은 조명 소스.
5. 1 항 내지 3 항 중 어느 하나에 있어서, 제 1 측정 방사선의 주파수와 제 2 측정 방사선의 주파수 간의 주파수 차이는 10 MHz 미만인 조명 소스.
6. 1 항 내지 3 항 중 어느 하나에 있어서, 제 1 측정 방사선의 주파수와 제 2 측정 방사선의 주파수 간의 주파수 차이는 100 kHz 미만인 조명 소스.
7. 1 항 내지 3 항 중 어느 하나에 있어서, 제 1 측정 방사선의 주파수와 제 2 측정 방사선의 주파수 간의 주파수 차이는 1 kHz 미만인 조명 소스.
8. 1 항 내지 7 항 중 어느 하나에 있어서, 상기 조명 소스는 제 1 측정 방사선의 각각의 고조파 및 제 2 측정 방사선의 대응하는 고조파가 간섭하여, 상기 비트 성분으로 변조되는 조합된 측정 방사선을 형성하도록 작동가능한 고조파 생성 소스인 조명 소스.
9. 8 항에 있어서, 제 1 측정 방사선의 각각의 고조파 및 제 2 측정 방사선의 대응하는 고조파의 파장 차이는 상기 대응하는 고조파들 각각의 대역폭보다 작은 조명 소스.
10. 8 항 또는 9 항에 있어서:
제 1 펌프 파장을 중심으로 하는 제 1 펌프 방사선 빔 및 제 2 펌프 파장을 중심으로 하는 적어도 하나의 성분을 포함한 제 2 펌프 방사선 빔을 발생시키도록 작동가능한 적어도 하나의 펌프 방사선 소스; 및
고조파 생성 매질을 포함하고,
상기 적어도 하나의 펌프 방사선 소스는 상기 제 1 펌프 방사선 빔이 상기 고조파 생성 매질을 여기시켜 상기 제 1 측정 방사선을 생성하고, 상기 제 2 펌프 방사선 빔이 상기 고조파 생성 매질을 여기시켜 상기 제 2 측정 방사선을 생성하도록 배치되는 조명 소스.
11. 10 항에 있어서, 상기 적어도 하나의 펌프 방사선 소스는 상기 제 1 펌프 방사선 빔을 발생시키도록 작동가능한 제 1 펌프 방사선 소스 및 상기 제 2 펌프 방사선 빔을 발생시키도록 작동가능한 제 2 펌프 방사선 소스를 포함하고, 상기 제 1 펌프 방사선 소스 및 상기 제 2 펌프 방사선 소스는 모드-잠금되는 조명 소스.
12. 10 항에 있어서, 상기 적어도 하나의 펌프 방사선 소스는 상기 제 1 펌프 방사선 빔을 발생시키도록 작동가능한 제 1 펌프 방사선 소스 및 상기 제 2 펌프 방사선 빔을 발생시키도록 작동가능한 제 2 펌프 방사선 소스를 포함하고, 상기 제 1 펌프 방사선 소스 및 상기 제 2 펌프 방사선 소스는 위상-잠금되는 조명 소스.
13. 10 항에 있어서, 상기 적어도 하나의 펌프 방사선 소스는 단일 펌프 방사선 소스를 포함하고, 상기 조명 소스는:
각각 상기 제 1 펌프 파장을 중심으로 하는 상기 제 1 펌프 방사선 빔 및 상기 제 2 펌프 방사선 빔을 형성하는 빔 분할 요소; 및
상기 제 2 펌프 방사선 빔을 변조시켜 적어도 상기 제 2 펌프 파장을 중심으로 하도록 작동가능한 변조기 디바이스를 포함하는 조명 소스.
14. 10 항 내지 13 항 중 어느 하나에 있어서, 상기 제 1 펌프 방사선 빔 및 상기 제 2 펌프 방사선 빔은 각각 실질적으로 동시에 상기 고조파 생성 매질 내의 상이한 위치들을 여기시키도록 배치되는 조명 소스.
15. 10 항 내지 13 항 중 어느 하나에 있어서, 상기 제 1 펌프 방사선 빔 및 상기 제 2 펌프 방사선 빔은 각각 상이한 시간에 상기 고조파 생성 매질 내의 실질적으로 동일한 위치를 여기시키도록 배치되는 조명 소스.
16. 고조파 방사선을 발생시키는 조명 소스에 있어서,
적어도 제 1 고조파 방사선 및 제 2 고조파 방사선을 발생시키도록 작동가능하여, 상기 제 1 고조파 방사선 및 상기 제 2 고조파 방사선이 비트 성분으로 변조되는 조합된 고조파 방사선을 형성하도록 간섭하게 하는 조명 소스.
17. 16 항에 있어서, 상기 제 1 고조파 방사선 및 상기 제 2 고조파 방사선은 스펙트럼 가간섭성인 조명 소스.
18. 16 항 또는 17 항에 있어서, 제 1 고조파 방사선은 제 1 파장을 중심으로 하고, 제 2 고조파 방사선은 제 2 파장을 중심으로 한 적어도 하나의 성분을 포함하는 조명 소스.
19. 18 항에 있어서, 상기 제 1 파장과 상기 제 2 파장 간의 차이는 0.01 nm보다 작은 조명 소스.
20. 16 항 내지 18 항 중 어느 하나에 있어서, 제 1 고조파 방사선의 주파수와 제 2 고조파 방사선의 주파수 간의 주파수 차이는 10 MHz 미만인 조명 소스.
21. 16 항 내지 18 항 중 어느 하나에 있어서, 제 1 고조파 방사선의 주파수와 제 2 고조파 방사선의 주파수 간의 주파수 차이는 100 kHz 미만인 조명 소스.
22. 16 항 내지 18 항 중 어느 하나에 있어서, 제 1 고조파 방사선의 주파수와 제 2 고조파 방사선의 주파수 간의 주파수 차이는 1 kHz 미만인 조명 소스.
23. 16 항 내지 22 항 중 어느 하나에 있어서, 제 1 고조파 방사선의 각각의 고조파 및 제 2 고조파 방사선의 대응하는 고조파가 간섭하여, 상기 비트 성분으로 변조되는 조합된 고조파 방사선을 형성하는 조명 소스.
24. 23 항에 있어서, 제 1 고조파 방사선의 상기 고조파 및 제 2 고조파 방사선의 대응하는 고조파의 파장 차이는 상기 대응하는 고조파들 각각의 대역폭보다 작은 조명 소스.
25. 16 항 내지 24 항 중 어느 하나에 있어서:
제 1 펌프 파장을 중심으로 하는 제 1 펌프 방사선 빔 및 제 2 펌프 파장을 중심으로 하는 적어도 하나의 성분을 포함한 제 2 펌프 방사선 빔을 발생시키도록 작동가능한 적어도 하나의 펌프 방사선 소스; 및
고조파 생성 매질을 포함하고,
상기 적어도 하나의 펌프 방사선 소스는 상기 제 1 펌프 방사선 빔이 상기 고조파 생성 매질을 여기시켜 상기 제 1 고조파 방사선을 생성하고, 상기 제 2 펌프 방사선 빔이 상기 고조파 생성 매질을 여기시켜 상기 제 2 고조파 방사선을 생성하도록 배치되는 조명 소스.
26. 25 항에 있어서, 상기 적어도 하나의 펌프 방사선 소스는 상기 제 1 펌프 방사선 빔을 발생시키도록 작동가능한 제 1 펌프 방사선 소스 및 상기 제 2 펌프 방사선 빔을 발생시키도록 작동가능한 제 2 펌프 방사선 소스를 포함하고, 상기 제 1 펌프 방사선 소스 및 상기 제 2 펌프 방사선 소스는 모드-잠금되는 조명 소스.
27. 25 항에 있어서, 상기 적어도 하나의 펌프 방사선 소스는 상기 제 1 펌프 방사선 빔을 발생시키도록 작동가능한 제 1 펌프 방사선 소스 및 상기 제 2 펌프 방사선 빔을 발생시키도록 작동가능한 제 2 펌프 방사선 소스를 포함하고, 상기 제 1 펌프 방사선 소스 및 상기 제 2 펌프 방사선 소스는 위상-잠금되는 조명 소스.
28. 25 항에 있어서, 상기 적어도 하나의 펌프 방사선 소스는 단일 펌프 방사선 소스를 포함하고, 상기 조명 소스는:
각각 상기 제 1 펌프 파장을 중심으로 하는 상기 제 1 펌프 방사선 빔 및 상기 제 2 펌프 방사선 빔을 형성하는 빔 분할 요소; 및
상기 제 2 펌프 방사선 빔을 변조시켜 적어도 상기 제 2 펌프 파장을 중심으로 하도록 작동가능한 변조기 디바이스를 포함하는 조명 소스.
29. 25 항 내지 28 항 중 어느 하나에 있어서, 상기 제 1 펌프 방사선 빔 및 상기 제 2 펌프 방사선 빔은 각각 실질적으로 동시에 상기 고조파 생성 매질 내의 상이한 위치들을 여기시키도록 배치되는 조명 소스.
30. 25 항 내지 28 항 중 어느 하나에 있어서, 상기 제 1 펌프 방사선 빔 및 상기 제 2 펌프 방사선 빔은 각각 상이한 시간에 상기 고조파 생성 매질 내의 실질적으로 동일한 위치를 여기시키도록 배치되는 조명 소스.
31. 검사 장치에 있어서:
비트 성분으로 변조되는 측정 방사선을 제공하도록 작동가능한 1 항 내지 30 항 중 어느 하나에 따른 조명 소스;
측정 방사선으로 타겟 구조체를 조명하여, 비트 성분으로 변조되는 산란 방사선을 유도하도록 작동가능한 조명 시스템;
산란 방사선을 검출하도록 작동가능한 검출기; 및
상기 비트 성분을 이용하여 상기 산란 방사선을 스펙트럼으로 분해하도록 작동가능한 프로세서를 포함하는 검사 장치.
32. 31 항에 있어서, 검출기는 시간 주기에 걸쳐 산란 방사선의 속성의 시간적 변동을 검출하도록 작동가능한 검사 장치.
33. 32 항에 있어서, 상기 프로세서는 상기 산란 방사선을 스펙트럼으로 분해하기 위해 상기 산란 방사선의 속성의 상기 시간적 변동을 변환하도록 작동가능한 검사 장치.
34. 32 항 또는 33 항에 있어서, 상기 검출기는 산란 방사선의 적어도 하나의 회절 차수를 검출하는 적어도 하나의 포토다이오드를 포함하는 검사 장치.
35. 32 항 내지 34 항 중 어느 하나에 있어서, 상기 검출기는 산란 방사선의 적어도 2 개의 상이한 회절 차수들을 검출하는 적어도 2 개의 포토다이오드를 포함하고, 상기 프로세서는 2 개의 상이한 회절 차수들 중 제 1 차수를 사용하여 2 개의 상이한 회절 차수들 중 제 2 차수를 정규화하도록 작동가능한 검사 장치.
36. 35 항에 있어서, 상기 2 개의 상이한 회절 차수들 중 제 2 차수는 0차인 검사 장치.
37. 기판 상의 타겟 구조체를 측정하는 방법에 있어서:
적어도 제 1 측정 방사선 및 제 2 측정 방사선을 발생시켜, 상기 제 1 측정 방사선 및 상기 제 2 측정 방사선이 비트 성분으로 변조되는 조합된 측정 방사선을 형성하도록 간섭하게 하는 단계;
측정 방사선으로 타겟 구조체를 조명하여, 비트 성분으로 변조되는 산란 방사선을 유도하는 단계;
산란 방사선을 검출하는 단계; 및
검출된 산란 방사선을 처리하는 단계를 포함하고, 상기 처리하는 단계는 상기 비트 성분을 이용하여 상기 산란 방사선을 스펙트럼으로 분해하는 단계를 포함하는 방법.
38. 37 항에 있어서, 상기 제 1 측정 방사선 및 상기 제 2 측정 방사선은 스펙트럼 가간섭성인 방법.
39. 37 항 또는 38 항에 있어서, 제 1 측정 방사선은 제 1 파장을 중심으로 하고, 제 2 측정 방사선은 제 2 파장을 중심으로 한 적어도 하나의 성분을 포함하는 방법.
40. 39 항에 있어서, 상기 제 1 파장과 상기 제 2 파장 간의 차이는 0.01 nm보다 작은 방법.
41. 37 항 내지 39 항 중 어느 하나에 있어서, 제 1 측정 방사선의 주파수와 제 2 측정 방사선의 주파수 간의 주파수 차이는 10 MHz 미만인 방법.
42. 37 항 내지 39 항 중 어느 하나에 있어서, 제 1 측정 방사선의 주파수와 제 2 측정 방사선의 주파수 간의 주파수 차이는 100 kHz 미만인 방법.
43. 37 항 내지 39 항 중 어느 하나에 있어서, 제 1 측정 방사선의 주파수와 제 2 측정 방사선의 주파수 간의 주파수 차이는 1 kHz 미만인 방법.
44. 37 항 내지 43 항 중 어느 하나에 있어서, 제 1 측정 방사선 및 제 2 측정 방사선은 고조파 방사선을 포함하고, 제 1 고조파 방사선의 각각의 고조파 및 제 2 고조파 방사선의 대응하는 고조파는 간섭하여, 상기 비트 성분으로 변조되는 조합된 측정 방사선을 형성하는 방법.
45. 44 항에 있어서, 제 1 고조파 방사선의 상기 고조파 및 제 2 고조파 방사선의 대응하는 고조파의 파장 차이는 상기 대응하는 고조파들 각각의 대역폭보다 작은 방법.
46. 37 항 내지 45 항 중 어느 하나에 있어서:
제 1 펌프 파장을 갖는 제 1 펌프 방사선 빔으로 고조파 생성 매질을 여기시켜 상기 제 1 측정 방사선을 발생시키고, 제 2 펌프 파장을 갖는 제 2 펌프 방사선 빔으로 고조파 생성 매질을 여기시켜 상기 제 2 측정 방사선을 발생시키는 단계를 포함하는 방법.
47. 46 항에 있어서, 상기 제 1 펌프 방사선 빔 및 상기 제 2 펌프 방사선 빔은 각각 실질적으로 동시에 상기 고조파 생성 매질 내의 상이한 위치들을 여기시키는 방법.
48. 46 항에 있어서, 상기 제 1 펌프 방사선 빔 및 상기 제 2 펌프 방사선 빔은 각각 상이한 시간에 상기 고조파 생성 매질 내의 실질적으로 동일한 위치를 여기시키는 방법.
49. 37 항 내지 48 항 중 어느 하나에 있어서, 상기 검출하는 단계는 시간 주기에 걸쳐 산란 방사선의 속성의 시간적 변동을 검출하는 단계를 포함하는 방법.
50. 49 항에 있어서, 상기 처리하는 단계는 상기 산란 방사선을 스펙트럼으로 분해하기 위해 상기 산란 방사선의 속성의 상기 시간적 변동을 변환하는 단계를 포함하는 방법.
51. 37 항 내지 50 항 중 어느 하나에 있어서, 상기 검출하는 단계는 산란 방사선의 적어도 2 개의 상이한 회절 차수들을 개별적으로 검출하는 단계, 및 2 개의 상이한 회절 차수들 중 제 1 차수를 사용하여 2 개의 상이한 회절 차수들 중 제 2 차수를 정규화하는 단계를 포함하는 방법.
52. 적절한 프로세서에서 실행되는 경우, 프로세서가 37 항 내지 51 항 중 어느 하나에 따른 방법을 수행하게 하는 기계 판독가능한 명령어들을 포함하는 컴퓨터 프로그램 제품.
53. 검사 장치를 위한 측정 방사선을 발생시키는 조명 소스에 있어서:
제 1 파장을 중심으로 하는 제 1 펌프 방사선 빔 및 제 2 파장을 중심으로 하는 제 2 펌프 방사선 빔을 발생시키도록 작동가능한 적어도 하나의 펌프 방사선 소스; 및
고조파 생성 매질을 포함하고,
상기 적어도 하나의 펌프 방사선 소스는 상기 제 1 펌프 방사선 빔이 상기 고조파 생성 매질을 여기시켜 제 1 측정 방사선을 생성하고, 상기 제 2 펌프 방사선 빔이 상기 고조파 생성 매질을 여기시켜 제 2 측정 방사선을 생성하도록, 및 상기 제 1 측정 방사선 및 상기 제 2 측정 방사선이 간섭하여 비트 성분으로 변조되는 조합된 측정 방사선을 형성하도록 배치되는 조명 소스.
본 명세서에서는, IC 제조에 있어서 리소그래피 장치의 특정 사용예에 대하여 언급되지만, 본 명세서에 서술된 리소그래피 장치는 집적 광학 시스템, 자기 도메인 메모리용 안내 및 검출 패턴, 평판 디스플레이(flat-panel display), 액정 디스플레이(LCD), 박막 자기 헤드 등의 제조와 같이 다른 적용예들을 가질 수도 있음을 이해하여야 한다. 당업자라면, 이러한 대안적인 적용예와 관련하여, 본 명세서의 "웨이퍼" 또는 "다이"라는 용어의 어떠한 사용도 각각 "기판" 또는 "타겟부"라는 좀 더 일반적인 용어와 동의어로 간주될 수도 있음을 이해할 것이다. 본 명세서에서 언급되는 기판은 노광 전후에, 예를 들어 트랙(전형적으로, 기판에 레지스트 층을 도포하고 노광된 레지스트를 현상하는 툴), 메트롤로지 툴 및/또는 검사 툴에서 처리될 수 있다. 적용가능하다면, 이러한 기판 처리 툴과 다른 기판 처리 툴에 본 명세서의 기재내용이 적용될 수 있다. 또한, 예를 들어 다층 IC를 생성하기 위하여 기판이 한 번 이상 처리될 수 있으므로, 본 명세서에 사용되는 기판이라는 용어는 이미 여러 번 처리된 층들을 포함한 기판을 칭할 수도 있다.
이상, 광학 리소그래피와 관련하여 본 발명의 실시예들의 특정 사용예를 언급하였지만, 본 발명은 다른 적용예들, 예를 들어 임프린트 리소그래피에 사용될 수 있으며, 본 명세서가 허용한다면 광학 리소그래피로 제한되지 않는다는 것을 이해할 것이다. 임프린트 리소그래피에서, 패터닝 디바이스 내의 토포그래피는 기판 상에 생성된 패턴을 정의한다. 패터닝 디바이스의 토포그래피는 전자기 방사선, 열, 압력 또는 그 조합을 인가함으로써 레지스트가 경화되는 기판에 공급된 레지스트 층으로 가압될 수 있다. 패터닝 디바이스는 레지스트가 경화된 후에 레지스트로부터 이동되어 그 안에 패턴을 남긴다.
리소그래피 장치에 관하여 사용된 "방사선" 및 "빔"이라는 용어는 이온 빔 또는 전자 빔과 같은 입자 빔뿐만 아니라, (예를 들어, 365, 355, 248, 193, 157 또는 126 nm, 또는 그 정도의 파장을 갖는) 자외(UV) 방사선 및 (예를 들어, 5 내지 20 nm 범위 내의 파장을 갖는) 극자외(EUV) 방사선을 포함하는 모든 형태의 전자기 방사선을 포괄한다.
본 명세서가 허용하는 "렌즈"라는 용어는, 굴절, 반사, 자기, 전자기 및 정전기 광학 구성요소들을 포함하는 다양한 형태의 광학 구성요소들 중 어느 하나 또는 그 조합으로 언급될 수 있다.
특정 실시예들의 앞선 설명은, 당업계의 지식을 적용함으로써, 다양한 적용들에 대해 본 발명의 일반적인 개념을 벗어나지 않고 지나친 실험 없이 이러한 특정 실시예들을 쉽게 변형하고, 및/또는 응용할 수 있도록 본 발명의 일반적인 성질을 전부 드러낼 것이다. 그러므로, 이러한 응용예 및 변형예들은 본 명세서에 나타낸 교시 및 안내에 기초하여, 기재된 실시예들의 균등물의 의미 및 범위 내에 있도록 의도된다. 본 명세서에서, 어구 또는 전문 용어는 예시에 의한 설명을 위한 것이며 제한하려는 것이 아니므로, 당업자라면 본 명세서의 전문 용어 또는 어구가 교시 및 안내를 고려하여 해석되어야 한다는 것을 이해하여야 한다.
본 발명의 범위와 폭은 상술된 예시적인 실시예들 중 어느 것에 의해서도 제한되지 않아야 하며, 다음의 청구항 및 그 균등물에 따라서만 정의되어야 한다.

Claims (15)

  1. 검사 장치를 위한 측정 방사선을 발생시키는 조명 소스로서,
    적어도 제 1 측정 방사선 및 제 2 측정 방사선을 발생시키도록 작동가능하여, 상기 제 1 측정 방사선 및 상기 제 2 측정 방사선이 비트 성분(beat component)으로 변조되는 조합된 측정 방사선을 형성하도록 간섭하게 하고, 상기 조명 소스는 고조파 생성 소스(high harmonic generation source)이며,
    상기 조명 소스로부터의 측정 방사선은 오버레이 또는 임계 치수 중 적어도 어느 하나를 측정하도록 구성된 조명 소스.
  2. 제 1 항에 있어서,
    상기 제 1 측정 방사선 및 상기 제 2 측정 방사선은 스펙트럼 가간섭성(spectrally coherent)인 조명 소스.
  3. 제 1 항에 있어서,
    상기 제 1 측정 방사선은 제 1 파장을 중심으로 하고, 상기 제 2 측정 방사선은 제 2 파장을 중심으로 하는 적어도 하나의 성분을 포함하는 조명 소스.
  4. 제 3 항에 있어서,
    상기 제 1 파장과 상기 제 2 파장 간의 차이는 0.01 nm보다 작은 조명 소스.
  5. 제 1 항에 있어서,
    상기 제 1 측정 방사선의 주파수와 상기 제 2 측정 방사선의 주파수 간의 주파수 차이는 10 MHz 미만, 또는 100 kHz 미만, 또는 1 kHz 미만인 조명 소스.
  6. 제 1 항 내지 제 5 항 중 어느 한 항에 있어서,
    상기 제 1 측정 방사선의 각각의 고조파 및 상기 제 2 측정 방사선의 대응하는 고조파가 간섭하여, 상기 비트 성분으로 변조되는 조합된 측정 방사선을 형성하도록 작동가능한 조명 소스.
  7. 제 6 항에 있어서,
    상기 제 1 측정 방사선의 각각의 고조파 및 상기 제 2 측정 방사선의 대응하는 고조파의 파장 차이는 상기 대응하는 고조파들 각각의 대역폭보다 작은 조명 소스.
  8. 제 6 항에 있어서:
    제 1 펌프 파장을 중심으로 하는 제 1 펌프 방사선 빔 및 제 2 펌프 파장을 중심으로 하는 적어도 하나의 성분을 포함한 제 2 펌프 방사선 빔을 발생시키도록 작동가능한 적어도 하나의 펌프 방사선 소스; 및
    고조파 생성 매질(high harmonic generating medium)을 포함하고,
    상기 적어도 하나의 펌프 방사선 소스는 상기 제 1 펌프 방사선 빔이 상기 고조파 생성 매질을 여기(excite)시켜 상기 제 1 측정 방사선을 생성하고, 상기 제 2 펌프 방사선 빔이 상기 고조파 생성 매질을 여기시켜 상기 제 2 측정 방사선을 생성하도록 배치되는 조명 소스.
  9. 제 8 항에 있어서,
    상기 적어도 하나의 펌프 방사선 소스는 상기 제 1 펌프 방사선 빔을 발생시키도록 작동가능한 제 1 펌프 방사선 소스 및 상기 제 2 펌프 방사선 빔을 발생시키도록 작동가능한 제 2 펌프 방사선 소스를 포함하고, 상기 제 1 펌프 방사선 소스 및 상기 제 2 펌프 방사선 소스는 모드-잠금(mode-locked)되거나 위상-잠금(phase-locked)되는 조명 소스.
  10. 제 8 항에 있어서,
    상기 적어도 하나의 펌프 방사선 소스는 단일 펌프 방사선 소스를 포함하고, 상기 조명 소스는:
    각각 상기 제 1 펌프 파장을 중심으로 하는 상기 제 1 펌프 방사선 빔 및 상기 제 2 펌프 방사선 빔을 형성하는 빔 분할 요소; 및
    상기 제 2 펌프 방사선 빔을 변조시켜 적어도 상기 제 2 펌프 파장을 중심으로 하도록 작동가능한 변조기 디바이스를 포함하는 조명 소스.
  11. 제 8 항에 있어서,
    상기 제 1 펌프 방사선 빔 및 상기 제 2 펌프 방사선 빔은 각각 실질적으로 동시에 상기 고조파 생성 매질 내의 상이한 위치들을 여기시키도록 배치되는 조명 소스.
  12. 검사 장치로서,
    비트 성분으로 변조되는 측정 방사선을 제공하도록 작동가능한 제 1 항 내지 제 5 항 중 어느 한 항에 따른 조명 소스;
    상기 측정 방사선으로 타겟 구조체를 조명하여, 상기 비트 성분으로 변조되는 산란 방사선을 유도하도록 작동가능한 조명 시스템;
    상기 산란 방사선을 검출하도록 작동가능한 검출기; 및
    상기 비트 성분을 이용하여 상기 산란 방사선을 스펙트럼으로 분해하도록 작동가능한 프로세서
    를 포함하는 검사 장치.
  13. 기판 상의 타겟 구조체를 측정하는 방법으로서:
    고조파 생성 소스에서, 적어도 제 1 측정 방사선 및 제 2 측정 방사선을 발생시켜, 상기 제 1 측정 방사선 및 상기 제 2 측정 방사선이 비트 성분으로 변조되는 조합된 측정 방사선을 형성하도록 간섭하게 하는 단계;
    상기 측정 방사선으로 상기 타겟 구조체를 조명하여, 상기 비트 성분으로 변조되는 산란 방사선을 유도하는 단계;
    상기 산란 방사선을 검출하는 단계; 및
    검출된 산란 방사선을 처리하는 단계
    를 포함하고, 상기 처리하는 단계는 상기 비트 성분을 이용하여 상기 산란 방사선을 스펙트럼으로 분해하는 단계를 포함하고,
    상기 측정 방사선은 오버레이 또는 임계 치수 중 적어도 어느 하나를 측정하도록 구성된 방법.
  14. 기록 매체에 저장된 컴퓨터 프로그램으로서,
    상기 컴퓨터 프로그램은, 적절한 프로세서에서 실행되는 경우, 상기 프로세서가 제 13 항에 따른 방법을 수행하게 하는 기계 판독가능한 명령어들을 포함하는, 기록 매체에 저장된 컴퓨터 프로그램.
  15. 검사 장치를 위한 측정 방사선을 발생시키는 조명 소스로서:
    제 1 파장을 중심으로 하는 제 1 펌프 방사선 빔 및 제 2 파장을 중심으로 하는 제 2 펌프 방사선 빔을 발생시키도록 작동가능한 적어도 하나의 펌프 방사선 소스; 및
    고조파 생성 매질
    을 포함하고,
    상기 적어도 하나의 펌프 방사선 소스는 상기 제 1 펌프 방사선 빔이 상기 고조파 생성 매질을 여기시켜 제 1 측정 방사선을 생성하고, 상기 제 2 펌프 방사선 빔이 상기 고조파 생성 매질을 여기시켜 제 2 측정 방사선을 생성하도록, 및 상기 제 1 측정 방사선 및 상기 제 2 측정 방사선이 간섭하여 비트 성분으로 변조되는 조합된 측정 방사선을 형성하도록 배치되고,
    상기 조명 소스로부터의 측정 방사선은 오버레이 또는 임계 치수 중 적어도 어느 하나를 측정하도록 구성된 조명 소스.
KR1020197002901A 2016-07-05 2017-06-13 검사 장치를 위한 조명 소스, 검사 장치 및 검사 방법 KR102217202B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
EP16178048 2016-07-05
EP16178048.1 2016-07-05
EP16181778.8A EP3276419A1 (en) 2016-07-28 2016-07-28 Illumination source for an inspection apparatus, inspection apparatus and inspection method
EP16181778.8 2016-07-28
PCT/EP2017/064362 WO2018007108A1 (en) 2016-07-05 2017-06-13 Illumination source for an inspection apparatus, inspection apparatus and inspection method

Publications (2)

Publication Number Publication Date
KR20190025657A KR20190025657A (ko) 2019-03-11
KR102217202B1 true KR102217202B1 (ko) 2021-02-19

Family

ID=59034797

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020197002901A KR102217202B1 (ko) 2016-07-05 2017-06-13 검사 장치를 위한 조명 소스, 검사 장치 및 검사 방법

Country Status (6)

Country Link
US (1) US10267744B2 (ko)
KR (1) KR102217202B1 (ko)
CN (1) CN109478019A (ko)
IL (1) IL263774B (ko)
TW (1) TWI631321B (ko)
WO (1) WO2018007108A1 (ko)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP3321739A1 (en) 2016-11-11 2018-05-16 ASML Netherlands B.V. Illumination source for an inspection apparatus, inspection apparatus and inspection method
EP3570109A1 (en) * 2018-05-14 2019-11-20 ASML Netherlands B.V. Illumination source for an inspection apparatus, inspection apparatus and inspection method
NL2021852A (en) * 2018-08-01 2018-11-09 Asml Netherlands Bv Metrology apparatus and method for determining a characteristic of one or more structures on a substrate
EP3623868A1 (en) * 2018-09-12 2020-03-18 ASML Netherlands B.V. Metrology apparatus and method for determining a characteristic of one or more structures on a substrate
EP3878007A4 (en) 2018-11-07 2022-08-10 Applied Materials, Inc. FORMATION OF INCLINED NETWORKS

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4710026A (en) 1985-03-22 1987-12-01 Nippon Kogaku K. K. Position detection apparatus
US5682239A (en) 1994-09-19 1997-10-28 Canon Kabushiki Kaisha Apparatus for detecting positional deviation of diffraction gratings on a substrate by utilizing optical heterodyne interference of light beams incident on the gratings from first and second light emitters
US20110140009A1 (en) 2009-12-14 2011-06-16 Massachusetts Institute Of Technology Efficient High-Harmonic-Generation-Based EUV Source Driven by Short Wavelength Light

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4193879A (en) * 1977-04-25 1980-03-18 Leach Sam L Apparatus for powerful energy transfer technique
US4113589A (en) * 1977-04-25 1978-09-12 Leach Sam L High intensity energy transfer technique
DE4446183B4 (de) * 1994-12-23 2005-06-02 Carl Zeiss Jena Gmbh Anordnung zur Messung intraokularer Distanzen
US6335625B1 (en) * 1999-02-22 2002-01-01 Paul Bryant Programmable active microwave ultrafine resonance spectrometer (PAMURS) method and systems
US6831935B2 (en) * 2001-03-29 2004-12-14 The Regents Of The University Of Colorado Multistage synchronization of pulsed radiation sources
US7791727B2 (en) 2004-08-16 2010-09-07 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
EP1988425B1 (en) * 2007-05-04 2014-07-02 Max-Planck-Gesellschaft zur Förderung der Wissenschaften e.V. Method and apparatus for optical frequency comb generation using a monolithic microresonator
EP2083319B1 (en) * 2008-01-25 2013-07-17 Max-Planck-Gesellschaft zur Förderung der Wissenschaften e.V. Intra-cavity generation of pulsed coherent radiation in the UV or XUV wavelength range
RS52177B (en) 2008-02-11 2012-08-31 Basf Se WOOD-FREE FORMALDEHYDE BINDING MATERIAL
NL1036857A1 (nl) 2008-04-21 2009-10-22 Asml Netherlands Bv Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method.
WO2012009108A2 (en) * 2010-06-28 2012-01-19 Carl Zeiss Sms Ltd. Controllable transmission and phase compensation of transparent material
WO2012022584A1 (en) 2010-08-18 2012-02-23 Asml Netherlands B.V. Substrate for use in metrology, metrology method and device manufacturing method
NL2009902A (en) * 2011-12-27 2013-07-01 Asml Netherlands Bv Lithographic apparatus and device manufacturing method.
JP2013152191A (ja) * 2012-01-26 2013-08-08 Canon Inc 多波長干渉計
KR101704591B1 (ko) * 2012-02-21 2017-02-08 에이에스엠엘 네델란즈 비.브이. 검사 장치 및 방법
WO2013169626A1 (en) 2012-05-05 2013-11-14 Trustees Of Boston University High-power fiber laser employing nonlinear wave mixing with higher-order modes
GB2502142A (en) * 2012-05-18 2013-11-20 Isis Innovation High harmonic optical generator which rotates polarization
KR102015934B1 (ko) * 2012-07-05 2019-08-29 에이에스엠엘 네델란즈 비.브이. 리소그래피를 위한 계측법
CN104685414B (zh) * 2012-07-31 2017-12-22 株式会社尼康 激光装置、具备该激光装置的曝光装置以及检查装置
CN106662824B (zh) * 2014-07-09 2018-07-24 Asml荷兰有限公司 检查装置、检查方法和设备制造方法
WO2016050453A1 (en) * 2014-10-03 2016-04-07 Asml Netherlands B.V. Focus monitoring arrangement and inspection apparatus including such an arragnement
CN104330398B (zh) 2014-11-20 2017-03-29 福建师范大学 一种多模式非线性光学显微成像方法及装置
KR20180096741A (ko) * 2015-12-23 2018-08-29 에이에스엠엘 네델란즈 비.브이. 리소그래피 장치 및 측정 수행 방법
EP3296723A1 (en) * 2016-09-14 2018-03-21 ASML Netherlands B.V. Illumination source for an inspection apparatus, inspection apparatus and inspection method

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4710026A (en) 1985-03-22 1987-12-01 Nippon Kogaku K. K. Position detection apparatus
US5682239A (en) 1994-09-19 1997-10-28 Canon Kabushiki Kaisha Apparatus for detecting positional deviation of diffraction gratings on a substrate by utilizing optical heterodyne interference of light beams incident on the gratings from first and second light emitters
US20110140009A1 (en) 2009-12-14 2011-06-16 Massachusetts Institute Of Technology Efficient High-Harmonic-Generation-Based EUV Source Driven by Short Wavelength Light

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
CONFERNCE ON LASERS AND ELECTRO OPTICS, OPTICAL SOCIETY OF AMERICA, 2008, PAGES 1-2.

Also Published As

Publication number Publication date
WO2018007108A1 (en) 2018-01-11
KR20190025657A (ko) 2019-03-11
CN109478019A (zh) 2019-03-15
US10267744B2 (en) 2019-04-23
US20180011029A1 (en) 2018-01-11
IL263774A (en) 2019-01-31
TW201805603A (zh) 2018-02-16
IL263774B (en) 2022-04-01
TWI631321B (zh) 2018-08-01

Similar Documents

Publication Publication Date Title
KR102392704B1 (ko) 리소그래피 장치 및 측정 수행 방법
TWI692634B (zh) 用於檢測裝置之照明源、檢測裝置及檢測方法
CN107924132B (zh) 检查设备、检查方法和制造方法
KR101129332B1 (ko) 검사 장치, 리소그래피 장치, 리소그래피 처리 셀 및 검사 방법
JP5280555B2 (ja) 検査装置および方法、リソグラフィ装置、リソグラフィ処理セル、およびデバイス製造方法
US7656518B2 (en) Method of measuring asymmetry in a scatterometer, a method of measuring an overlay error in a substrate and a metrology apparatus
KR102217202B1 (ko) 검사 장치를 위한 조명 소스, 검사 장치 및 검사 방법
US7564555B2 (en) Method and apparatus for angular-resolved spectroscopic lithography characterization
US7869022B2 (en) Inspection method and apparatus lithographic apparatus, lithographic processing cell, device manufacturing method and distance measuring system
JP4980264B2 (ja) 検査方法、デバイス製造方法、検査装置、基板、マスク、リソグラフィ装置、及びリソグラフィセル
US9529278B2 (en) Inspection apparatus to detect a target located within a pattern for lithography
US20080144036A1 (en) Method of measurement, an inspection apparatus and a lithographic apparatus
NL1036468A1 (nl) Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method.
JP2008139303A (ja) 検査方法、検査装置、リソグラフィ装置、リソグラフィ処理セル、およびデバイス製造方法
JP4875685B2 (ja) ターゲットパターンのパラメータを割り出す方法、ライブラリを生成する方法、検査装置、リソグラフィ装置、リソグラフィセル、及びコンピュータプログラム
EP3276419A1 (en) Illumination source for an inspection apparatus, inspection apparatus and inspection method

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right