CN107430352B - 量测方法、量测设备和器件制造方法 - Google Patents
量测方法、量测设备和器件制造方法 Download PDFInfo
- Publication number
- CN107430352B CN107430352B CN201680017204.3A CN201680017204A CN107430352B CN 107430352 B CN107430352 B CN 107430352B CN 201680017204 A CN201680017204 A CN 201680017204A CN 107430352 B CN107430352 B CN 107430352B
- Authority
- CN
- China
- Prior art keywords
- radiation
- substrate
- periodic structure
- spectrum
- angle
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Active
Links
- 238000004519 manufacturing process Methods 0.000 title claims abstract description 41
- 238000000034 method Methods 0.000 title claims description 203
- 230000005855 radiation Effects 0.000 claims abstract description 183
- 230000000737 periodic effect Effects 0.000 claims abstract description 131
- 238000001228 spectrum Methods 0.000 claims abstract description 128
- 239000000758 substrate Substances 0.000 claims description 213
- 230000008569 process Effects 0.000 claims description 60
- 238000000059 patterning Methods 0.000 claims description 38
- 238000005286 illumination Methods 0.000 claims description 31
- 238000001514 detection method Methods 0.000 claims description 29
- 238000012545 processing Methods 0.000 claims description 25
- 239000004065 semiconductor Substances 0.000 claims description 16
- 230000001678 irradiating effect Effects 0.000 claims description 15
- 230000002452 interceptive effect Effects 0.000 claims description 5
- 238000012937 correction Methods 0.000 claims description 3
- 230000003595 spectral effect Effects 0.000 abstract description 16
- 238000005259 measurement Methods 0.000 description 76
- 239000000047 product Substances 0.000 description 33
- 238000002310 reflectometry Methods 0.000 description 32
- 230000003287 optical effect Effects 0.000 description 29
- 239000010410 layer Substances 0.000 description 28
- 239000000306 component Substances 0.000 description 27
- 239000000463 material Substances 0.000 description 27
- 238000009304 pastoral farming Methods 0.000 description 25
- 238000001459 lithography Methods 0.000 description 19
- 238000000985 reflectance spectrum Methods 0.000 description 18
- 235000012431 wafers Nutrition 0.000 description 13
- 230000035515 penetration Effects 0.000 description 12
- 230000005540 biological transmission Effects 0.000 description 11
- 238000004364 calculation method Methods 0.000 description 10
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 9
- 229910052710 silicon Inorganic materials 0.000 description 9
- 239000010703 silicon Substances 0.000 description 9
- 238000000235 small-angle X-ray scattering Methods 0.000 description 9
- 230000008901 benefit Effects 0.000 description 8
- 239000007789 gas Substances 0.000 description 8
- 238000005516 engineering process Methods 0.000 description 7
- 238000005530 etching Methods 0.000 description 7
- 238000004458 analytical method Methods 0.000 description 6
- 238000013461 design Methods 0.000 description 6
- 238000012544 monitoring process Methods 0.000 description 5
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 description 4
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 4
- 230000008859 change Effects 0.000 description 4
- 230000000694 effects Effects 0.000 description 4
- 239000001257 hydrogen Substances 0.000 description 4
- 229910052739 hydrogen Inorganic materials 0.000 description 4
- 238000007689 inspection Methods 0.000 description 4
- 238000000691 measurement method Methods 0.000 description 4
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 description 3
- 102100029469 WD repeat and HMG-box DNA-binding protein 1 Human genes 0.000 description 3
- 101710097421 WD repeat and HMG-box DNA-binding protein 1 Proteins 0.000 description 3
- 238000000333 X-ray scattering Methods 0.000 description 3
- 229910052786 argon Inorganic materials 0.000 description 3
- 238000004590 computer program Methods 0.000 description 3
- 238000010586 diagram Methods 0.000 description 3
- 230000009977 dual effect Effects 0.000 description 3
- 230000005670 electromagnetic radiation Effects 0.000 description 3
- 238000001900 extreme ultraviolet lithography Methods 0.000 description 3
- 238000012804 iterative process Methods 0.000 description 3
- 230000033001 locomotion Effects 0.000 description 3
- 239000012528 membrane Substances 0.000 description 3
- 238000012986 modification Methods 0.000 description 3
- 230000004048 modification Effects 0.000 description 3
- 229910052757 nitrogen Inorganic materials 0.000 description 3
- 238000000206 photolithography Methods 0.000 description 3
- 230000002829 reductive effect Effects 0.000 description 3
- 230000035945 sensitivity Effects 0.000 description 3
- 229910052581 Si3N4 Inorganic materials 0.000 description 2
- 238000000137 annealing Methods 0.000 description 2
- 238000000576 coating method Methods 0.000 description 2
- 230000002950 deficient Effects 0.000 description 2
- 238000011161 development Methods 0.000 description 2
- 238000009826 distribution Methods 0.000 description 2
- 238000010894 electron beam technology Methods 0.000 description 2
- 238000007667 floating Methods 0.000 description 2
- 238000007726 management method Methods 0.000 description 2
- 230000007246 mechanism Effects 0.000 description 2
- 239000000203 mixture Substances 0.000 description 2
- 230000010287 polarization Effects 0.000 description 2
- 238000002360 preparation method Methods 0.000 description 2
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 2
- 238000004088 simulation Methods 0.000 description 2
- 238000012876 topography Methods 0.000 description 2
- 238000012546 transfer Methods 0.000 description 2
- 108010001267 Protein Subunits Proteins 0.000 description 1
- ATJFFYVFTNAWJD-UHFFFAOYSA-N Tin Chemical compound [Sn] ATJFFYVFTNAWJD-UHFFFAOYSA-N 0.000 description 1
- 238000000560 X-ray reflectometry Methods 0.000 description 1
- 238000002083 X-ray spectrum Methods 0.000 description 1
- 230000004308 accommodation Effects 0.000 description 1
- 230000002238 attenuated effect Effects 0.000 description 1
- 230000009286 beneficial effect Effects 0.000 description 1
- 239000006227 byproduct Substances 0.000 description 1
- 238000003486 chemical etching Methods 0.000 description 1
- 238000012993 chemical processing Methods 0.000 description 1
- 239000011248 coating agent Substances 0.000 description 1
- 238000005094 computer simulation Methods 0.000 description 1
- 230000001143 conditioned effect Effects 0.000 description 1
- 239000008358 core component Substances 0.000 description 1
- 238000013500 data storage Methods 0.000 description 1
- 230000003247 decreasing effect Effects 0.000 description 1
- 230000008021 deposition Effects 0.000 description 1
- 238000001493 electron microscopy Methods 0.000 description 1
- 238000009472 formulation Methods 0.000 description 1
- 239000011521 glass Substances 0.000 description 1
- 238000001341 grazing-angle X-ray diffraction Methods 0.000 description 1
- 150000002431 hydrogen Chemical class 0.000 description 1
- 238000007654 immersion Methods 0.000 description 1
- 238000000671 immersion lithography Methods 0.000 description 1
- 238000005468 ion implantation Methods 0.000 description 1
- 238000010884 ion-beam technique Methods 0.000 description 1
- 229910052743 krypton Inorganic materials 0.000 description 1
- 239000007788 liquid Substances 0.000 description 1
- 238000011068 loading method Methods 0.000 description 1
- 238000013507 mapping Methods 0.000 description 1
- 238000013178 mathematical model Methods 0.000 description 1
- 229910052754 neon Inorganic materials 0.000 description 1
- 238000010606 normalization Methods 0.000 description 1
- 230000003647 oxidation Effects 0.000 description 1
- 238000007254 oxidation reaction Methods 0.000 description 1
- 238000004806 packaging method and process Methods 0.000 description 1
- 230000036961 partial effect Effects 0.000 description 1
- 239000002245 particle Substances 0.000 description 1
- 229920002120 photoresistant polymer Polymers 0.000 description 1
- 230000000704 physical effect Effects 0.000 description 1
- 238000000053 physical method Methods 0.000 description 1
- 238000001020 plasma etching Methods 0.000 description 1
- 238000005498 polishing Methods 0.000 description 1
- 238000000513 principal component analysis Methods 0.000 description 1
- 238000004886 process control Methods 0.000 description 1
- 210000001747 pupil Anatomy 0.000 description 1
- 238000003908 quality control method Methods 0.000 description 1
- 230000009467 reduction Effects 0.000 description 1
- 238000001028 reflection method Methods 0.000 description 1
- 239000004576 sand Substances 0.000 description 1
- 238000000790 scattering method Methods 0.000 description 1
- 239000002210 silicon-based material Substances 0.000 description 1
- 239000002356 single layer Substances 0.000 description 1
- 239000007787 solid Substances 0.000 description 1
- 238000012306 spectroscopic technique Methods 0.000 description 1
- 239000013077 target material Substances 0.000 description 1
- 230000002123 temporal effect Effects 0.000 description 1
- 238000012795 verification Methods 0.000 description 1
- 229910052724 xenon Inorganic materials 0.000 description 1
Images
Classifications
-
- G—PHYSICS
- G01—MEASURING; TESTING
- G01N—INVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
- G01N21/00—Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
- G01N21/84—Systems specially adapted for particular applications
- G01N21/88—Investigating the presence of flaws or contamination
- G01N21/95—Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
- G01N21/956—Inspecting patterns on the surface of objects
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/70—Microphotolithographic exposure; Apparatus therefor
- G03F7/70483—Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
- G03F7/70605—Workpiece metrology
- G03F7/70616—Monitoring the printed patterns
- G03F7/70625—Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness
-
- G—PHYSICS
- G01—MEASURING; TESTING
- G01N—INVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
- G01N21/00—Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
- G01N21/84—Systems specially adapted for particular applications
- G01N21/88—Investigating the presence of flaws or contamination
- G01N21/8806—Specially adapted optical and illumination features
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/20—Exposure; Apparatus therefor
- G03F7/2002—Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
- G03F7/2004—Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by the use of a particular light source, e.g. fluorescent lamps or deep UV light
-
- G—PHYSICS
- G01—MEASURING; TESTING
- G01N—INVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
- G01N21/00—Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
- G01N21/84—Systems specially adapted for particular applications
- G01N21/88—Investigating the presence of flaws or contamination
- G01N21/95—Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
- G01N21/956—Inspecting patterns on the surface of objects
- G01N2021/95676—Masks, reticles, shadow masks
Landscapes
- Physics & Mathematics (AREA)
- General Physics & Mathematics (AREA)
- Health & Medical Sciences (AREA)
- Life Sciences & Earth Sciences (AREA)
- Chemical & Material Sciences (AREA)
- Analytical Chemistry (AREA)
- Biochemistry (AREA)
- General Health & Medical Sciences (AREA)
- Immunology (AREA)
- Pathology (AREA)
- Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
- Length Measuring Devices By Optical Means (AREA)
Abstract
Description
相关申请的交叉引用
本申请要求2016年3月25日提交的EP申请15160786.8的优先权,并且该申请的全部内容通过引用并入本文。
技术领域
本发明涉及用于例如可用在通过光刻技术进行的器件的制造中的量测的方法和设备,并涉及使用光刻技术制造器件的方法。描述了测量临界尺寸(线宽)的方法,作为这样的量测的特别的应用。还描述了测量诸如重叠等的不对称性相关参数的方法。
背景技术
光刻设备是将期望的图案施加到衬底上、通常到衬底的目标部分上的机器。光刻设备可以例如用在集成电路(IC)的制造中。在这种情况下,备选地称为掩模或掩模版的图案形成装置可以用于生成要形成在IC的单独层上的电路图案。该图案可以被转移到衬底(例如,硅晶片)上的目标部分(例如,包括部分裸片、一个或若干裸片)上。
在光刻工艺中,频繁地期望进行对所创建的结构的测量,例如用于工艺控制和验证。用于进行这样的测量的各种工具是已知的,包括往往用来测量临界尺寸(CD)的扫描电子显微镜(SEM)。其他专业工具用来测量与不对称性相关的参数。这些参数中的一个是重叠,即器件中的两个层的对准的准确度。近年来,已开发出各种形式的散射仪用于在光刻领域中使用。这些装置将辐射的射束引导到目标上,并测量散射的辐射的一个或多个性质—例如,根据波长变化的在单个反射角度处的强度;根据反射角度变化的在一个或多个波长处的强度;或者根据反射角度变化的偏振—以获得可以从其确定目标的感兴趣的性质的“光谱”。感兴趣的性质的确定可以通过各种技术来执行:例如,通过诸如严格耦合波分析或有限元法等的迭代手段进行的对目标结构的重建;库检索;和主成分分析。与SEM技术相比,光学散射仪可以以高得多的吞吐量用在占产品单元的一大部分或者甚至全部上。
传统散射仪所使用的目标是相对大的、例如40μm×40μm的光栅,并且测量射束生成了小于该光栅的光斑(即,光栅被欠填充)。为了将目标的尺寸减小至例如10μm×10μm或更小(例如因此它们可以被定位在产品特征之中,而不是在划道中),已提出了其中光栅被制作得小于测量光斑(即,光栅被过填充)的所谓的“小目标”量测。这些目标可以小于照射光斑并且可以被晶片上的产品结构包围。典型地,小目标被用于重叠和可从光栅结构中的不对称性的测量导出的其他性能参数的测量。通过将目标放置在产品特征(“裸片内目标”)之中,希望增加测量的准确度。提高的准确度是期望的,例如,因为裸片内目标以更类似于产品特征的方式受到工艺变化的影响,并且可以需要较少内插来确定工艺变化在实际特征部位处的影响。重叠目标的这些光学测量已在提高批量生产中的重叠性能上非常成功。
然而,随着技术的发展,性能规范变得比以往更严。此外,还没有开发出用于诸如线宽或临界尺寸(CD)等的其他参数的测量的小目标技术。当前方法的进一步限制在于它们被用比真实产品特征的典型尺寸大得多的光学波长制得。特别的感兴趣的参数是线宽(CD),并且尚未设计出用于CD测量的合适的小目标方法。
作为光学量测方法的备选方案,也考虑了使用X射线来测量半导体器件中的重叠。一个技术被称作透射小角度X射线散射或T-SAXS。应用于重叠的测量的T-SAXS设备被公开在US 2007224518A(Yokhin等人,Jordan Valley)中,并且该申请的内容通过引用并入本文。Lemaillet等人在2013年8681次SPIE会议记录“FinFET结构的光学和X射线散射法测量之间的比对”(Lemaillet et al in“Intercomparison between optical and X-rayscatterometry measurements of FinFET structures”,Proc.of SPIE,2013,8681)中讨论了使用T-SAXS进行的轮廓(CD)测量。T-SAXS使用小于1nm的波长的X射线,并因此用于T-SAXS的目标可以由产品类特征制成。不幸的是,T-SAXS信号趋向于非常弱,尤其是当目标尺寸小时。因此测量趋向于用于在大批量制造中使用时太耗时。T-SAXS设备可以测量小到足以被考虑用于在产品特征之中的放置的目标。不幸的是,小的目标尺寸要求小的光斑尺寸并且结果是要求甚至更长的测量时间。
已知以掠入射使用X射线(GI-XRS)和极紫外(EUV)辐射的反射法技术用于测量衬底上的膜和堆叠层的性质。在反射法的一般领域内,可以应用测角技术和/或光谱技术。在测角法(goniometry)中,测量具有不同入射角的反射射束的变化。另一方面,光谱反射法测量以给定角度(使用宽带辐射)反射的波长的光谱。例如,在用于在EUV光刻中使用的掩模版的制造之前,EUV反射法已被用于掩模坯的检查。例如已由S Danylyuk等人在Phys.StatusSolidi C 12,3,pp318-322(2015)的“用于薄膜和界面的分析的多角度光谱EUV反射法”(SDanylyuk et al in“Multi-angle spectroscopic EUV reflectometry for analysis ofthin films and interfaces”,Phys.Status Solidi C 12,3,pp.318–322(2015))中描述了在这些技术上进行的研究。然而,这样的测量与周期性结构中的CD的测量不同。此外,特别地鉴于所涉及的非常浅的掠入射角,这些已知技术中没有一个适用于在诸如裸片内光栅等的小目标上的量测。
发明内容
本发明旨在提供一种克服了上面所描述的光学和X射线方法的缺陷中的一个或多个的小目标量测的备选方法。特别的期望是测量例如在半导体沉底上的产品区域内的位置处的参数,同时提高了可以执行这样的测量所采用的速度并且同时适于通过当前和未来的光刻技术制成的较小尺寸的特征。
本发明在第一方面提供了一种测量通过光刻工艺制造的结构的性质的方法,方法包括:
(a)沿着辐照方向用辐射的射束辐照周期性结构,周期性结构已通过所述光刻工艺形成在衬底上并且具有在至少第一方向上的周期性,辐射包括在1nm至100nm的范围内的多个波长,辐照方向从与衬底平行的方向偏离大于2°;
(b)检测由周期性结构反射的辐射的光谱,和
(c)处理表示检测到的光谱的信号以确定周期性结构的性质。
本发明人认识到,极紫外(EUV)波带中的辐射为具有周期性结构的形式的小量测目标的CD和其他性质的量测赋予了特别的优点。与通常实践的光学散射法相比,EUV射线不会受到下面的特征的强烈影响,并且周期性结构自身的建模作为结果可以更准确。与X射线相比,存在有使EUV辐射聚焦至较细小的光斑而没有过度功率损失的潜力。与X射线相比,存在有使用高得多的入射角度的潜力。通过另外提供用于目标的照射和检测的合适的EUV光学系统,EUV辐射可以形成为对于裸片内量测来说足够小的光斑,即使当光斑通过掠入射而被拉长时。为了获得用于CD量测的充分的信息,可以测量横跨一定范围的EUV波长的光谱性质。
对从1nm至100nm或1nm至150nm的一定范围波长的引用并不旨在意味着设备或方法应该使用横跨该整个波范围的波长,或者即使能够这样做。单独的实现可以选取以跨越该范围的仅子集的波长来工作。适当的范围将取决于合适的源的可用性,和要测量的结构的尺寸。
可选地,执行该方法使得辐照的方向处于由第一方向和正交于衬底的方向限定的平面之外,以便限定出当从衬底观察时相对于第一方向的非零方位角。本文中所公开的方法可以使用已被确定为使测量的准确度优化的方位角来执行。方位角可以是例如大于15度、30度、45度,甚至直到80度,如果期望的话。非零方位角可以通过使用在其他测量技术中被称为锥形安装(conical mount)的方式来获得。
本发明人认识到,通过在EUV反射法中使用锥形安装,对于CD量测,方位角被选择成使得周期性结构在一个或多个非零衍射阶中的衍射效率大于对于零方位角的辐照方向的情况。取决于目标结构和材料,对于其结构的测量重要的零和/或更高衍射阶中的光谱信号可能一个方位角处非常弱,但在另一方位角处较强。
在实施例中,例如,方位角可以被选择成使得周期性结构在一阶衍射中的衍射效率是对于零方位角的衍射效率的超过两倍、可选地超过五倍或者超过十倍。备选地,或另外,方位角可以被选择成使得周期性结构在多个非零衍射阶中的衍射效率大于对于零方位角的辐照方向的情况。
在一个实施例中,使用不同的极角重复步骤(a)和(b),并且其中在步骤(c)中表示使用多个不同极角检测到的散射辐射的信号被用来确定周期性结构的性质。
本发明进一步提供一种用于在测量光刻工艺的性能时使用的量测设备,设备包括:
辐照系统,用于生成辐射的射束,辐射包括在1nm至100nm的范围内的多个波长;
衬底支撑件,能够与辐照系统一起操作,以用于沿着辐照方向用辐射辐照形成在衬底上的周期性结构,辐照方向从与衬底平行的方向偏离大于2°;和
检测系统,用于检测由周期性结构反射的辐射的光谱。
在特定的实施中,衬底支撑件适于从自动晶片处理器接收半导体晶片(例如,300mm晶片)。
在本发明的第二方面,提供了一种测量由光刻工艺制造的结构的性质的方法,方法包括:
(a)沿着辐照方向用辐射的射束辐照周期性结构,周期性结构已通过所述光刻工艺形成在衬底上并且具有在至少第一方向上的周期性,辐射包括在1nm至100nm的范围内的多个波长,辐照方向从与衬底平行的方向偏离大于2°;
(b)检测由周期性结构衍射的辐射的光谱,非零衍射阶通过周期性结构被扩展到由周期性结构反射的所述光谱中;和,
(c)处理表示检测到的光谱的信号以确定周期性结构的性质。
在又另一方面,本发明提供一种器件制造方法,包括:
使用光刻工艺将来自图案形成装置的图案转移到衬底上,图案限定了至少一个周期性结构;
测量周期性结构的一个或多个性质,以确定光刻工艺的一个或多个参数的值;和
根据测得的性质在光刻工艺的后续操作中应用校正,
其中测量周期性结构的性质的步骤包括:通过根据上面所阐述的本发明的第一或第二方面的方法来测量性质。
本发明的进一步的特征和优点以及本发明的各种实施例的结构和操作将在下面参照附图详细地描述。需注意的是本发明不限于本文中所描述的特定实施例。这样的实施例在本文中被呈现仅用于说明的目的。基于本文中所包含的教导,附加实施例将对于本领域(多个)相关技术人员来说是显而易见的。
附图说明
现在将参照附图通过示例的方式来描述本发明的实施例,其中:
图1描绘了与其他设备一起形成用于半导体器件的生产设施的光刻设备;
图2图示出在根据本发明的第一实施例的量测方法中的与光栅目标有关的入射和反射射线的几何形状;
图3示意性地图示出执行图2的方法的量测设备的部件;
图4(a)通过示意性侧视图图示出在掠入射下的辐射的光斑的拉长,其中对于不同入射角度在(b)和(c)处示意性地示出了射束截面B和光斑S的示意性表示;
图5示意性地图示出在图3的设备的一个实施例中的照射系统的部件;
图6图示出针对不同材料和不同掠入射角度跨越EUV光谱的一部分内的一定范围的波长的反射率的变化;
图7图示出(a)在掠入射处对于一定范围波长的、在不同材料中对于EUV辐射的穿透深度的变化,和(b)对于一定范围波长的在硅材料中穿透深度随着入射角的变化;
图8(a)至(c)包括在(a)纯硅衬底和(b)硅光栅结构的情况中、针对不同入射角度计算出的跨越EUV光谱的反射率的图表,其中这些反射率(a)与(b)之间的差异被示出在(c)中;
图8(d)至(f)包括在(d)具有第一侧壁角的光栅结构、(e)具有第二侧壁角的光栅结构的情况中、针对不同入射角度的跨越EUV光谱的计算出的反射率的图表,其中这些反射率(d)与(e)之间的差异被图示在(f)处;
图9图示出在不同的真空或接近真空和/或低压环境中的图3的设备的外壳部件的原理;
图10图示出包括用于在使入射角度变化的情况下维持静止的检测系统的附加光学元件的外壳的经修改的原理;
图11图示出在(a)大气压力和(b)1毫巴处穿过不同气体气氛的横跨EUV光谱的EUV辐射的传输;
图12图示出穿过给定200nm厚度的不同材料的窗口的EUV辐射的传输;
图13图示出在其中使用了非零方位角的根据本发明的第二实施例的量测方法中的与光栅目标有关的入射和反射射线的几何形状;
图14示意性地图示出执行图13的方法的量测设备的部件;
图15图示出根据用于图13和图14的方法中的示例目标的方位角变化的对于不同掠入射角和波长的一阶衍射效率的变化;
图16图示出在其中还测量了来自光栅目标的一阶衍射辐射的根据本发明的第三实施例的量测方法中的与光栅目标有关的入射和反射射线的几何形状;
图17示意性地图示出执行图16的方法的量测设备的部件;
图18图示出在组合了第二和第三实施例的特征的根据本发明的第四实施例的量测方法中的与光栅目标有关的入射和反射射线的几何形状;
图19示意性地图示出执行图18的方法的量测设备的部件;
图20是图示出根据本发明的实施例的量测方法的流程图;和
图21是图示出使用通过图20的方法进行的测量来控制量测方法的和/或光刻制造工艺的性能的方法的流程图。
具体实施方式
在详细描述本发明的实施例之前,呈现出可以在其中实施本发明的实施例的示例环境是有指导意义的。
图1在200处示出作为实施大批量光刻制造工艺的工业设施的一部分的光刻设备LA。在本示例中,该制造工艺适合于在诸如半导体晶片等的衬底上的半导体产品(集成电路)的制造。本领域技术人员应领会的是,可以通过在该工艺的变体中处理不同类型的衬底来制造出各种各样的产品。半导体产品的生产被纯粹地用作现今具有很大的商业意义的示例。
在光刻设备(或者简称为“光刻工具”200)内,在202处示出测量站MEA,并且在204处示出曝光站EXP。在206处示出控制单元LACU。在该示例中,各衬底去到测量站和曝光站以被施加图案。在光学光刻设备中,例如,投影系统被用于使用经调节的辐射和投影系统将来自图案形成装置MA的产品图案转移到衬底上。这通过在一层对辐射敏感的抗蚀剂材料中形成图案的图像来完成。
本文中所使用的术语“投影系统”应该被广义地解释为涵盖了任何类型的投影系统,包括折射、反射、反射折射、磁性、电磁和静电光学系统,或者它们的任何组合,视正使用的曝光辐射或者诸如浸没液体的使用或真空的使用等的其他因素的情况而定。图案化MA装置可以是掩模或掩模版,其将图案赋予由图案形成装置透射或反射的辐射束。众所周知的操作模式包括步进模式和扫描模式。如众所周知的,投影系统可以以多种方式与用于衬底和图案形成装置的支撑和定位系统协作,以将期望的图案施加至横跨衬底的很多目标部分。可以使用可编程图案形成装置而不是具有固定图案的掩模版。辐射例如可以包括在深紫外(DUV)或极紫外(EUV)波带中的电磁辐射。本公开也可应用于其他类型的光刻工艺,例如压印光刻和直接写入光刻,例如通过电子束。
光刻设备控制单元LACU,其控制各种致动器和传感器的所有移动和测量,以接收衬底Q和掩模版MA并且以实施图案化操作。LACU还包括信号处理和数据处理能力,以实施与设备的操作相关的期望的计算。在实践中,控制单元LACU将被实现为很多子单元的系统,各子单元处理设备内的子系统或部件的实时数据采集、处理和控制。
在图案在曝光站EXP处被施加至衬底之前,衬底在测量站MEA处被进行处理使得可以执行各种准备步骤。准备步骤可以包括使用调平传感器来映射衬底的表面高度并且使用对准传感器来测量衬底上的对准标记的位置。对准标记名义上被布置在规则格栅图案中。然而,归因于在创建标记时的不准确度并且还归因于衬底的在其整个处理中发生的变形,标记从理想的格栅偏离。结果,除了测量衬底的位置和定向之外,对准传感器在实践中还必须详细地测量横跨衬底区域的很多标记的位置,如果设备要以非常高的准确度在正确的位置印刷产品特征的话。设备可以是所谓的双平台类型的,其具有两个衬底台,各衬底台具有由控制单元LACU控制的定位系统。在一个衬底台上的一个衬底正在曝光站EXP处被曝光的情况下,另一衬底可以在测量站MEA处被装载到另一个衬底台上使得可以执行各种准备步骤。对准标记的测量因此非常耗时并且两个衬底台的提供使得能够实现设备的吞吐量上的大幅增加。如果位置传感器IF不能测量衬底台的在其处于测量站以及曝光站处时的位置,那么可以提供第二位置传感器以使得能够在两个站处追踪衬底台的位置。光刻设备LA可以例如是所谓的双平台类型的,其具有两个衬底台WTa和WTb和两个站—曝光站和测量站—在曝光站和测量站之间可以交换衬底台。
在生产设施内,设备200形成“光刻单元”或“光刻簇”的一部分,它还包含用于将光敏抗蚀剂和其他涂层施加至用于通过设备200进行图案化的衬底W的涂覆设备208。在设备200的输出侧,烘烤设备210和显影设备212被提供用于使所曝光的图案显影成物理抗蚀剂图案。在所有这些设备之间,衬底处理系统负责支撑衬底并将它们从设备中的一个工件转移到下一工件。往往被统称为轨道的这些设备在轨道控制单元的控制之下,该轨道控制单元本身由监控系统SCS控制,该监控系统SCS还经由光刻控制单元LACU控制着光刻设备。因此,可以操作不同的设备以使吞吐量和处理效率最大化。监控系统SCS接收配方信息R,其详细地提供了对要执行以创建各已图案化的衬底的步骤的限定。
一旦图案已在光刻单元中被施加和显影,就将已图案化的衬底220转移到诸如在222、224、226处图示出等的其他处理设备。宽范围的处理步骤通过典型制造设施中的各种设备来实施。为了示例起见,该实施例中的设备222是蚀刻站,并且设备224执行蚀刻后退火步骤。进一步的物理和/或化学处理步骤被应用在在进一步的设备226等等中。可能要求许多类型的操作来制造真实的器件,诸如材料的沉积、表面材料特性的改性(氧化、掺杂、离子注入等等)、化学-机械抛光(CMP)诸如此类。设备226可以在实践中表示在一个或多个设备中执行的一系列不同处理步骤。
如众所周知的,半导体器件的制造涉及这样的处理的多次重复,以在衬底上逐层地积聚具有适当材料和图案的器件结构。相应地,到达光刻簇的衬底230可以是新准备的衬底,或者它们可以是先前已在该簇中或在另一设备中整个经过处理的衬底。类似地,取决于所要求的处理,在离开的设备226上的衬底232可以被返回用于在相同光刻簇中的后续的图案化操作,它们可以注定用于在不同簇中的图案化操作,或者它们可以是要发出用于切片和封装的成品。
产品结构的各层要求工艺步骤的不同集合,并且在各层所使用的设备226可以在类型上完全不同。此外,即使在要通过设备226施加的处理步骤名义上相同的情况下,在大型设施中,也可能存在有并行地工作以在不同衬底上执行步骤226的若干可能一样的机器。这些机器之间的在设定或故障上的小差异可能意味着它们以不同的方式影响不同的衬底。甚至可以通过名义上一样但并行地工作以使吞吐量最大化的若干蚀刻设备来实施对于各层来说相对共同的步骤、诸如蚀刻(设备222)。此外,在实践中,不同的层要求不同的蚀刻工艺,例如化学蚀刻、等离子体蚀刻,根据要蚀刻的材料的细节,和诸如例如各向异性蚀刻等的特殊要求。
先前和/或后续的工艺可以在其他光刻设备中执行,如刚刚提到的,并且甚至可以在不同类型的光刻设备中执行。例如,在诸如分辨率和重叠等的参数上要求非常苛刻的器件制造工艺中的一些层与要求不太苛刻的其他层相比可以在更先进的光刻工具中执行。因此一些层可以在浸没式光刻工具中被曝光,而其他的在“干燥”工具中被曝光。一些层可以在以DUV波长工作的工具中被曝光,而其他的层使用EUV波长辐射被曝光。
为了使通过光刻设备曝光的衬底被正确且一致地曝光,期望检查已曝光的衬底以测量诸如后续层之间的重叠误差、线厚度、临界尺寸(CD)等等的性质。相应地,光刻单元LC位于其中的制造设施还包括量测系统MET,其接收已在光刻单元中经过处理的衬底W中的一些或所有。量测结果被直接或间接地提供至监控系统SCS。如果检测到误差,则可以对后续衬底的曝光进行调整,尤其是如果量测可以完成得即刻且快速到足以使相同批次的其他衬底仍然待被曝光的话。还有,已经曝光的衬底可以被剥离和重新加工以提高产量,或者丢弃,由此避免在已知有缺陷的衬底上执行进一步的处理。在其中衬底的仅一些目标部分有缺陷的情况中,可以仅在良好的那些目标部分上执行进一步的曝光。
图1中还示出了量测设备240,其被提供用于在制造工艺中的期望阶段进行产品的参数的测量。现代光刻生产设施中的量测设备的常见示例是散射仪,例如角分辨散射仪或光谱散射仪,并且它可以应用于在设备222中的蚀刻之前在220处测量已显影的衬底的性质。使用量测设备240,可以例如确定诸如重叠或临界尺寸(CD)等的重要的性能参数不满足在被显影的抗蚀剂中的指定的准确度要求。在蚀刻步骤之前,存在将被显影的抗蚀剂剥离并且凭借光刻簇将衬底220重新处理的机会。也如众所周知的,可以使用来自设备240的量测结果242通过监控系统SCS和/或控制单元LACU 206随时间进行小的调整,来维持光刻簇中的图案化操作的准确性能,由此使产品被制得超出规范并且要求重新加工的风险最小化。当然,可以应用量测设备240和/或其他量测设备(未示出)来测量已处理的衬底232、234和进来的衬底230的性质。
各代光刻制造技术(常称为技术“节点”)对于诸如CD等的性能参数具有较严的规范。量测上的主要挑战之一在于,期望量测目标尺寸小于习惯上与量测设备240一起使用的目标。例如,当前的目的是使用具有5μm×5μm或更小的尺寸的目标。这些小尺寸将准许其中目标位于产品特征之中(而不是被局限在产品区域之间的划道区域中)的所谓的“裸片内”或“产品上”量测的更广泛的使用。当前用于裸片内CD量测的唯一的量测技术是电子显微术(CD-SEM)。该已知技术显示出对于未来节点的限制,并且仅提供了结构的非常有限的几何形状信息。
在本公开中,提出使用EUV反射法、特别是光谱EUV反射法作为用于未来技术节点的CD量测方法解决方案。将会证明,EUV反射法赋予了高敏感度、针对工艺变化是鲁棒性的并且对于感兴趣的参数是选择性的益处。为了该目的,图1中图示出的制造系统除了光学散射仪240之外还包括一个或多个EUV量测设备244。该EUV量测设备提供了附加量测结果246,其可以由监控系统SCS使用以实现进一步的质量控制和作为整体的光刻制造系统的性能上的提高。像光学散射仪240一样,EUV可以用来测量在光刻单元内处理的抗蚀剂材料内的结构(在显影检查或ADI之后),和/或在已在较硬材料中形成结构之后测量它们(在蚀刻检查或AEI之后)。例如,可以在通过显影设备212、蚀刻设备222、退火设备224和/或其他设备226对衬底进行了处理之后,使用EUV量测设备244来检查衬底。相比之下,X射线技术一般限于AEI并且不能用来测量仅形成在抗蚀剂中的结构。这限制了如果衬底未能通过检查的话重新加工衬底的可能性。紧凑的X射线源的有限功率意味着已知的T-SAXS技术遭受非常低的吞吐量,尤其是对于小尺寸量测目标来说。
EUV光谱反射法
图2图示出EUV量测方法,而图3图示出EUV量测设备300。设备可以被用作用于测量在图1的制造系统中所处理的衬底W的参数的EUV量测设备244的示例。
在图2中,目标T被示意性地表示为包括在球面参考系的原点处的一维光栅结构。轴X、Y和Z被相对于目标来限定。(当然,原理上可以限定任何任意坐标系,并且各部件可以其自己的本地参考系,其可以相对于所示出的参考系来限定。)目标结构的周期性方向D与X轴对齐。附图不是真正的透视图,而仅是示意性图示。X-Y平面是目标和衬底的平面,并且为了清楚被朝向观察者倾斜地示出,通过圆圈302的斜视图来表示。Z方向限定出正交于衬底的方向N。在图2中,入射射线中的一个通过304标出并且具有掠入射角度α。在该示例中,入射射线304(和形成辐射光斑S的所有入射射线)基本上处于与X-Z平面平行的平面(也就是在方向D和N上限定出的且通过圆圈306表示的平面)中。未被目标T的周期性结构散射的反射射线308以仰角α朝向图解中的目标的右手侧出来。
为了执行光谱反射法,将射线308和其他反射射线分解成包括不同波长的射线的光谱310。光谱可以例如使用掠入射衍射光栅312来产生。通过检测器313来检测光谱。可以例如是具有像素的阵列的CCD图像检测器的该检测器被用于将光谱转换成电子信号,并且最后转换成数字数据以供分析。
在实际系统中,辐射304的光谱可能经受时间的变化,这会干扰分析。为了针对这些变化使检测到的光谱归一化,通过第二检测器314来捕获参考光谱。为了产生参考光谱,通过另一衍射光栅318使源辐射316衍射。光栅318的零阶反射射线形成入射射线304,而光栅318的一阶衍射射线320形成由参考光普检测器314检测的参考光谱。获得了表示参考光谱的电信号和数据,以用于在分析中使用。
从针对入射角α的一个或多个值所获得的经测量的光谱,可以以下面进一步描述的方式计算出目标结构T的性质的测量。
转到图3,EUV量测设备300被提供用于通过图2的方法来测量形成在衬底W上的量测目标T的性质。各种硬件部件被示意性地表示。这些部件的实际实施可以由相关技术人员根据众所周知的设计原理应用现有部件和专门设计的部件的混合来执行。支撑件(未详细示出)被提供用于相对于要描述的其他部件将衬底保持在期望的位置和定向。辐射源330将辐射提供至照射系统332。照射系统332提供通过射线304表示的EUV辐射的射束,其在目标T上形成聚焦辐照光斑。照射系统332还将参考光谱320提供至检测器314。部件312、313等等可以被方便地视为检测系统333。
该示例中的衬底W被安装在具有定位系统334的可动支撑件上,使得射线304的入射角度α可以被调整。在该示例中,为方便起见而选择使衬底W倾斜以改变入射角,而源330和照射系统332保持静止。为了捕获反射射线308,检测系统333设置有进一步的可动支撑件336,使得它相对于静止的照射系统移动经过角度2α,或者相对于衬底移动经过角度α。在反射法的掠入射情况下,通过参考衬底的平面来限定入射角α是方便的,如图所示。当然,它同样地可以被限定为在入射射线I的入射的方向与正交于衬底的方向N之间的角度。
未示出的附加致动器被提供用于将各目标T带入辐射的聚焦光斑S所位于的位置。(以另一种方式来看,将光斑带到目标所位于的位置。)在实际应用中,可能存在有要在单个衬底上测量的一连串单独的目标或目标位置,也可能存在有一连串衬底。在原理上,是衬底和目标被移动且被重新定向而照射系统和检测器保持静止,还是衬底保持静止而照射系统和检测器被移动,还是通过这些技术的组合实现相对运动的不同分量,是无关紧要的。本公开涵盖所有这些变体。
如已经参照图2所描述的,由目标T和衬底W反射的辐射在其撞击在检测器313上之前被分裂成不同波长的射线的光谱310。检测器306包括例如对位置敏感的EUV检测器、典型地是检测器元件的阵列。阵列可以是线性阵列,但是在实践中可以提供元件(像素)的二维阵列。检测器313可以是例如CCD(电荷耦合器件)图像传感器。
处理器340接收来自检测器313和314的信号。特别地,来自检测器313的信号ST表示目标光谱,并且来自检测器314的信号SR表示参考光谱。处理器340可以从目标光谱中减去参考光谱,以包含针对源光谱上的变化被归一化的目标的反射光谱。在处理器中使用所得到的对于一个或多个入射角度的反射光谱来计算目标的性质、例如CD或重叠的测量。
在实践中,来自源330的辐射可以以一系列短脉冲来提供,并且可以对于各脉冲一起捕获信号SR和ST。对于各单独脉冲的差异信号在被聚合到对于该目标在该入射角度处的总反射光谱中之前被计算出来。以该方式,校正了脉冲之间的源光谱的不稳定性。脉冲率可以是每秒数千或者甚至数万(赫兹)。被聚合以测量一个反射光谱的脉冲的数目可以是例如数十或数百。即使利用这么多的脉冲,物理测量也花费几分之一秒。
在将该EUV-SR应用于半导体制造中的量测时,可以使用小光栅目标。在将掠入射角度α设定为各种不同值的情况下,使用检测器313和314来捕获多个衍射光谱。使用检测到的光谱和目标结构的数学模型,可以执行重建计算以实现对CD和/或其他感兴趣的参数的测量。将在下面进一步地说明示例重建方法。
简略地考虑目标自身,线和空间的尺寸将取决于目标设计,但是结构的周期可以例如小于100nm、小于50nm、小于20nm、甚至小于10nm和低至5nm。光栅结构的线可以是与衬底的产品区域中的产品特征相同的尺寸和节距。光栅结构的线可以事实上是专用目标区域内的只是用于量测的目的产品结构的线,而不是形成在专用目标区域内的目标结构。这样的小特征可以例如在EUV光刻工艺中通过压印光刻或通过直接写入方法来形成。这样的小特征也可以使用当今的DUV光刻通过所谓的双重图案化工艺(一般是多重图案化)来形成。该范畴中的技术包括例如通过光刻-蚀刻-光刻-蚀刻(LELE)进行的节距加倍,和在后段制程(BEOL)的层中的自对准双镶嵌。为了说明的目的,将在以下示例中假设CD是感兴趣的参数。然而,在存在有形成于彼此顶部的两个光栅的情况下,另一感兴趣的参数可以是重叠。这可以基于EUV-SR衍射阶中的不对称性来测量,如在下面单独地描述的。如果必要的话,可以升高入射角度以实现到较低结构的足够的穿透。
在多重图案化工艺中,结构不是在一个图案化操作中而是在两个或更多图案化步骤中被形成在产品的一个层中。因此,例如,第一群体的结构可以与第二群体的结构交错,并且群体在不同的步骤中形成,以便获得与一个步骤独自可以产生的分辨率相比更高的分辨率。虽然群体的放置应该相对于衬底上的其他特征一样且完美,但是当然每一个真实的图案都会展现出某一位置偏移。群体之间的任何无意的位置偏移可以被看作一种形式的重叠,并且可以通过与用来测量层之间的重叠的那些技术相似的技术来测量。另外,当在单个层中形成多个群体的特征时,针对下面的或上覆的层中的特征的重叠可以对于各群体不同,并且可以单独地测量对于这些群体中的每一个的重叠,如果期望的话。
图4图示出辐射光斑的拉长的问题,这对于使用掠入射反射法进行的裸片内量测的实施是具有挑战性的。在图4(a)中,在截面中示出衬底W和目标T。图示出代表性入射射线304和反射射线308,其相对于衬底W具有入射角α。由于这些是代表性射线,所以应该认为作为整体的入射辐射包括形成了在404处示意性地指示出的射束的很多射线。类似地,反射辐射包括形成了在408处示意性地指示出的射束的很多射线308。为了利用最小可能的目标,通过使射束404的射线聚焦来形成辐射光斑,使得它们收敛以精确地限定出在它们与衬底W的表面相遇的地方的最小射束直径。在图示中,入射射束404收敛成具有最小直径dB的焦点。反射射束408(忽略散射效应)包括发散的射线,如图所示。因为掠入射角α相对小(换言之,与接近90°相比更接近零),所以投影到目标T上时的辐射束404的直径dS比射束直径dB大若干倍。直径dS与dB之间的比率取决于角度α的正弦,如图4(a)中所示。
如图4(b)中所示,为了实现适合在目标T的区域内的圆形光斑S,射束404应该具有在B处示出的强椭圆形截面。当角度α是5°时,例如,射束的最小直径dB应该比光斑的容许直径dS小超过十倍(sin5°=0.087)。对于较低的入射角度,射束的最小直径将必须小数十、数百或者甚至数千倍。获得适合在诸如5平方μm等的小目标区域内的光斑在实践中是不可能的。即使在α=5°时,最小射束直径dB也应该是大约436nm以实现5μm以下的光斑尺寸。相反地,如图4(c)中所看到的,在掠入射角α上的增加大大地放宽了射束404的最小直径要求。椭圆B’可以比椭圆B宽得多,以便实现适合在目标T的区域内的光斑S’。例如,对于α=20°,射束直径将仅增加3倍。最小直径dB可以与1.7μm一样大而不超过5μm光斑尺寸。与已知技术、特别是X射线反射法(GI-XRS)相比,本发明人认识到这些较高入射角的使用可以在EUV光学设计的能力内带来较小光斑尺寸。
图5图示出图3的设备中的照射系统332的一个可能的布置。诸如等离子体等的辐射源被表示在330处。对于EUV光刻,已在实验和商业上测试并建立了若干类型的源。这些中的任一个都可以根据期望的波长范围应用在本设备中。等离子体源包括锡(Sn),但也包括Xe或Ar或Kr或Ne或N,或它们的任何组合。可以应用激光器驱动光源和谐波发生器源。等离子体源不是可应用的唯一类型的源,尽管目前它们是最可能以紧凑形式得到的类型。同步加速器源可以产生更有用的功率水平,并且在波长和功率上更可控,但是这些尚不可在商业上以紧凑的形式得到。
在一定范围的方向上发射出具有期望的光谱特性的EUV辐射的射束500。在源330的出口(照射系统332的入口)处,第一孔径502被提供以用作用于照射系统的入口光瞳。具有明确限定的发散度的进来的射束504撞击在聚焦光学元件或系统上。该聚焦系统在本图示中通过2维曲面反射镜506、例如椭圆面反射镜来实施。反射镜506产生收敛的射束508,其被聚焦以在衬底W上的目标位置处形成光斑。可选地,第二孔径510被提供以限制在目标处的射束404的直径。特别地,孔径510可以被制作成在高度和/或宽度上可调,使得可以根据不同期望/尺寸和不同入射角α而生成不同形状的射束B’。
反射射束408进入检测系统333(该视图中未示出),携带着关于目标的结构的信息。可选地,第二聚焦反射镜520被提供以减小射束的在其进入检测系统333时的发散度。
如图2和图3中所看到的,由参考光谱检测器314形成并检测参考光谱320。在图5中图示出的示例照射系统中,用于生成参考光谱320的光栅318被集成在曲面反射镜506中。在备选实施例中,参考光谱光栅可以被提供为与反射镜506串联的单独的元件。此外,为了使来自射束504的辐射聚焦到射束508中,可以通过一系列两个或更多个一维曲面(柱面)反射镜来替换单个二维曲面反射镜506。无论在何处设置的光栅可以是“平场”型的,使得横跨检测器314中的线性或平面像素阵列形成很好地解析的光谱。类似地,在二维曲面聚焦反射镜520设置在检测侧的情况下,可以提供一维或多维曲面反射镜。曲面反射镜可以与形成由目标反射的辐射的光谱310的光栅312集成为一体。注意,可能没必要为了获得期望的光谱分辨率而将射束408在两个维度上聚焦。
图6示出根据辐射波长λ和入射角α变化的反射率的等值线图(等反射率)。与例如X射线相比,这些模拟示出,对于有可能在半导体制造中遇到的多种材料,辐射中的大部分和波长中的大部分即使处于高于几度的掠入射角α的相对大的值也将被反射。等值线被以对数尺度排列。在每一种材料中,对于最低角度获得最强反射率R(接近于一)。标为R=10-1的等值线例如示出对于给定波长的在入射辐射强度的十分之一被反射的情况下的入射角。
特别地在15nm至40nm(和40nm以上,未示出)的范围内,将看到即使直到10、20和30度的角度,感兴趣的材料中的若干材料的反射率也保持可观的。再次参见图4和图5,这一入射角范围允许实施如下光学设计,该光学设计使用可得到的EUV光学技术实现期望的小辐射光斑,即使处于掠入射。
图7(a)呈现出对于一定范围的EUV辐射波长λ的、针对原子数Z的、在对数尺度上的穿透深度δ的图表。示出的穿透深度是对于掠入射(α=5°)。与较高能量(较短λ)的X射线相比,可以在EUV波长1nm至100nm或1nm至150nm中以较高角度α实现掠入射。“全内反射”的现象在可见波长处在光学器件中是熟悉的,其中诸如玻璃等的材料具有大于1的折射率。在EUV波长处,材料一般具有小于1的折射率,并且造成“全外反射”的现象。可以获得显著反射向上所达到的角度可以被称为临界角度。在EUV波长处的相对浅的穿透深度的优点在于,可以获得表示衬底的表面结构的测量,而没有半导体产品中常存在的埋设的特征的显著干涉。如下面进一步说明的,浅穿透深度大大地促进了通过重建或其他技术进行的准确测量。
图7(b)示出对于只作为一个示例材料的硅的穿透深度随着入射角度的变化。然而,特别地对于在15nm至100nm范围或15nm至150nm范围内的波长,可以实现十纳米或更多纳米的穿透,如果期望的话。对于给定的结构,通过使入射角度α升高至较高值,可以在正交于衬底的方向上实现较高的穿透深度。再次参见图6,对于利用该效应而不损失反射率可得到的这一入射角范围在EUV范围内(特别地在范围15nm至100nm或在范围15nm至150nm中)比它例如在X射线测量技术中高。
参见图8,图表(a)至(f)图示出EUV波长范围内的光谱反射法如何可以产生关于形成在硅衬底上的光栅结构(周期性结构)的形式的信息。在图8(a)至(c)中,我们将硅光栅结构与纯硅衬底进行比较。在图8(d)至(f)中,我们将两个不同形式的光栅进行比较。
图8(a)示出对于纯硅晶片的横跨波长范围10nm至40nm的计算出的反射率RP。各曲线是在范围从2°逐步到12°的不同入射角α处测得的。各曲线是目标的反射光谱,如上面所描述的。利用合适的校准和归一化,将在图2至图5的方法和设备中至少在波长范围的特定部分中测量类似的反射光谱。该示例中的光栅结构的周期是200nm,并且高度是50nm。大曲线箭头图示出可以在反射光谱的形状上看到的趋势,范围从最小角度α到最高。在图8(b)中我们看到了包括再次由硅制成的具有周期200nm和高度50nm的矩形光栅的目标的反射率RG。再次,反射率是对于范围从2°到12°的一系列入射角α跨越波长范围10nm至40nm而绘制出的。
图8(c)绘制出图8(a)的纯晶片反射率与图8(b)的光栅反射率之间的差异。特别地在大约15nm至50nm的波长范围中,并且特别地随着入射角朝向12°上升,我们非常清楚地看到可能归于光栅结构的某些特性。换言之,图8(c)的绘图证实,通过EUV光谱反射法使用图2至图5的方法和设备应该可获得关于光栅目标的存在和结构的良好的测量信息。
参见图表(d)至(f),我们看到与在(a)至(c)处的那些类似的绘图。然而,这一次,比较是在已经描述的矩形光栅(图表(b)和(d)相同)与类似的周期和高度但具有以60°倾斜的侧壁的光栅(图表(e))之间。在(d)与(e)处的图表之间的差异比在(a)和(b)处的图表之间更细微。尽管如此,当减去这些图表时(图表(f)),可以在差异上看到特性特征,其表示关于光栅的侧壁角的信息。再次,信号的强度随着入射角度α从2°增加至12°而更大。相应地,取决于要测量的材料和结构,在本文中提出使用5°或更大的入射角度α,例如,在10度至40度的范围内的角度。角度的最佳选择将取决于源辐射中的光谱成分的可用性,和相对于入射角度的不同材料的反射率。也就是说,入射角度应该被选择为图8的模拟中图示出的信号的强度与由目标的特定材料进行的反射的强度(图6)之间的折中。类似地,差异信号(侧壁角信息)的强度横跨波长范围而变化。将执行测量所处的入射角(多个)和波长范围(多个)可以被选择成对于特定类型的目标和感兴趣的特定性质限定出最佳量测参数集。
图9示意性地图示出EUV量测设备900的不同部分的外壳。该外壳具有促进了对设备内的真空和低压气氛的管理的特征,特别是在大批量制造环境中。图10图示出经修改的量测设备。
通过结束的方式,我们参见图11的图表(a)和(b)。各图表示出穿过不同的气体介质、横跨EUV光谱传输的EUV辐射的比例TR。第一图表(a)示出在大气压力下穿过50cm的各种气体的EUV辐射的传输(或者更确切地说是传输的缺乏)。表示出气体氮(N)、空气(A)、氩(Ar)和氢(H)。请注意以10-15的步长标出的对数尺度,很明显为什么EUV光学设备不能在正常大气环境中工作。然而,在图表(b)中,我们看到当在EUV辐射的路径中的大气压力被降低至1毫巴时维持了合理的传输。横跨5nm至30nm的范围,氢和氩两者都提供了相对良好的传输。即使在空气和氮中,传输损失可以是可接受的。氢对于横跨整个感兴趣的光谱的EUV传输是最容易的。另一方面,氢气氛的使用(即使在低压下)也要求昂贵的安全措施。这些因素都应该在选择EUV量测设备的不同部分之前的操作环境时考虑在内。
返回到图9,使用与图2和图3中看到的相同的部件和编号图示出示例EUV量测设备。如已经参照图11所说明的,EUV辐射信号将被严重地削弱,除非射束路径被包含在真空或低压环境内。同时,如果设备要在大批量制造环境中使用,则在902处示意性地表示的操作将被频繁地执行,以将当前在设备内的衬底W与新衬底W’交换。在整个设备将被接纳在真空环境中的情况下,在装载和卸载晶片或批量晶片之后重新建立真空环境所要求的成本和时间延迟将使吞吐量严重劣化。同时,可能期望在高真空环境中具有可能多的射束路径。
为此原因,在示例量测设备900中,EUV光学系统的不同部分被包含在不同的室904、906、908中。合适的壁限定出这些室,而窗口910和912准许EUV辐射在室之间通过。第一室904包含源330和照射系统332。在室904中通过未示出的合适的泵和控制系统维持第一大气条件、例如高真空。第一窗口910准许入射射束304进入第二室906,在第二室906中目标被支撑在衬底支撑件W上。在第二室906中,第二大气条件被维持包围目标。第二大气条件可以是例如低压气体气氛,例如使用图11(b)中指示出的气氛中的一个。以该方式,当通过某种形式的空气锁机构交换衬底W和W’时,所要求的大气条件可以被相对快速地建立和重新建立,并且没有过度的成本。虽然第二大气条件中的传输损失可能比在高真空中大一个数量级,但是对于有限距离的行进和运营生产力,可以容忍这些损失。
在该示例中,检测系统333的诸如光栅312和检测器313等的部件位于第三室908中,该第三室908被维持处于第三大气条件。第三大气条件可以是例如高真空。第二窗口912准许反射射线308进入室908中的检测系统,携带着关于衬底上的目标的光谱信息。
可以注意的是,如果窗口910和912是有限限度的,那么该示例中的设备900的几何形状大大地限制了可采用的入射角α的范围。图10图示出图9设备的变体,其中该问题通过附加反射镜部件来解决。也可以考虑其他手段,例如通过提供对于不同入射角适当的多个离散的窗口,和/或通过将检测系统的至少一些部件接纳在与样品相同的室906中,使得它们可以移动而穿过窗口不会失去它们的视线。
图10示出作为图9的设备900的经修改的版本的EUV量测设备1000。量测设备的核心部件只通过示例的方式被再次编号为300至332。与设备的外壳和安装有关的其他特征与图9中相同地编号,但是具有前缀“10”而不是“9”。因此,室1004、1006和1008例如被提供,其可选地通过窗口1010和1012连通。
还如图3看到的,该示例中的衬底支撑件1020可通过致动器334移动,以使射线304撞击在光栅目标上所处的入射角α变化。通过该措施,源和照射系统以及射束304遵循固定路径。这对于源和光学系统的稳定性有益,以及允许窗口1010保持在固定位置。另一方面,该示例中的附加元件还允许适应宽范围的入射角,而第二窗口1012和检测部件312等等也保持在固定位置。为了实现这一点,附加反射镜元件1030被提供,其是具有与衬底W的平面平行的反射表面的平面反射镜。通过简单的几何形状和维持1030与衬底W之间的该平行关系,实现了:在反射射线的方向上的2α的原始变化被抵消。反射镜1030可以是被保持在衬底附近且与衬底平行的单个大反射镜,使得反射镜的不同部分以不同的入射角捕获反射射线。备选地,较小的反射镜1030可以设置有致动器1032,随着入射角变化,致动器1032影响反射镜的平移移动。
参见图12,该图表示出不同的窗口材料跨越EUV辐射的光谱具有不同的传输性质。该图表在对数尺度上示出了穿过由各材料的200nm膜形成的窗口传输的辐射的比例TR。如可以看到的,提供跨越大范围的EUV波长是透明的窗口非常具有挑战性。是否使用窗口,和使用哪种类型的窗口,将取决于可以制得以管理设备的各部分中的气氛的备选布置。作为将不同隔室904/1004、906/1006、908/1008隔离的物理膜的备选方案,可以设想到在不同隔室之间连通的开放端口,其被简单地制得足够小使得可以横跨它们维持有用的压力差。因此,虽然在910/1010和912/1012处示意性地指示出窗口膜,但是这些膜中的任一个或两者可以通过开放孔径来替换,该开放孔径在尺寸上可适当地做成准许希望的辐射中的所有都通过而同时小到足以尽可能地限制气体从一个隔室到下一个隔室的流动。在物理膜被设计用于一个或两个窗口的情况下,可以开发出具有比图12中所呈现的那些传输率高的传输率的膜。特别的示例由Pekka等人在IEEE核科学汇刊2014年2月第61卷NO.1的论文“超薄氮化硅X射线窗口的性能和性质”中进行了描述。该论文描述了由具有附加支撑结构的低至20nm厚度的氮化硅材料形成的超薄窗口膜。虽然该论文大体涉及较短波长辐射,但是在低能量范围的X射线光谱与较短波长的EUV光谱之间存在有交叠。
图13图示出经修改的量测方法,并且图14图示出对应的量测设备1400。这些示例中的标为“14xx”的部件应该被认为与图3设备中标为“3xx”的那些相同,除非另有提出。因此,经修改的设备包括例如照射系统1430、照射系统1432和检测系统1433。然而,与图2的设备相比,定位系统1434可操作成使得可以不仅在掠入射角α上而且在方位角(这里标为)上使入射射线1404的入射角度变化。
再次,X、Y、Z坐标系被相对于衬底来限定。再次,假设目标T包括具有与衬底的X轴平行的周期性方向D的一维光栅。再次,衬底和目标可以被倾斜以使入射角度变化。然而,允许非零入射方位角方位角被相对于光栅目标T的周期性方向D限定。(在二维周期性目标的情况中,D亦可以是主周期性方向。)也就是说,当入射方向被投影到衬底的平面上时,入射射线与周期性方向D之间的方位角非零,并且可能非常大。也就是说,辐照的方向处于由周期性方向D和正交于衬底的方向N限定的平面之外。反而,入射射线在相对于周期性方向D倾斜的平面中行进。斜平面通过正交于衬底的平面但相对于周期性方向和X-Z平面倾斜的圆圈1407来表示。应理解的是,虽然平面和轴线的标签的选取是任意的,但是掠入射角和方位角参照目标的周期性结构的物理性质来限定。本发明人已认识到,当使用非零方位角时,可以大幅地增加不同衍射阶的衍射效率。这进而对反射(零阶)射线1408的光谱具有影响。
在实施设备1400时,定位系统的不同布置可以被用来实现非零方位角。参考符号1434指示出具有用于围绕衬底的X和Y轴转动的致动器的定位子系统。对于掠入射角α和方位角的期望的组合,计算出适当的命令值Rx和Ry以引起衬底在两个维度上的倾斜以实现期望的角度。在另一实施中,致动器可以被提供用于转动和倾斜,直接地驱动角度α和如将从图14领会的,转动Rz直接地对应于期望的方位角并且该情况中的命令值可以从期望的测量角度更直接地生成。
在量测的其他领域中,使掠入射(极化)角和方位角两者变化所要求的安装的类型被称作“锥形安装”,并且在该EUV反射法设备中也可以采用该术语。一般情况下,本领域技术人员将领会的是,任何形式的命令和任何形式的致动机构可以被用来实施该示例,只要其适合于实现已知的非零入射方位角。还应理解的是,入射的方向与目标的相对定向是重要的(当然还有目标相对于辐射光斑S的正确X-Y定位)。
如上面所提到的,与图2和图3的布置相比,非零方位角的使用可以允许使用图13和图14的锥形安装的增强的衍射效率。这可以进而提供用于特定性质的测量的较强的信号,减少了测量时间和/或增加了测量准确度。通过与图2相比,在图13中已经可以看到使用非零方位角的另一益处。注意到,如果由于斜入射角度而变得拉长,则光斑S在由方位角限定的方向上被拉长。因此光斑的最长尺寸与目标的对角线方向对齐。考虑到大多数目标在形状上为矩形,所以光斑的该对角线拉长事实上允许总体上较大光斑适合在目标区域内。结果,对于给定的照射强度,测量辐射的较大总功率可以被引导在目标处并因此将成比例地增加检测器处的信号。该效应可以独自允许在测量时间上的稍微缩短。备选地,或者另外地,可以放宽聚焦容限,这也缩短了测量时间。
图15图示出根据用于图13和图14的方法中的示例目标的方位角变化的对于不同掠入射角和波长的一阶衍射效率DE+1的变化。具有其不同结构和材料的目标的各设计将展现出其自己特别的一组衍射效率,和其自己的以反射光谱随方位角(和掠射角α)变化的方式进行的一组变化。该示例中的目标是具有节距18nm的光栅。在顶行的五个图表中,竖轴表示在对数尺度上的衍射效率,并且横轴表示范围从零到90°的方位角各图表对应于如标记的不同掠入射角α。在各图表内,对于多个波长2nm、5nm、10nm、20nm和30nm绘制出衍射效率。底行的五个图表以另一形式呈现出相同的数据,其中各波长处的衍射效率相对于其在零方位角处的值被归一化。可以看出,尤其是在较短波长和/或较高入射角处,一阶衍射效率(和暗示的由检测器1413捕获的零阶信号)强烈地取决于方位角。通过选取用于测量的一个或多个(尤其是两个或更多个)方位角,可以获得关于目标结构的更特别的信息。
图16图示出进一步的经修改的量测方法,并且图17图示出对应的量测设备1700。这些示例中的标为“17xx”的部件应该被认为与图3设备中标为“3xx”的那些相同,除非另有提出。因此经修改的设备包括例如照射系统1730、照射系统1732和检测系统1733。
再次,X、Y、Z坐标系被相对于衬底来限定。再次,假设目标T包括具有与衬底的X轴平行的周期性方向D的一维光栅。再次,衬底和目标可以被倾斜以使入射角度变化。检测系统1733再次包括衍射光栅1712,以将反射射线1708分裂成不同波长的光谱1710。反射光谱1710由检测器1713捕获并且信号ST被提供至处理器1740。
另外,在该经修改的方法和设备中,第三检测器1750被提供以接收另一光谱1752。光谱1752包括由目标T的周期性结构以一阶进行衍射的辐射。一阶衍射辐射以其被引导的角度β取决于目标光栅的节距以及衍射辐射的波长。在其中入射辐射包括一定范围的波长的光谱EUV反射法的情况中,由目标衍射的辐射以一定范围的角度β扩展到光谱中,如图所示。该一阶光谱、像反射光谱1710一样包含关于目标结构的信息。由检测器1750捕获的信号SF被供给至处理器1740,用于(与信号SR和ST一起)在计算目标的感兴趣的性质的提高的测量时使用。
捕获一阶衍射光谱可以在解决目标的与不对称性相关联的性质时具有特别的益处。
虽然角度β的范围为了方便而在图16中被示出为十分窄的范围,但应理解的是,可能会遇到包括“向后”衍射在内的宽范围的角度。这是特别的情况,因为(i)感兴趣的波长的范围可能跨超过一个倍频程(例如,在上面的示例中提到范围10nm至40nm或者甚至5nm至50nm),和(ii)在多个潜在应用中,最细小的目标光栅的节距(其可以是产品特征)将在幅值上类似于辐射的波长。图17图示出该范围的角度。参见相对于法向方向N限定的角度β’,例如可以期望出现从-90°至+20°的角度范围。
为了适应在衍射角度β(或β’)上的宽变化,进一步的致动器1754可以被提供,以使检测器1750随着掠入射角α通过致动器1734变化且随着一阶衍射角β由于光栅节距和波长变化而移动至适当的位置。备选地或另外地,检测器1750可以在限度上被制作得大,和/或在目标附近靠近衬底放置。这被示意性地示出在图17中。准直光学器件可以被可选地提供,以减小角度的扩展,如果期望的话,使得它们可以被捕获在方便定尺寸且方便放置的检测器1750上。
图18图示出进一步的经修改的量测方法,并且图19图示出对应的量测设备1900。该方法和设备组合了已经在上面参照图13和图14(非零方位角)以及图16和图17(使用来自目标光栅的一阶衍射)所描述的修改。这些示例中的标为“19xx”的部件应该被认为与图13/14和图16/17的方法和设备中的标为“14xx”和/或“17xx”的那些相同。因此,经修改的设备包括例如照射系统1930、照射系统1932和检测系统1933。
再次,X、Y、Z坐标系被相对于衬底来限定。再次,假设目标T包括具有与衬底的X轴平行的周期性方向D的一维光栅。再次,衬底和目标可以被倾斜以使入射角度变化。检测系统1933再次包括衍射光栅1912以将反射射线1908分裂成不同波长的光谱1910。反射光谱1910由检测器1913捕获并且信号ST被提供至处理器1940。
另外,在该经修改的方法和设备中,第三检测器1950被提供以接收另一光谱1952。光谱1952包括由目标T的周期性结构以一阶进行衍射的辐射。表示目标T的一阶衍射光谱的信号SF被提供至处理器1940。上面与图16和图17有关的讨论同样适用于图18和图19的方法和设备。图15的衍射效率直接地确定了各波长处的检测到的信号SF的强度。
应用示例
设备300、900、1000、1400、1700、1900中的任一个都可以被用作诸如在图1中示意性地图示出等的光刻制造系统中的EUV量测设备244。
图20是使用本文中所公开的EUV量测技术来测量目标结构的参数的方法的流程图。如上面所描述的,目标在诸如半导体晶片等的衬底上。该目标往往采取2D阵列中的光栅或结构中的周期性的一系列线的形状。量测技术的目的是计算形状的一个或多个参数的测量。在重建技术中,严格的光学理论被有效地用来计算这些参数的哪些值将造成特别的观察到的反射光谱(可选地包括一个或多个较高衍射阶的光谱)。换言之,对于诸如CD(临界尺寸)和重叠等的参数获得目标形状信息。CD或临界尺寸是“被写”在衬底上的对象的宽度,并且是光刻设备物理上能够写在衬底上的限值。在一些状况下,感兴趣的参数可以是CD均一性,而不是CD自身的绝对测量。也可以测量诸如光栅高度和侧壁角等的其他参数,如果期望的话。重叠量测是其中测量两个目标的重叠以便确定衬底上的两个层是否对齐的测量系统。
使用来自EUV量测设备244的结果与诸如目标30等的目标结构及其反射和/和衍射性质的建模组合,可以以多个方式执行结构的形状和其他参数的测量。在由图9表示的第一类型的过程中,计算出基于目标形状的第一估计(第一候选结构)的衍射图案并与观察到的反射光谱进行比较。接着使模型的参数系统性地变化并使在一系列迭代中重新计算出的反射光谱系统性地变化,以生成新的候选结构并因此达到最佳拟合。在第二类型的过程中,事先计算出对于很多不同候选结构的反射光谱,以创建反射光谱的“库”。接着将从测量目标观察到的反射光谱与计算出的光谱的库进行比较以找到最佳拟合。两种方法可以一起使用:可以从库获得粗拟合,跟着是迭代过程以找到最佳拟合。期望在EUV光谱反射法中,用于第一类型的过程的计算将不会繁琐。情况既然这样,将不需要依靠库过程。
更详细地参见图20,将概括地描述执行目标形状和/或其他材料性质的测量的方式。执行以下步骤。步骤将在这里列出,并接着更详细地说明:
S11:接收具有目标(多个)的衬底
S12:限定测量配方(α,)
S13:测量EUV反射光谱或多个光谱
S14:限定模型配方
S15:估计形状参数
S16:计算模型反射光谱或多个光谱
S17:比较测量的光谱与计算出的光谱
S18:计算价值函数
S19:生成经修订的形状参数
S20:报告最终形状参数
步骤不一定确切地以上面的顺序来执行,并且本领域技术人员将领会的是某些步骤可以以不同的顺序来执行而不会影响结结果。
在S11处,接收在其上具有一个或多个量测目标T的衬底W。为了该描述,将假设目标在仅一个方向上是周期性的(1D结构)。在实践中它可以在两个方向上是周期性的(2维结构),并且将相应地修改处理以适应。在S12处,限定测量配方,其在增强的方法中限定了要得到光谱所采取的一个或多个入射角α的范围,并且可选地限定了非零方位角对于各类型的目标结构和制造工艺的最佳方位角可以通过现有的实验和/或计算模拟来确定。可以限定出使用两个或更多个方位角来对目标进行测量的配方,如果期望的话。像图15中所示的那些一样的图表可以被用来选择给出跨越最感兴趣的衍射阶的衍射效率的最佳组合的方位角的值或多个值。备选地,可以利用方位角的单个(零或非零)值和一定范围的不同入射角α来进行各目标的测量。
在其中目标结构被定位在光斑S处的S13处,在诸如图3、图5、图9、图14、图17和图19中的任一个中图示出的设备中,使用EUV辐射来测量衬底上的实际目标的反射光谱。测得的反射光谱310(可选地包括一阶衍射光谱1752、1952)由检测器313捕获、转发至诸如处理器340等的计算系统。在用在进一步的计算中之前,根据预先存储的校准值并且根据由检测器(314、1414、1714、1914)记录的参考光谱将光谱归一化。在实践中,将跨越辐射的若干脉冲记录多个参考光谱和目标光谱,各目标光谱在被添加到测得的目标光谱中之前被相对于来自相同脉冲的参考光谱归一化。各测得的目标光谱对应于入射角α(和可选地非零方位角)。为了凭借重建获得鲁棒性的测量,可以利用不同的入射角α或不同的方位角来捕获相同目标的若干光谱,以增加信息的多样性。
注意,反射光谱可以作为详细光谱进行处理,或者它们可以在用在计算中之前被简化成一组参数。作为特别的示例,反射光谱可以被简单地缩减成表示可标识光谱特征(峰值或线)的强度的一组值。强度可以例如通过标识对应于入射辐射中的相应峰值的在反射光谱中的峰值并且将对应于观察到的峰值的高度的值分配给该峰值来获得。
在S14处,建立“模型配方”,其用多个参数pi(p1、p2、p3,诸如此类)来限定出目标结构的参数化模型。这些参数可以例如在1D周期性结构中表示侧壁的角度、特征的高度或深度、特征的宽度。目标材料和下面的层的性质也通过诸如折射率等的参数(在EUV辐射束中存在的各特定波长处)来表示。重要的是,虽然目标结构可以通过描述了其形状和材料性质的许多参数来限定,但是模型配方将这些参数中的很多限定为具有固定值,而其他的是可变或“浮动”参数,用于以下工艺步骤的目的。为了描述图20,仅可变参数被视为参数pi。
由于EUV辐射的浅穿透深度,所以提供表示下面的层性质的浮动参数的需要可以与利用较长波长的光学量测的情况中相比较低。结果,对于给定水平的计算复杂性,本文中所公开的EUV光谱量测可以提供与光学CD量测工具中相比更加鲁棒性的针对工艺变化的测量。
在S15处,通过设定用于浮动参数(即,p1(0)、p2(0)、p3(0),诸如此类)的初始值pi(0)来估计模型目标形状。各浮动参数将在某些预定范围内生成,如配方中所限定的。
在S16处,使用表示估计形状的参数与模型中的不同材料的性质一起来计算散射性质,例如使用诸如RCWA或Maxwell方程的任何其他求解器等的严格计算方法。这给出了对于各角度α(或角度α和的组合)的估计目标形状的估计或模型反射光谱。
在S17和S18处,接着将测得的反射光谱与模型反射光谱进行比较并且使用它们的相似性和差异来计算用于模型目标形状的“价值函数”。
假设价值函数指示出模型在其准确地表示实际目标形状之前需要被改进,则控制进行到步骤S19,在那里估计新的参数p1(1)、p2(1)、p3(1)等等并以迭代的方式反馈回到步骤S16中。重复步骤S16至S18。为了辅助搜索,步骤S16中的计算进一步生成价值函数的偏导数,指示了在参数空间中的该特定区域中增加或减小参数将增加或减小价值函数的敏感度。价值函数的计算和导数的使用在本领域中一般是已知的,并且将不在这里详细描述。
当价值函数指示出该迭代过程以期望的准确度收敛于解时,控制进行到步骤S20并且将当前估计的参数(例如,CD值)被报告作为实际目标结构的测量。
该迭代过程的计算时间很大程度上由所使用的模型来确定,换言之通过使用严格衍射理论从估计的目标结构进行的估计模型光谱的计算来确定。如果要求更多参数,那么存在有更多的自由度。计算时间原则上随着自由度的数目的幂增加。在S16处计算出的估计或模型光谱可以以各种形式来表达。如果计算出的图案以与步骤S13中生成的测得光谱相同的形式来表达,则使比较简化。一旦已计算出一个目标的值,就可以使用相同的步骤S13等等测量在相同衬底或相似衬底上的新目标,而不用改变测量配方。在要测量不同类型的衬底或目标的情况下,或者在其中期望改变测量配方的任何情况中,控制替代地进行到步骤S11或S12。
图21图示出测量方法(例如,图20的方法)在光刻制造系统的管理中的应用。步骤将在这里列出,并接着更详细地说明:
S21:处理晶片以在衬底上产生结构
S22:测量横跨衬底的CD和/或其他参数
S23:更新量测配方
S24:更新光刻和/或工艺配方
在步骤S21处,使用光刻制造系统横跨衬底产生结构。在S22处,使用EUV量测设备244和可选的其他量测设备和信息源来测量横跨衬底的结构的性质。在步骤S23处,可选地,按照所获得的测量结果来更新EUV量测设备和/或其他量测设备240的量测配方和校准。例如,在EUV量测设备244具有与光学量测设备240相比较低的吞吐量的情况下,对于特定衬底设计和工艺,使用EUV辐射进行的几个准确的测量可以被用来提高使用光学量测设备所进行的测量的计算。
在步骤S24处,将CD或其他参数的测量与期望值进行比较,并用来更新光刻制造系统内的光刻设备和/或其他设备的设定。通过提供具有提高的吞吐量的EUV量测设备,可以提高整个系统的性能。可以直接地测量产品特征和/或产品类特征,即使在最小技术节点处,并且可以提供并测量裸片内目标而不会损失太多区域。
在以上步骤中,假设横跨衬底和横跨多个衬底测量充分的目标,并且可推导出统计上可靠的工艺模型。CD和其他参数的分布不需要整体表达为横跨衬底的变化。可以例如被表达为对于所有场共用的场内分布(在衬底W上的不同位置处使用图案形成装置M进行图案化的各实例)和场内变化被重复地叠加在其上的较低阶场间变化。在步骤S24中经过调整的光刻工艺的设定可以包括场内设定以及场间设定。它们可以应用于设备的所有操作,或者特定于特定的产品层。
通过EUV反射法的重叠量测
特别地参照图16至图19的示例,本文中所描述的方法和设备也可以应用于诸如重叠等的不对称性相关特征的测量。由于辐射的浅穿透深度,半导体产品中的层之间的重叠可能在一些入射角和/或波长处难以使用EUV光谱反射法来测量。尽管如此,通过提供具有宽范围的波长(例如,1nm至100nm或1nm至150nm)且具有使用升高的入射角的可能性的图示设备,重叠的实际测量可以是期望的。在多重图案化工艺中,结构不是在一个图案化操作中而是在两个或更多图案化步骤中被形成在产品的一个层中。因此,例如,第一群体的结构可以与第二群体的结构交错,并且群体在不同的步骤中形成,以便获得与一个步骤独自可以产生的分辨率相比更高的分辨率。虽然群体的放置应该相对于衬底上的其他特征一样且完美,但是当然每一个真实的图案都会展现出某一位置偏移。群体之间的任何无意的位置偏移可以被看作一种形式的重叠,并且可以通过目标光栅或由多重图案化工艺形成的产品特征的不对称性来测量。对于简单光栅结构,也可以测量其他类型的不对称性,例如侧壁不对称性和沟槽底部不对称性。
虽然可以从(零阶)反射光谱310、1410、1710、1910来测量不对称性,但是在图16至图19的示例中检测到的一阶衍射光谱1752和1952中不对称性信息将更强。相应地,EUV量测的方法可以包括使用表示来自周期性结构的一阶衍射光谱的信号SF来测量结构中的不对称性。结构可以仅在一个定向上测量,或者其可以在转动了180°的定向(Rz)上测量。如从可见波长处的基于衍射的重叠知道的,可以通过比较+1和-1阶衍射辐射的强度来计算出不对称性。通过使目标转动经过180°,可以获得信号SF(+1)和SF(-1)并进行比较。可以像目标的任何其他性质一样通过图20中所描述的类型的重建方法来计算出不对称性。备选地,更简单的计算与现有校准组合可以更直接地基于比较+1和-1阶光谱。然而,使用完全重建与光谱手段组合,可得到的信息与简单地比较在单个波长处的+1和-1阶强度相比可以辅助更准确的测量。在EUV反射法中,有利的是目标可以由产品特征或产品类特征制成,这对于利用使用较长波长的当前光学技术是不可能的。期望对于重叠的敏感度大于当前工具。
混合量测系统
可以生产出包括用于执行的EUV量测设备244和用于执行更传统的散射法测量的光学量测设备240两者的混合量测设备。设备两者可以在相同衬底W的相同部分或不同部分上同时地工作。两个设备可以在实践中在不同的时间操作,同时共享诸如衬底处理和定位系统等的共同的部件。量测设备可以或者与光刻设备LA自身集成为一体或者被集成到光刻单元LC内。
不同的设备可以测量不同的目标结构,使得例如光学量测设备240被用来测量目标T1,而EUV量测设备244被用来测量目标T2。我们在2014年5月13日提交的欧洲专利申请14168067.8[申请人案号2014P00038]中公开了这样的混合量测技术的应用和益处,该申请在本申请的优先权日未公布。
结论
虽然上面已描述了本发明的特定实施例,但应领会的是,本发明可以除所描述的以外以别的方式来实践。与如在衬底和图案形成装置上所实现的新颖的目标相关联,实施例可以包括包含计算机可读指令的一个或多个序列的计算机程序,计算机可读指令描述了在衬底上产生目标、测量衬底上的目标和/或处理测量以获得关于光刻工艺的信息的方法。该计算机程序可以例如在图3的设备中的单元PU和/或图2的控制单元LACU内执行。也可以提供在其上存储有这样的计算机程序的数据存储介质(例如,半导体存储器、磁盘或光盘)。
在下面编号的子句中提供根据本发明的进一步的实施例:
1.一种测量通过光刻工艺制造的结构的性质的方法,方法包括:
(a)沿着辐照方向用辐射的射束辐照周期性结构,周期性结构已通过所述光刻工艺形成在衬底上并且具有在至少第一方向上的周期性,辐射包括在1nm至100nm的范围内的多个波长,辐照方向从与衬底平行的方向偏离大于2°;
(b)检测由周期性结构反射的辐射的光谱,和
(c)处理表示检测到的光谱的信号以确定周期性结构的性质。
2.根据子句1的方法,其中辐射的射束在被投影到周期性结构上时具有小于10μm、可选地小于5μm的限度。
3.根据子句1或2的方法,其中辐射的射束具有小于1μm、可选地小于500nm的最小直径。
4.根据子句2或3的方法,其中在检测所述光谱之前调整相对于与衬底平行的方向的辐照方向,并且对应地调整辐射的射束的直径以调整在投影到周期性结构上时的射束的限度。
5.根据任一项前述子句的方法,其中被限定为辐照方向和与衬底平行的方向之间的角度的入射角在5°与45°之间、可选地在10°与30°之间。
6.根据任一项前述子句的方法,其中在步骤(a)中的辐射是通过使源射束在第一和第二维度两者上聚焦而生成的辐射的射束。
7.根据子句6的方法,其中在第一和第二维度两者上的聚焦使用二维曲面反射器来执行。
8.根据任一项前述子句的方法,其中用来生成辐射的射束的照射系统被接纳在真空环境中,并且衬底被保持在低压气体环境中,低压气体环境由可打开以装载和卸载新衬底而不干扰照射系统的真空环境的外壳限定。
9.根据任一项前述子句的方法,其中用来检测反射辐射的光谱的检测系统被接纳在真空环境中,并且衬底被保持在低压气体环境中,低压气体环境由可打开以装载和卸载新衬底而不干扰检测系统的真空环境的外壳限定。
10.根据任一项前述子句的方法,其中辐照方向限定了在被投影到衬底的平面上时相对于第一方向的非零方位角。
11.根据子句10的方法,其中所述方位角被选择成使得周期性结构在一个或多个非零衍射阶中的衍射效率大于对于零方位角的辐照方向的情况。
12.根据子句11的方法,其中方位角被选择成使得周期性结构在一阶衍射中的衍射效率是对于零方位角的衍射效率的超过两倍、可选地超过五倍或者超过十倍。
13.根据任一项前述子句的方法,其中步骤(b)进一步包括:检测由周期性结构衍射的辐射的非零衍射阶,非零衍射阶通过周期性结构被扩展到光谱中。
14.根据任一项前述子句的方法,其中所述性质是不对称性。
15.根据任一项前述子句的方法,其中所述性质是不对称性,并且周期性结构是通过一个或多个图案化步骤形成在一个或多个层中的光栅。
16.根据子句13至15中的任一项的方法,其中所述其中步骤(a)和(b)被执行至少两次,其中周期性结构围绕法向轴线转动0°和180°,并且其中在步骤(c)中表示0°和180°转动下的非零衍射阶的光谱的信号被一起使用以确定周期性结构的不对称性。
17.根据任一项前述子句的方法,其中使用不同辐照方向重复步骤(a)和(b),并且其中在步骤(c)中表示使用多个不同辐照角度检测到的反射辐射的光谱的信号被一起使用以确定周期性结构的性质。
18.根据任一项前述子句的方法,其中步骤(c)包括:限定周期性结构的参数化模型和基于检测到的反射辐射使用模型来执行结构的数学重建。
19.根据任一项前述子句的方法,其中所述性质是线宽。
20.一种用于在测量光刻工艺的性能时使用的量测设备,设备包括:
辐照系统,用于生成辐射的射束,辐射包括在1nm至100nm的范围内的多个波长;
衬底支撑件,能够与辐照系统一起操作,以用于沿着辐照方向用辐射辐照形成在衬底上的周期性结构,辐照方向从与衬底平行的方向偏离大于2°;和
检测系统,用于检测由周期性结构反射的辐射的光谱。
21.根据子句20的设备,其中辐射的射束在被投影到周期性结构上时具有小于10μm、可选地小于5μm的限度。
22.根据子句20或21的设备,其中辐射的射束可以形成有小于1μm、可选地小于500nm的最小直径。
23.根据子句21或22的设备,其中相对于与衬底平行的方向的辐照方向是可调整的,并且辐射的射束的直径是对应地可调整的,以调整在投影到周期性结构上时的射束的限度。
24.根据子句20至23中的任一项的设备,其中被限定为辐照方向和与衬底平行的方向之间的角度的入射角可以被至少设定为在5°与45°之间的值。
25.根据子句20至24中的任一项的设备,辐射的射束通过使源射束在第一和第二维度两者上聚焦而生成。
26.根据子句25的设备,其中二维曲面反射器被提供用于使第射束在第一和第二维度两者上聚焦。
27.根据子句20至26中的任一项的设备,其中用来生成辐射的射束的照射系统被接纳在真空环境中,并且衬底被保持在低压气体环境中,低压气体环境由可打开以装载和卸载新衬底而不干扰照射系统的真空环境的外壳限定。
28.根据子句20至27中的任一项的设备,其中用来检测反射辐射的光谱的检测系统被接纳在真空环境中,并且衬底被保持在低压气体环境中,低压气体环境由可打开以装载和卸载新衬底而不干扰检测系统的真空环境的外壳限定。
29.根据子句20至28中的任一项的设备,其中辐照方向限定了在被投影到衬底的平面上时相对于第一方向的非零方位角,并且方位角是在不用拆下所述衬底的情况下可调整的。
30.根据子句20至29中的任一项的设备,进一步包括用于检测由周期性结构衍射的辐射的非零衍射阶的检测器,非零衍射阶通过周期性结构被扩展到光谱中。
31.根据子句20至30中的任一项的设备,其中衬底支撑件适于从自动晶片处理器接收半导体晶片。
32.根据子句20至31中的任一项的设备,进一步包括处理系统,处理系统用于处理表示检测到的反射辐射的信号以确定周期性结构的性质。
33.一种器件制造方法,包括:
使用光刻工艺将来自图案形成装置的图案转移到衬底上,图案限定了至少一个周期性结构;
测量周期性结构的一个或多个性质,以确定光刻工艺的一个或多个参数的值;和
根据测得的性质在光刻工艺的后续操作中应用校正,
其中测量周期性结构的性质的步骤包括通过根据子句1至19中的任一项的方法来测量性质。
34.根据子句33的器件制造方法,其中所述功能性器件图案限定了具有小于50nm、可选地小于20nm的临界尺寸的产品特征。
35.一种测量由光刻工艺制造的结构的性质的方法,方法包括:
(a)沿着辐照方向用辐射的射束辐照周期性结构,周期性结构已通过所述光刻工艺形成在衬底上并且具有在至少第一方向上的周期性,辐射包括在1nm至100nm的范围内的多个波长,辐照方向从与衬底平行的方向偏离大于2°;
(b)检测由周期性结构衍射的辐射的光谱,非零衍射阶通过周期性结构被扩展到由周期性结构反射的所述光谱中;和,
(c)处理表示检测到的光谱的信号以确定周期性结构的性质。
36.根据子句35的方法,其中所述性质是不对称性。
37.根据子句35或36的方法,其中步骤(c)进一步包括:计算周期性结构的在不同图案化步骤中形成的部分之间的重叠的度量。
38.根据子句36或37的方法,其中周期性结构是通过两个或多个图案化步骤形成在一个或多个层中的光栅。
39.一种测量由光刻工艺制造的结构的性质的方法,方法包括:
(a)沿着辐照方向用辐射的射束辐照周期性结构,周期性结构已通过所述光刻工艺形成在衬底上并且具有在至少第一方向上的周期性,辐射包括在1nm至150nm的范围内的多个波长,辐照方向从与衬底平行的方向偏离大于2°;
(b)检测由周期性结构反射的辐射的光谱,和
(c)处理表示检测到的光谱的信号以确定周期性结构的性质。
40.一种用于在测量光刻工艺的性能时使用的量测设备,设备包括:
辐照系统,用于生成辐射的射束,辐射包括在1nm至150nm的范围内的多个波长;
衬底支撑件,能够与辐照系统一起操作,以用于沿着辐照方向用辐射辐照形成在衬底上的周期性结构,辐照方向从与衬底平行的方向偏离大于2°;和
检测系统,用于检测由周期性结构反射的辐射的光谱。
41.一种测量由光刻工艺制造的结构的性质的方法,方法包括:
(a)沿着辐照方向用辐射的射束辐照周期性结构,周期性结构已通过所述光刻工艺形成在衬底上并且具有在至少第一方向上的周期性,辐射包括在1nm至150nm的范围内的多个波长,辐照方向从与衬底平行的方向偏离大于2°;
(b)检测由周期性结构衍射的辐射的光谱,非零衍射阶通过周期性结构被扩展到由周期性结构反射的所述光谱中;和,
(c)处理表示检测到的光谱的信号以确定周期性结构的性质。
尽管已描述了呈物理掩模版的形式的图案形成装置,但是该申请中的术语“图案形成装置”还包括传送数字形式的图案的数据产品,例如待与可编程图案形成装置结合地使用。
尽管上面可能具体参考了本发明的实施例在光学光刻的背景下的使用,但应当领会的是,本发明可以用在其它应用中,例如压印光刻,并且只要上下文允许不限于光学光刻。在压印光刻中,图案形成装置中的形貌限定了在衬底上创建的图案。图案形成装置的形貌可以被压入供给到衬底的一层抗蚀剂中,随之通过施加电磁辐射、热、压力或其组合使抗蚀剂固化。在抗蚀剂固化之后将图案形成装置从抗蚀剂上移走,在其上留下图案。
相对于光刻设备所使用的术语“辐射”和“射束”涵盖所有类型的电磁辐射,包括紫外线(UV)辐射(例如,具有或大约365nm、355nm、248nm、193nm、157nm或126nm的波长)和极紫外线(EUV)辐射(例如,具有在5nm-20nm的范围内的波长),以及诸如离子束或电子束等的粒子束。
术语“透镜”只要上下文允许,可以是指各种类型光学部件中的任一个或组合,光学部件包括折射、反射、磁性、电磁和静电光学部件。
具体实施例的上述描述将会如此充分地揭示本发明的一般性质,使得其他人可以通过应用本领域技术范围内的知识来容易地在无需过多实验的情况下为各种应用修改和/或改变这样的具体实施例,而不会脱离本发明的一般概念。因此,基于本文呈现的教导和指导,这样的改变和修改旨在在所公开的实施例的等同物的含义和范围内。应当理解的是,本文中的措辞或专门术语是为了通过示例而不是限制的描述的目的,使得本说明书的专门术语或措辞将由本领域技术人员按照教导和指导来解释。
本发明的广度和范围不应受上面描述的示例性实施例中的任一个限制,而应仅根据以下权利要求及其等同物来限定。
Claims (46)
1.一种测量通过光刻工艺制造的结构的性质的方法,所述方法包括:
(a)沿着辐照方向用辐射的射束辐照周期性结构,所述周期性结构已通过所述光刻工艺形成在衬底上并且具有在至少第一方向上的周期性,所述辐射包括在1nm至100nm的范围内的多个波长,所述辐照方向从与所述衬底平行的方向偏离大于2°,其中所述辐射的射束具有小于10μm的光斑尺寸;
(b)检测由所述周期性结构反射的辐射的光谱,和
(c)处理表示所检测到的光谱的信号以确定所述周期性结构的性质。
2.根据权利要求1所述的方法,其中所述辐射的射束具有小于5μm的光斑尺寸。
3.根据权利要求1所述的方法,其中所述辐射的射束具有小于1μm的最小直径。
4.根据权利要求3所述的方法,其中所述辐射的射束具有小于500nm的最小直径。
5.根据权利要求1所述的方法,其中在检测所述光谱之前调整相对于与所述衬底平行的方向的所述辐照方向,并且对应地调整所述辐射的射束的直径以调整在投影到所述周期性结构上时的射束的限度。
6.根据权利要求1至5中任一项所述的方法,其中被限定为所述辐照方向和与所述衬底平行的方向之间的角度的入射角在5°与45°之间。
7.根据权利要求6所述的方法,其中被限定为所述辐照方向和与所述衬底平行的方向之间的角度的入射角在10°与30°之间。
8.根据权利要求1至5中任一项所述的方法,其中在步骤(a)中的所述辐射是通过使源射束在第一维度和第二维度两者上聚焦而生成的辐射的射束。
9.根据权利要求8所述的方法,其中在所述第一维度和所述第二维度两者上的所述聚焦使用二维曲面反射器来执行。
10.根据权利要求1至5中任一项所述的方法,其中用来生成所述辐射的射束的照射系统被接纳在真空环境中,并且所述衬底被保持在低压气体环境中,所述低压气体环境由可打开以装载和卸载新衬底而不干扰所述照射系统的所述真空环境的外壳限定。
11.根据权利要求1至5中任一项所述的方法,其中用来检测反射的辐射的所述光谱的检测系统被接纳在真空环境中,并且所述衬底被保持在低压气体环境中,所述低压气体环境由可打开以装载和卸载新衬底而不干扰所述检测系统的所述真空环境的外壳限定。
12.根据权利要求1至5中任一项所述的方法,其中所述辐照方向限定了在被投影到所述衬底的平面上时相对于所述第一方向的非零方位角。
13.根据权利要求12所述的方法,其中所述方位角被选择成使得所述周期性结构在一个或多个非零衍射阶中的衍射效率大于对于零方位角的辐照方向的情况。
14.根据权利要求13所述的方法,其中所述方位角被选择成使得所述周期性结构在一阶衍射中的衍射效率是对于零方位角的衍射效率的超过两倍。
15.根据权利要求14所述的方法,其中所述方位角被选择成使得所述周期性结构在一阶衍射中的衍射效率是对于零方位角的衍射效率的超过五倍或者超过十倍。
16.根据权利要求1至5中任一项所述的方法,其中步骤(b)进一步包括:检测由所述周期性结构衍射的辐射的非零衍射阶,所述非零衍射阶通过所述周期性结构被扩展到光谱中。
17.根据权利要求1至5中任一项所述的方法,其中所述性质是不对称性。
18.根据权利要求1至5中任一项所述的方法,其中所述性质是不对称性,并且所述周期性结构是通过两个或更多图案化步骤形成在一个或多个层中的光栅。
19.根据权利要求16所述的方法,其中所述其中步骤(a)和(b)被执行至少两次,其中所述周期性结构围绕法向轴线转动0°和180°,并且其中在步骤(c)中表示0°和180°转动下的所述非零衍射阶的所述光谱的信号被一起使用以确定所述周期性结构的不对称性。
20.根据权利要求1至5中任一项所述的方法,其中使用不同辐照方向重复步骤(a)和(b),并且其中在步骤(c)中包括处理表示使用多个不同辐照角度检测到的反射的辐射的光谱的信号,以确定所述周期性结构的所述性质。
21.根据权利要求1至5中任一项所述的方法,其中步骤(c)包括:限定所述周期性结构的参数化模型和基于检测到的反射的辐射使用所述模型来执行所述结构的数学重建。
22.根据权利要求1至5中任一项所述的方法,其中所述性质是线宽。
23.一种用于在测量光刻工艺的性能时使用的量测设备,所述设备包括:
辐照系统,用于生成辐射的射束,所述辐射包括在1nm至100nm的范围内的多个波长;
衬底支撑件,被配置为支撑衬底并且能够与所述辐照系统一起操作,以用于沿着辐照方向用辐射辐照形成在所述衬底上的周期性结构,所述辐照方向从与所述衬底平行的方向偏离大于2°,其中所述辐射的射束在所述衬底上具有小于10μm的光斑尺寸;和
检测系统,用于检测由所述周期性结构反射的辐射的光谱。
24.根据权利要求23所述的设备,其中所述辐射的射束具有小于5μm的光斑尺寸。
25.根据权利要求23所述的设备,其中所述辐射的射束能够形成有小于1μm的最小直径。
26.根据权利要求25所述的设备,其中所述辐射的射束能够形成有小于500nm的最小直径。
27.根据权利要求23所述的设备,其中相对于与所述衬底平行的方向的所述辐照方向是可调整的,并且所述辐射的射束的直径是对应地可调整的,以调整在投影到所述周期性结构上时的射束的限度。
28.根据权利要求23至27中的任一项所述的设备,其中被限定为所述辐照方向和与所述衬底平行的方向之间的角度的入射角能够被至少设定为在5°与45°之间的值。
29.根据权利要求23至27中的任一项所述的设备,所述辐射的射束通过使源射束在第一维度和第二维度两者上聚焦而生成。
30.根据权利要求29所述的设备,其中二维曲面反射器被提供用于使所述射束在所述第一维度和所述第二维度两者上聚焦。
31.根据权利要求23至27中的任一项所述的设备,其中用来生成所述辐射的射束的照射系统被接纳在真空环境中,并且所述衬底被保持在低压气体环境中,所述低压气体环境由可打开以装载和卸载新衬底而不干扰所述照射系统的所述真空环境的外壳限定。
32.根据权利要求23至27中的任一项所述的设备,其中用来检测所述反射辐射的所述光谱的检测系统被接纳在真空环境中,并且所述衬底被保持在低压气体环境中,所述低压气体环境由可打开以装载和卸载新衬底而不干扰所述检测系统的所述真空环境的外壳限定。
33.根据权利要求23至27中的任一项所述的设备,其中所述辐照方向限定了在被投影到所述衬底的平面上时相对于第一方向的非零方位角,并且所述方位角是在不用拆下所述衬底的情况下可调整的。
34.根据权利要求23至27中的任一项所述的设备,进一步包括用于检测由所述周期性结构衍射的辐射的非零衍射阶的检测器,所述非零衍射阶通过所述周期性结构被扩展到光谱中。
35.根据权利要求23至27中的任一项所述的设备,其中所述衬底支撑件适于从自动晶片处理器接收半导体晶片。
36.根据权利要求23至27中的任一项所述的设备,进一步包括处理系统,所述处理系统用于处理表示检测到的反射的辐射的信号以确定所述周期性结构的性质。
37.一种器件制造方法,包括:
使用光刻工艺将来自图案形成装置的图案转移到衬底上,所述图案限定了至少一个周期性结构;
测量所述周期性结构的一个或多个性质,以确定所述光刻工艺的一个或多个参数的值;和
根据所测得的性质在所述光刻工艺的后续操作中应用校正,
其中测量所述周期性结构的所述性质的步骤包括通过根据权利要求1至22中的任一项所述的方法来测量性质。
38.根据权利要求37所述的器件制造方法,其中所述图案限定了具有小于50nm的临界尺寸的产品特征。
39.根据权利要求38所述的器件制造方法,其中所述图案限定了具有小于20nm的临界尺寸的产品特征。
40.一种测量通过光刻工艺制造的结构的性质的方法,所述方法包括:
(a)沿着辐照方向用辐射的射束辐照周期性结构,所述周期性结构已通过所述光刻工艺形成在衬底上并且具有在至少第一方向上的周期性,所述辐射包括在1nm至100nm的范围内的多个波长,所述辐照方向从与所述衬底平行的方向偏离大于2°,其中所述辐射的射束在所述衬底上具有小于10μm的光斑尺寸;
(b)检测由所述周期性结构衍射的辐射的光谱,其中所述辐射的非零衍射阶通过所述周期性结构被扩展到所述光谱中;和,
(c)处理表示所检测到的光谱的信号以确定所述周期性结构的性质。
41.根据权利要求40所述的方法,其中所述性质是不对称性。
42.根据权利要求40或41所述的方法,其中步骤(c)进一步包括:计算所述周期性结构的在不同图案化步骤中形成的部分之间的重叠的度量。
43.根据权利要求40或41所述的方法,其中所述周期性结构是通过两个或更多图案化步骤形成在一个或多个层中的光栅。
44.一种测量通过光刻工艺制造的结构的性质的方法,所述方法包括:
(a)沿着辐照方向用辐射的射束辐照周期性结构,所述周期性结构已通过所述光刻工艺形成在衬底上并且具有在至少第一方向上的周期性,所述辐射包括在1nm至150nm的范围内的多个波长,所述辐照方向从与所述衬底平行的方向偏离大于2°,其中所述辐射的射束在所述衬底上具有小于10μm的光斑尺寸;
(b)检测由所述周期性结构反射的辐射的光谱,和
(c)处理表示所检测到的光谱的信号以确定所述周期性结构的性质。
45.一种用于在测量光刻工艺的性能时使用的量测设备,所述设备包括:
辐照系统,用于生成辐射的射束,所述辐射包括在1nm至150nm的范围内的多个波长;
衬底支撑件,能够与所述辐照系统一起操作,以用于沿着辐照方向用辐射辐照形成在所述衬底上的周期性结构,所述辐照方向从与所述衬底平行的方向偏离大于2°,其中所述辐射的射束在所述衬底上具有小于10μm的光斑尺寸;和
检测系统,用于检测由所述周期性结构反射的辐射的光谱。
46.一种测量通过光刻工艺制造的结构的性质的方法,所述方法包括:
(a)沿着辐照方向用辐射的射束辐照周期性结构,所述周期性结构已通过所述光刻工艺形成在衬底上并且具有在至少第一方向上的周期性,所述辐射包括在1nm至150nm的范围内的多个波长,所述辐照方向从与所述衬底平行的方向偏离大于2°,其中所述辐射的射束在所述衬底上具有小于10μm的光斑尺寸;
(b)检测由所述周期性结构衍射的辐射的光谱,其中所述辐射的非零衍射阶通过所述周期性结构被扩展到所述光谱中;和,
(c)处理表示所检测到的光谱的信号以确定所述周期性结构的性质。
Applications Claiming Priority (3)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
EP15160786 | 2015-03-25 | ||
EP15160786.8 | 2015-03-25 | ||
PCT/EP2016/056254 WO2016150957A1 (en) | 2015-03-25 | 2016-03-22 | Metrology methods, metrology apparatus and device manufacturing method |
Publications (2)
Publication Number | Publication Date |
---|---|
CN107430352A CN107430352A (zh) | 2017-12-01 |
CN107430352B true CN107430352B (zh) | 2020-01-21 |
Family
ID=52727023
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
CN201680017204.3A Active CN107430352B (zh) | 2015-03-25 | 2016-03-22 | 量测方法、量测设备和器件制造方法 |
Country Status (8)
Country | Link |
---|---|
US (1) | US10067074B2 (zh) |
JP (2) | JP6602388B6 (zh) |
KR (1) | KR102010941B1 (zh) |
CN (1) | CN107430352B (zh) |
IL (1) | IL253833B (zh) |
NL (1) | NL2016472A (zh) |
TW (1) | TWI609250B (zh) |
WO (1) | WO2016150957A1 (zh) |
Families Citing this family (92)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN106462078B (zh) * | 2014-05-13 | 2018-10-02 | Asml荷兰有限公司 | 衬底和量测用图案形成装置、量测方法及器件制造方法 |
WO2016176502A1 (en) * | 2015-04-28 | 2016-11-03 | Kla-Tencor Corporation | Computationally efficient x-ray based overlay measurement |
WO2017063839A1 (en) * | 2015-10-12 | 2017-04-20 | Asml Netherlands B.V. | Methods and apparatus for simulating interaction of radiation with structures, metrology methods and apparatus, device manufacturing method |
CN113376975A (zh) | 2015-12-23 | 2021-09-10 | Asml荷兰有限公司 | 量测方法、量测设备、器件制造方法和计算机程序产品 |
CN109313390B (zh) | 2016-04-28 | 2021-05-25 | Asml荷兰有限公司 | Hhg源、检查设备和用于执行测量的方法 |
WO2017191084A1 (en) | 2016-05-04 | 2017-11-09 | Asml Netherlands B.V. | Method and apparatus for generating illuminating radiation |
CN109313393A (zh) | 2016-06-09 | 2019-02-05 | Asml荷兰有限公司 | 计量设备 |
US10775323B2 (en) | 2016-10-18 | 2020-09-15 | Kla-Tencor Corporation | Full beam metrology for X-ray scatterometry systems |
EP3321739A1 (en) * | 2016-11-11 | 2018-05-16 | ASML Netherlands B.V. | Illumination source for an inspection apparatus, inspection apparatus and inspection method |
WO2018108468A1 (en) | 2016-12-13 | 2018-06-21 | Universiteit Van Amsterdam | Radiation source apparatus and method, lithographic apparatus and inspection apparatus |
KR102370347B1 (ko) | 2017-02-02 | 2022-03-04 | 에이에스엠엘 네델란즈 비.브이. | 메트롤로지 방법 및 장치 및 연계된 컴퓨터 제품 |
EP3361315A1 (en) | 2017-02-09 | 2018-08-15 | ASML Netherlands B.V. | Inspection apparatus and method of inspecting structures |
EP3367165A1 (en) | 2017-02-23 | 2018-08-29 | ASML Netherlands B.V. | Methods of aligning a diffractive optical system and diffractive optical element |
EP3370486A1 (en) * | 2017-03-02 | 2018-09-05 | ASML Netherlands B.V. | Radiation source |
CN110622068B (zh) | 2017-04-14 | 2022-01-11 | Asml荷兰有限公司 | 测量方法 |
EP3410211A1 (en) | 2017-05-31 | 2018-12-05 | Stichting VU | Methods and apparatus for predicting performance of a measurement method, measurement method and apparatus |
IL270977B2 (en) | 2017-05-31 | 2024-01-01 | Asml Netherlands Bv | Methods and device for predicting performance of measurement method, measurement method and device |
EP3418806A1 (en) | 2017-06-19 | 2018-12-26 | ASML Netherlands B.V. | Methods and apparatus for optical metrology |
WO2018233946A1 (en) | 2017-06-19 | 2018-12-27 | Asml Netherlands B.V. | METHODS AND APPARATUS FOR OPTICAL METROLOGY |
CN110799903B (zh) | 2017-06-20 | 2021-11-16 | Asml荷兰有限公司 | 确定边缘粗糙度参数 |
EP3467589A1 (en) | 2017-10-06 | 2019-04-10 | ASML Netherlands B.V. | Determining edge roughness parameters |
EP3435161A1 (en) | 2017-07-24 | 2019-01-30 | ASML Netherlands B.V. | Determining an edge roughness parameter of a periodic structure |
US10499876B2 (en) * | 2017-07-31 | 2019-12-10 | Taiwan Semiconductor Manufacturing Company, Ltd. | Test key design to enable X-ray scatterometry measurement |
EP3441820A1 (en) | 2017-08-11 | 2019-02-13 | ASML Netherlands B.V. | Methods and apparatus for determining the position of a spot of radiation and inspection apparatus |
EP3444675A1 (en) * | 2017-08-14 | 2019-02-20 | ASML Netherlands B.V. | Optical detector |
EP3457211A1 (en) * | 2017-09-13 | 2019-03-20 | ASML Netherlands B.V. | A method of aligning a pair of complementary diffraction patterns and associated metrology method and apparatus |
EP3457212A1 (en) * | 2017-09-18 | 2019-03-20 | ASML Netherlands B.V. | Method of controlling a patterning process, device manufacturing method |
WO2019129465A1 (en) | 2017-12-28 | 2019-07-04 | Asml Netherlands B.V. | A metrology apparatus for and a method of determining a characteristic of interest of a structure on a substrate |
EP3528048A1 (en) | 2018-02-15 | 2019-08-21 | ASML Netherlands B.V. | A metrology apparatus for and a method of determining a characteristic of interest of a structure on a substrate |
EP3570109A1 (en) | 2018-05-14 | 2019-11-20 | ASML Netherlands B.V. | Illumination source for an inspection apparatus, inspection apparatus and inspection method |
EP3582009A1 (en) | 2018-06-15 | 2019-12-18 | ASML Netherlands B.V. | Reflector and method of manufacturing a reflector |
EP3614813A1 (en) | 2018-08-21 | 2020-02-26 | ASML Netherlands B.V. | High harmonic generation radiation source |
EP3627226A1 (en) | 2018-09-20 | 2020-03-25 | ASML Netherlands B.V. | Optical system, metrology apparatus and associated method |
EP3629086A1 (en) | 2018-09-25 | 2020-04-01 | ASML Netherlands B.V. | Method and apparatus for determining a radiation beam intensity profile |
EP3629087A1 (en) | 2018-09-26 | 2020-04-01 | ASML Netherlands B.V. | Method of manufacturing devices |
US11087065B2 (en) | 2018-09-26 | 2021-08-10 | Asml Netherlands B.V. | Method of manufacturing devices |
EP3640735A1 (en) * | 2018-10-18 | 2020-04-22 | ASML Netherlands B.V. | Methods and apparatus for inspection of a structure and associated apparatuses |
US12044980B2 (en) | 2018-12-03 | 2024-07-23 | Asml Netherlands B.V. | Method of manufacturing devices |
CN113196176A (zh) | 2018-12-21 | 2021-07-30 | Asml荷兰有限公司 | 用于计量的方法和装置 |
EP3699688A1 (en) | 2019-02-19 | 2020-08-26 | ASML Netherlands B.V. | Methods and apparatus for metrology |
EP3686673A1 (en) | 2019-01-25 | 2020-07-29 | ASML Netherlands B.V. | Wavefront sensor and associated metrology apparatus |
EP3719545A1 (en) | 2019-04-03 | 2020-10-07 | ASML Netherlands B.V. | Manufacturing a reflective diffraction grating |
EP3611567A3 (en) | 2019-07-23 | 2020-05-13 | ASML Netherlands B.V. | Improvements in metrology targets |
WO2021040063A1 (ko) * | 2019-08-23 | 2021-03-04 | 주식회사 스킨어세이 | 분광기 및 이미징 장치 |
US11460418B2 (en) * | 2019-08-26 | 2022-10-04 | Kla Corporation | Methods and systems for semiconductor metrology based on wavelength resolved soft X-ray reflectometry |
EP3792673A1 (en) | 2019-09-16 | 2021-03-17 | ASML Netherlands B.V. | Assembly for collimating broadband radiation |
EP3789809A1 (en) | 2019-09-03 | 2021-03-10 | ASML Netherlands B.V. | Assembly for collimating broadband radiation |
US20220326152A1 (en) | 2019-09-05 | 2022-10-13 | Asml Netherlands B.V. | An improved high harmonic generation apparatus |
EP3790364A1 (en) | 2019-09-05 | 2021-03-10 | ASML Netherlands B.V. | An improved high harmonic generation apparatus |
EP3839621A1 (en) | 2019-12-16 | 2021-06-23 | ASML Netherlands B.V. | An illumination source and associated metrology apparatus |
EP4045973A1 (en) | 2019-10-17 | 2022-08-24 | ASML Netherlands B.V. | An illumination source and associated metrology apparatus |
EP3816721A1 (en) | 2019-10-29 | 2021-05-05 | ASML Netherlands B.V. | Method and apparatus for efficient high harmonic generation |
KR20220066963A (ko) | 2019-11-05 | 2022-05-24 | 에이에스엠엘 네델란즈 비.브이. | 측정 방법 및 측정 장치 |
EP3869270A1 (en) | 2020-02-18 | 2021-08-25 | ASML Netherlands B.V. | Assemblies and methods for guiding radiation |
EP3851915A1 (en) | 2020-01-14 | 2021-07-21 | ASML Netherlands B.V. | Method for correcting measurements in the manufacture of integrated circuits and associated apparatuses |
IL293749A (en) | 2019-12-18 | 2022-08-01 | Asml Netherlands Bv | A method for correcting measurements in the production of integrated circuits and related devices |
EP3879343A1 (en) | 2020-03-11 | 2021-09-15 | ASML Netherlands B.V. | Metrology measurement method and apparatus |
EP3962241A1 (en) | 2020-08-26 | 2022-03-02 | ASML Netherlands B.V. | An illumination source and associated metrology apparatus |
US20230288818A1 (en) | 2020-07-21 | 2023-09-14 | ASML Netherlands B,V. | An illumination source and associated metrology apparatus |
KR20230044204A (ko) * | 2020-08-05 | 2023-04-03 | 에이에스엠엘 네델란즈 비.브이. | 제조 공정 편차 결정 방법, 교정 방법, 검사 도구, 제조 시스템 및 샘플 |
EP3951500A1 (en) * | 2020-08-05 | 2022-02-09 | ASML Netherlands B.V. | A fabrication process deviation determination method, calibration method, inspection tool, fabrication system and a sample |
EP3958052A1 (en) | 2020-08-20 | 2022-02-23 | ASML Netherlands B.V. | Metrology method for measuring an exposed pattern and associated metrology apparatus |
EP3964809A1 (en) | 2020-09-02 | 2022-03-09 | Stichting VU | Wavefront metrology sensor and mask therefor, method for optimizing a mask and associated apparatuses |
EP4006640A1 (en) | 2020-11-26 | 2022-06-01 | Stichting Nederlandse Wetenschappelijk Onderzoek Instituten | Metrology apparatus and metrology methods based on high harmonic generation from a diffractive structure |
WO2022111935A1 (en) | 2020-11-30 | 2022-06-02 | Stichting Nederlandse Wetenschappelijk Onderzoek Instituten | Metrology apparatus based on high harmonic generation and associated method |
EP4006641A1 (en) | 2020-11-30 | 2022-06-01 | Stichting Nederlandse Wetenschappelijk Onderzoek Instituten | Metrology apparatus based on high harmonic generation and associated method |
WO2022174991A1 (en) | 2021-02-17 | 2022-08-25 | Asml Netherlands B.V. | Assembly for separating radiation in the far field |
EP4047400A1 (en) | 2021-02-17 | 2022-08-24 | ASML Netherlands B.V. | Assembly for separating radiation in the far field |
EP4170421A1 (en) | 2021-10-25 | 2023-04-26 | ASML Netherlands B.V. | A cleaning method and associated illumination source metrology apparatus |
WO2022228820A1 (en) | 2021-04-26 | 2022-11-03 | Asml Netherlands B.V. | A cleaning method and associated illumination source metrology apparatus |
IL308126A (en) | 2021-05-06 | 2023-12-01 | Asml Netherlands Bv | A method for determining a stochastic index related to a lithographic process |
EP4137889A1 (en) | 2021-08-20 | 2023-02-22 | ASML Netherlands B.V. | Metrology measurement method and apparatus |
IL308370A (en) | 2021-05-31 | 2024-01-01 | Asml Netherlands Bv | Metrological measurement method and device |
WO2022263102A1 (en) | 2021-06-14 | 2022-12-22 | Asml Netherlands B.V. | An illumination source and associated method apparatus |
EP4134734A1 (en) | 2021-08-11 | 2023-02-15 | ASML Netherlands B.V. | An illumination source and associated method apparatus |
DE102021206514A1 (de) * | 2021-06-24 | 2022-12-29 | Carl Zeiss Smt Gmbh | Messanordnung zur optischen Vermessung eines Testobjekts |
EP4194908A1 (en) | 2021-12-10 | 2023-06-14 | ASML Netherlands B.V. | Aperture and method |
IL314698A (en) | 2022-03-01 | 2024-10-01 | Asml Netherlands B V | Device and methods for filtering radiation measurement |
EP4250010A1 (en) | 2022-03-25 | 2023-09-27 | ASML Netherlands B.V. | Apparatus and methods for filtering measurement radiation |
EP4242744A1 (en) | 2022-03-09 | 2023-09-13 | ASML Netherlands B.V. | Method for correcting measurements in the manufacture of integrated circuits and associated apparatuses |
EP4303655A1 (en) | 2022-07-04 | 2024-01-10 | ASML Netherlands B.V. | A membrane and associated method and apparatus |
WO2023232408A1 (en) | 2022-05-31 | 2023-12-07 | Asml Netherlands B.V. | A membrane and associated method and apparatus |
WO2023232397A1 (en) | 2022-06-02 | 2023-12-07 | Asml Netherlands B.V. | Method for aligning an illumination-detection system of a metrology device and associated metrology device |
EP4296779A1 (en) | 2022-06-21 | 2023-12-27 | ASML Netherlands B.V. | Method for aligning an illumination-detection system of a metrology device and associated metrology device |
WO2023232478A1 (en) | 2022-06-02 | 2023-12-07 | Asml Netherlands B.V. | Method for parameter reconstruction of a metrology device and associated metrology device |
EP4328670A1 (en) | 2022-08-23 | 2024-02-28 | ASML Netherlands B.V. | Method for parameter reconstruction of a metrology device and associated metrology device |
EP4321933A1 (en) | 2022-08-09 | 2024-02-14 | ASML Netherlands B.V. | A radiation source |
WO2024052012A1 (en) | 2022-09-07 | 2024-03-14 | Asml Netherlands B.V. | Metrology method and associated metrology device |
EP4336262A1 (en) | 2022-09-07 | 2024-03-13 | ASML Netherlands B.V. | Metrology method and associated metrology device |
EP4354224A1 (en) | 2022-10-11 | 2024-04-17 | ASML Netherlands B.V. | Method for operating a detection system of a metrology device and associated metrology device |
WO2024170230A1 (en) | 2023-02-13 | 2024-08-22 | Asml Netherlands B.V. | Metrology method and associated metrology tool |
EP4414785A1 (en) | 2023-02-13 | 2024-08-14 | ASML Netherlands B.V. | Metrology method with beams incident on a target at a plurality of different angles of incidence and associated metrology tool |
Citations (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN104220932A (zh) * | 2012-02-21 | 2014-12-17 | Asml荷兰有限公司 | 检查设备和方法 |
CN104364605A (zh) * | 2012-04-18 | 2015-02-18 | 科磊股份有限公司 | 针对极紫外线光罩的临界尺寸均匀性监测 |
Family Cites Families (58)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPS61162738A (ja) * | 1985-01-11 | 1986-07-23 | Hitachi Ltd | 異物検査方法 |
CA2280794A1 (en) * | 1997-02-20 | 1998-08-27 | The Regents Of The University Of California | Plasmon resonant particles, methods and apparatus |
IL130874A (en) | 1999-07-09 | 2002-12-01 | Nova Measuring Instr Ltd | System and method for measuring pattern structures |
JP2002005823A (ja) * | 2000-06-19 | 2002-01-09 | Dainippon Screen Mfg Co Ltd | 薄膜測定装置 |
JP4039599B2 (ja) * | 2000-07-28 | 2008-01-30 | 株式会社リガク | X線装置 |
US6556652B1 (en) * | 2000-08-09 | 2003-04-29 | Jordan Valley Applied Radiation Ltd. | Measurement of critical dimensions using X-rays |
US6809809B2 (en) * | 2000-11-15 | 2004-10-26 | Real Time Metrology, Inc. | Optical method and apparatus for inspecting large area planar objects |
US20050087690A1 (en) * | 2001-12-28 | 2005-04-28 | Mamoru Usami | Spectral measurnig device |
JP3958134B2 (ja) | 2002-07-12 | 2007-08-15 | キヤノン株式会社 | 測定装置 |
AU2003300005A1 (en) | 2003-12-19 | 2005-08-03 | International Business Machines Corporation | Differential critical dimension and overlay metrology apparatus and measurement method |
JP2005291859A (ja) * | 2004-03-31 | 2005-10-20 | Nec Compound Semiconductor Devices Ltd | 微細構造測定方法、微細構造測定装置、および、微細構造解析システム |
US7791727B2 (en) * | 2004-08-16 | 2010-09-07 | Asml Netherlands B.V. | Method and apparatus for angular-resolved spectroscopic lithography characterization |
JPWO2006030627A1 (ja) * | 2004-09-17 | 2008-05-08 | 旭硝子株式会社 | Euvリソグラフィ用反射型マスクブランクスおよびその製造方法 |
TWI269870B (en) * | 2004-12-30 | 2007-01-01 | Ind Tech Res Inst | Method for deciding structure parameters of a grating |
DE102005027697A1 (de) * | 2005-06-15 | 2006-12-28 | Infineon Technologies Ag | EUV-Reflexionsmaske und Verfahren zu deren Herstellung |
US20070146708A1 (en) | 2005-11-24 | 2007-06-28 | Nikon Corporation | Mark structure, mark measurement apparatus, pattern forming apparatus and detection apparatus, and detection method and device manufacturing method |
US7480050B2 (en) | 2006-02-09 | 2009-01-20 | Asml Netherlands B.V. | Lithographic system, sensor, and method of measuring properties of a substrate |
US7481579B2 (en) | 2006-03-27 | 2009-01-27 | Jordan Valley Applied Radiation Ltd. | Overlay metrology using X-rays |
US7391513B2 (en) * | 2006-03-29 | 2008-06-24 | Asml Netherlands B.V. | Lithographic apparatus and device manufacturing method using overlay measurement quality indication |
US7570358B2 (en) | 2007-03-30 | 2009-08-04 | Asml Netherlands Bv | Angularly resolved scatterometer, inspection method, lithographic apparatus, lithographic processing cell device manufacturing method and alignment sensor |
US7920676B2 (en) * | 2007-05-04 | 2011-04-05 | Xradia, Inc. | CD-GISAXS system and method |
US8189195B2 (en) * | 2007-05-09 | 2012-05-29 | Asml Netherlands B.V. | Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method |
NL1036597A1 (nl) | 2008-02-29 | 2009-09-01 | Asml Netherlands Bv | Metrology method and apparatus, lithographic apparatus, and device manufacturing method. |
NL1036857A1 (nl) * | 2008-04-21 | 2009-10-22 | Asml Netherlands Bv | Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method. |
NL2004094A (en) * | 2009-02-11 | 2010-08-12 | Asml Netherlands Bv | Inspection apparatus, lithographic apparatus, lithographic processing cell and inspection method. |
NL2004405A (en) * | 2009-04-07 | 2010-10-11 | Asml Netherlands Bv | Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method. |
KR101461457B1 (ko) * | 2009-07-31 | 2014-11-13 | 에이에스엠엘 네델란즈 비.브이. | 계측 방법 및 장치, 리소그래피 시스템, 및 리소그래피 처리 셀 |
DE102009041405B4 (de) | 2009-09-14 | 2020-08-20 | Carl Zeiss Smt Gmbh | Maskeninspektionsmikroskop mit variabler Beleuchtungseinstellung |
NL2005733A (en) * | 2009-12-08 | 2011-06-09 | Asml Netherlands Bv | Methods and apparatus for determining electromagnetic scattering properties and structural parameters of periodic structures. |
NL2005821A (en) * | 2009-12-23 | 2011-06-27 | Asml Netherlands Bv | Lithographic apparatus, device manufacturing method, and method of applying a pattern to a substrate. |
NL2006229A (en) * | 2010-03-18 | 2011-09-20 | Asml Netherlands Bv | Inspection method and apparatus, and associated computer readable product. |
JP5785419B2 (ja) * | 2010-04-07 | 2015-09-30 | エーエスエムエル ネザーランズ ビー.ブイ. | 光学要素を冷却する方法、リソグラフィ装置、およびデバイスを製造する方法 |
NL2006700A (en) * | 2010-06-04 | 2011-12-06 | Asml Netherlands Bv | Method and apparatus for measuring a structure on a substrate, computer program products for implementing such methods & apparatus. |
WO2011157643A1 (en) * | 2010-06-15 | 2011-12-22 | Carl Zeiss Smt Gmbh | Mask for euv lithography, euv lithography system and method for optimising the imaging of a mask |
US20120236994A1 (en) | 2010-06-25 | 2012-09-20 | Andreas Hieke | Method of characterizing integrated memory structures |
WO2012022584A1 (en) * | 2010-08-18 | 2012-02-23 | Asml Netherlands B.V. | Substrate for use in metrology, metrology method and device manufacturing method |
DE102010047050B4 (de) | 2010-09-29 | 2021-09-16 | Carl Zeiss Smt Gmbh | Verfahren zur Charakterisierung einer Struktur auf einer Maske und Vorrichtung zur Durchführung des Verfahrens |
WO2012084142A1 (en) | 2010-12-23 | 2012-06-28 | Carl Zeiss Sms Gmbh | Method for characterizing a structure on a mask and device for carrying out said method |
NL2008111A (en) * | 2011-02-18 | 2012-08-21 | Asml Netherlands Bv | Optical apparatus, method of scanning, lithographic apparatus and device manufacturing method. |
EP2515168B1 (en) * | 2011-03-23 | 2021-01-20 | ASML Netherlands B.V. | Methods and apparatus for calculating electromagnetic scattering properties of a structure and for reconstruction of approximate structures |
WO2012171687A1 (en) * | 2011-06-14 | 2012-12-20 | Asml Netherlands B.V. | Inspection for lithography |
NL2008807A (en) * | 2011-06-21 | 2012-12-28 | Asml Netherlands Bv | Inspection method and apparatus. |
NL2008928A (en) * | 2011-07-06 | 2013-01-08 | Asml Netherlands Bv | Method and apparatus for calculating electromagnetic scattering properties of finite periodic structures. |
NL2009004A (en) * | 2011-07-20 | 2013-01-22 | Asml Netherlands Bv | Inspection method and apparatus, and lithographic apparatus. |
NL2009066A (en) * | 2011-08-03 | 2013-02-05 | Asml Netherlands Bv | Reference library generation method for methods of inspection, inspection apparatus and lithographic apparatus. |
NL2009336A (en) * | 2011-09-21 | 2013-03-25 | Asml Netherlands Bv | Method for calibrating a manufacturing process model. |
JP5857714B2 (ja) * | 2011-12-16 | 2016-02-10 | 富士通セミコンダクター株式会社 | パターン測定方法及び半導体装置の製造方法 |
NL2010259A (en) * | 2012-04-12 | 2013-10-16 | Asml Holding Nv | Position measuring method, position measuring apparatus, lithographic apparatus and device manufacturing method, optical element. |
NL2010458A (en) * | 2012-04-16 | 2013-10-17 | Asml Netherlands Bv | Lithographic apparatus, substrate and device manufacturing method background. |
DE102012011315B4 (de) | 2012-06-04 | 2018-12-27 | Carl Zeiss Ag | Mikroskop und Verfahren zur Charakterisierung von Strukturen auf einem Objekt |
US9778025B2 (en) * | 2012-08-16 | 2017-10-03 | Asml Netherlands B.V. | Method and apparatus for measuring asymmetry of a microstructure, position measuring method, position measuring apparatus, lithographic apparatus and device manufacturing method |
WO2014082813A2 (en) * | 2012-11-30 | 2014-06-05 | Asml Netherlands B.V. | Method and apparatus for determining lithographic quality of a structure |
JP6170694B2 (ja) * | 2013-03-06 | 2017-07-26 | 株式会社荏原製作所 | 測長計の設置構造 |
CN105143986B (zh) * | 2013-03-20 | 2017-04-26 | Asml荷兰有限公司 | 用于测量微结构的非对称性的方法和设备、位置测量方法、位置测量设备、光刻设备和器件制造方法 |
WO2015032586A1 (en) * | 2013-09-09 | 2015-03-12 | Asml Netherlands B.V. | Methods and apparatus for calculating electromagnetic scattering properties of a structure and for reconstruction of approximate structures |
CN106462078B (zh) | 2014-05-13 | 2018-10-02 | Asml荷兰有限公司 | 衬底和量测用图案形成装置、量测方法及器件制造方法 |
JP6408610B2 (ja) | 2014-06-02 | 2018-10-17 | エーエスエムエル ネザーランズ ビー.ブイ. | メトロロジターゲットの設計方法、メトロロジターゲットを有する基板、オーバーレイの測定方法、およびデバイス製造方法 |
JP5815798B2 (ja) * | 2014-06-13 | 2015-11-17 | 株式会社日立ハイテクノロジーズ | 欠陥検査方法および欠陥検査装置 |
-
2016
- 2016-03-22 WO PCT/EP2016/056254 patent/WO2016150957A1/en active Application Filing
- 2016-03-22 NL NL2016472A patent/NL2016472A/en unknown
- 2016-03-22 JP JP2017541604A patent/JP6602388B6/ja active Active
- 2016-03-22 CN CN201680017204.3A patent/CN107430352B/zh active Active
- 2016-03-22 KR KR1020177026545A patent/KR102010941B1/ko active IP Right Grant
- 2016-03-24 TW TW105109263A patent/TWI609250B/zh active
- 2016-03-24 US US15/079,860 patent/US10067074B2/en active Active
-
2017
- 2017-08-03 IL IL253833A patent/IL253833B/en active IP Right Grant
-
2019
- 2019-07-19 JP JP2019133640A patent/JP2019191605A/ja active Pending
Patent Citations (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN104220932A (zh) * | 2012-02-21 | 2014-12-17 | Asml荷兰有限公司 | 检查设备和方法 |
CN104364605A (zh) * | 2012-04-18 | 2015-02-18 | 科磊股份有限公司 | 针对极紫外线光罩的临界尺寸均匀性监测 |
Non-Patent Citations (1)
Title |
---|
The influence of line edge roughness and CD uniformity on EUV scatterometry for CD characterization of EUV masks;Frank Scholze等;《SPIE》;20070618;正文第1-2页 * |
Also Published As
Publication number | Publication date |
---|---|
JP2019191605A (ja) | 2019-10-31 |
JP2018509609A (ja) | 2018-04-05 |
JP6602388B2 (ja) | 2019-11-06 |
KR102010941B1 (ko) | 2019-08-14 |
JP6602388B6 (ja) | 2020-01-15 |
IL253833A0 (en) | 2017-09-28 |
TWI609250B (zh) | 2017-12-21 |
US20160282282A1 (en) | 2016-09-29 |
KR20170117593A (ko) | 2017-10-23 |
TW201702750A (zh) | 2017-01-16 |
US10067074B2 (en) | 2018-09-04 |
WO2016150957A1 (en) | 2016-09-29 |
CN107430352A (zh) | 2017-12-01 |
IL253833B (en) | 2019-08-29 |
NL2016472A (en) | 2016-09-30 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
CN107430352B (zh) | 量测方法、量测设备和器件制造方法 | |
US10254644B2 (en) | Metrology methods, metrology apparatus and device manufacturing method | |
CN108431692B (zh) | 量测方法、量测设备和器件制造方法 | |
US10222709B2 (en) | Metrology method, metrology apparatus and device manufacturing method | |
KR102195703B1 (ko) | 메트롤로지 방법 및 장치, 컴퓨터 프로그램 및 리소그래피 시스템 | |
TWI694312B (zh) | 度量衡方法、裝置及電腦程式 | |
US11429763B2 (en) | Methods and apparatus for simulating interaction of radiation with structures, metrology methods and apparatus, device manufacturing method | |
US10725387B2 (en) | Determining an edge roughness parameter of a periodic structure | |
KR102422568B1 (ko) | 패터닝 프로세스 제어 방법, 디바이스 제조 방법 | |
EP3764164A1 (en) | Method for controlling a lithographic apparatus and associated apparatuses | |
TWI626514B (zh) | 度量衡方法及微影方法、微影單元及電腦程式 | |
TW202311864A (zh) | 度量衡量測方法及裝置 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
PB01 | Publication | ||
PB01 | Publication | ||
SE01 | Entry into force of request for substantive examination | ||
SE01 | Entry into force of request for substantive examination | ||
GR01 | Patent grant | ||
GR01 | Patent grant |