KR20220066963A - 측정 방법 및 측정 장치 - Google Patents

측정 방법 및 측정 장치 Download PDF

Info

Publication number
KR20220066963A
KR20220066963A KR1020227013913A KR20227013913A KR20220066963A KR 20220066963 A KR20220066963 A KR 20220066963A KR 1020227013913 A KR1020227013913 A KR 1020227013913A KR 20227013913 A KR20227013913 A KR 20227013913A KR 20220066963 A KR20220066963 A KR 20220066963A
Authority
KR
South Korea
Prior art keywords
radiation
substrate
detector
alignment
source
Prior art date
Application number
KR1020227013913A
Other languages
English (en)
Inventor
요한 레이닝크
예룬 코타르
셔드 니콜라스 람베르투스 돈데르스
더 포스트 시체 티멘 반
Original Assignee
에이에스엠엘 네델란즈 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from EP20157939.8A external-priority patent/EP3869270A1/en
Application filed by 에이에스엠엘 네델란즈 비.브이. filed Critical 에이에스엠엘 네델란즈 비.브이.
Publication of KR20220066963A publication Critical patent/KR20220066963A/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • G03F9/70Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically for microlithography
    • G03F9/7088Alignment mark detection, e.g. TTR, TTL, off-axis detection, array detector, video detection
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/706843Metrology apparatus
    • G03F7/706845Calibration, e.g. tool-to-tool calibration, beam alignment, spot position or focus
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/706843Metrology apparatus
    • G03F7/706851Detection branch, e.g. detector arrangements, polarisation control, wavelength control or dark/bright field detection

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Multimedia (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Investigating Materials By The Use Of Optical Means Adapted For Particular Applications (AREA)

Abstract

방사선 소스(100)로부터 방출되고 기판 상으로 지향되는 소스 방사선을 사용하여 기판(300)의 하나 이상의 파라미터를 측정하기 위한 장치 및 방법이 제공된다. 장치는 적어도 하나의 반사 요소(710a) 및 적어도 하나의 검출기(720, 721)를 포함한다. 적어도 하나의 반사 요소는 기판으로부터의 소스 방사선의 반사에 기인하는 반사 방사선을 수광하고 반사 방사선을 추가적인 반사 방사선으로 추가로 반사시키도록 구성된다. 적어도 하나의 검출기는 소스 방사선 및/또는 기판의 적어도 정렬의 결정을 위해 추가적인 반사 방사선을 측정하도록 구성된다.

Description

측정 방법 및 측정 장치
관련 출원에 대한 상호 참조
본 출원은 2019년 11월 5일자로 출원된 EP 출원 제19207109.0호 및 2020년 2월 18일자로 출원된 EP 출원 제20157939.8호에 대해 우선권을 주장하며, 이러한 문헌의 내용은 원용에 의해 전체적으로 본 명세서에 포함된다.
기술분야
본 발명은 소스로부터 방출된 방사선을 이용해 기판 상에서 하나 이상의 파라미터를 측정하기 위한 방법 및 장치에 관한 것이다. 특히, 본 발명은 하나 이상의 파라미터를 측정하고 정렬을 결정하기 위해 기판으로부터 반사된 방사선을 이용하는 것에 관한 것이다.
리소그래피 장치는 기판 상에 원하는 패턴을 적용하도록 구성된 기기이다. 리소그래피 장치는, 예를 들어 집적 회로(IC)의 제조에 사용될 수 있다. 리소그래피 장치는, 예를 들어, 패터닝 디바이스(예를 들어, 마스크)에 있는 패턴(또는 "설계 레이아웃" 또는 "설계"로도 지칭됨)을 기판(예를 들어, 웨이퍼) 상에 제공된 방사선-감응 재료(레지스트)의 층 상에 투영할 수 있다.
기판 상에 패턴을 투영하기 위해 리소그래피 장치는 전자기 방사선을 사용할 수 있다. 이러한 방사선의 파장은 기판 상에 형성될 수 있는 피처의 최소 크기를 결정한다. 현재 사용되는 전형적인 파장은 365nm(i-line), 248nm, 193nm 및 13.5nm 이다. 4-20 nm 범위, 예를 들어 6.7 nm 또는 13.5 nm 의 파장을 갖는 극자외(EUV) 방사선을 사용하는 리소그래피 장치는, 예컨대 파장 193 nm의 방사선을 사용하는 리소그래피 장치보다 기판 상에 더 작은 피처를 형성하기 위해 사용될 수 있다.
리소그래피 장치의 전통적인 분해능 한계보다 작은 치수를 갖는 피처를 처리하기 위해 로우-k1 리소그래피가 사용될 수 있다. 이러한 프로세스에서, 분해능 식은 CD = k1 × λ/NA로 표현될 수 있으며, 여기서 λ는 채용된 방사선의 파장이고, NA는 리소그래피 장치에서의 투영 광학계의 개구수이고, CD는 "임계 치수"(일반적으로 인쇄되는 최소 피처 크기이지만, 이 경우 1/2 피치)이고, k1은 실험상 분해능 인자이다. 일반적으로, k1이 작을수록, 특별한 전기적 기능 및 성능을 달성하기 위해 회로 설계자가 계획한 형상 및 치수와 유사한 기판 상의 패턴을 재현하는 것이 더 어려워진다. 이러한 어려움을 극복하기 위해, 정교한 미세 조정 단계가 리소그래피 투영 장치 및/또는 설계 레이아웃에 적용될 수 있다. 예를 들어 NA의 최적화, 커스터마이즈 조명 스킴, 위상 시프팅 패터닝 디바이스의 사용, 설계 레이아웃에서의 광학 근접 보정(OPC, 종종 "광학 및 프로세스 보정"이라고도 함)과 같은 설계 레이아웃의 다양한 최적화, 또는 "분해능 향상 기법"(RET)으로 일반적으로 규정되는 기타 다른 방법이 여기에 포함되지만 이에 제한되는 것은 아니다. 대안적으로, 리소그래피 장치의 안정성을 제어하기 위한 엄격한 제어 루프가 낮은 k1에서 패턴의 재현을 개선하기 위해 사용될 수 있다.
기판 상의 리소그래피로 노광된 구조체의 속성 및 파라미터를 측정하기 위해 다양한 유형의 계측 툴이 사용될 수 있다. 이러한 측정은 예를 들어 수행된 노광의 품질 및/또는 특성을 확인하는 데 사용될 수 있다. 상이한 유형의 파라미터가 상이한 유형의 측정을 사용하여 측정될 수 있다. 이러한 측정은 기판을 조사하기 위해 전자기 방사선과 같은 방사선을 이용할 수 있다. 전자기 방사선의 다양한 유형의 파장을 사용하여 다양한 피처나 특성을 검출하고 관측할 수 있다. 예를 들어, 전자기 방사선의 파장은 관측할 수 있는 피처의 크기를 결정할 수 있다. 더 짧은 파장의 방사선이 더 작은 공간 분해능으로 측정을 수행하기 위해 사용될 수 있다. 파장은 또한 기판 안으로의 방사선의 침투 깊이와 기판 안으로 얼마나 깊이 속성이 측정될 수 있는지에 영향을 미칠 수 있다. 기판 상의 피처를 측정할 수 있도록, 방사선이 기판 상의 피처에 정렬될 수 있다. 방사선 정렬의 정확도는 결과적인 측정의 품질에 영향을 줄 수 있다.
본 개시내용의 제1 양태에 따르면, 방사선 소스로부터 방출되고 기판 상으로 지향되는 소스 방사선을 사용하여 기판의 하나 이상의 파라미터를 측정하기 위한 장치가 제공된다. 장치는 기판으로부터의 소스 방사선의 반사에 기인하는 반사 방사선을 수광하고 상기 반사 방사선을 추가적인 반사 방사선으로 추가로 반사시키도록 구성된 적어도 하나의 반사 요소; 및 소스 방사선 및/또는 기판의 적어도 정렬의 결정을 위해 상기 추가적인 반사 방사선을 측정하도록 구성된 적어도 하나의 검출기를 포함한다.
선택적으로 장치는, 상기 적어도 하나의 반사 요소로부터의 상기 반사 방사선의 회절 및/또는 상기 적어도 하나의 검출기로부터의 상기 추가적인 반사 방사선의 회절 및/또는 추가의 반사 요소로부터의 상기 추가적인 반사 방사선의 회절에 기인하는 회절 방사선을 수광하도록 구성된 적어도 하나의 스펙트럼 분해 검출기를 더 포함한다.
선택적으로, 상기 적어도 하나의 검출기는 정렬 검출기 또는 스펙트럼 분해 검출기이다. 선택적으로, 스펙트럼 분해 검출기는 기판의 하나 이상의 파라미터의 측정을 위해 구성된다,
선택적으로, 적어도 하나의 검출기는 정렬 검출기이다.
선택적으로, 적어도 하나의 반사 요소는 적어도 하나의 반사형 정렬 검출기이다.
선택적으로, 적어도 하나의 반사 요소는 격자를 포함한다.
선택적으로, 스펙트럼 분해 검출기는 추가적인 반사 방사선을 측정하도록 구성된다.
선택적으로, 적어도 하나의 검출기는 위치 감응성이다.
선택적으로, 적어도 하나의 검출기는 상기 기판에 대한 방사선의 정렬을 결정하기 위한 측정을 획득하도록 구성된다.
선택적으로, 적어도 하나의 반사 요소 및 적어도 하나의 검출기는 방사선을 동시에 수광한다.
선택적으로, 적어도 하나의 반사 요소 및/또는 상기 적어도 하나의 검출기는 경사각으로 방사선을 수광하도록 구성된다.
선택적으로, 적어도 하나의 반사 요소 및/또는 상기 적어도 하나의 검출기는 그레이징 입사로 방사선을 수광하도록 구성된다.
선택적으로, 경사각은 적어도 하나의 검출기 중 하나가 수광된 방사선의 50% 이하를 반사시키게 구성되도록 설정된다.
선택적으로, 기판은 구조체를 포함하고, 상기 반사 방사선은 상기 기판 상의 구조체로부터의 소스 방사선의 반사로부터 발생한다.
선택적으로, 구조체는 계측 타겟을 포함한다.
선택적으로, 소스 방사선은 0.01 nm 내지 100 nm 범위의 하나 이상의 파장을 포함한다.
선택적으로, 방사선은 1 nm 내지 100 nm 범위의 하나 이상의 파장을 포함한다.
선택적으로, 적어도 하나의 검출기는 반도체 센서를 포함한다.
선택적으로, 적어도 하나의 검출기는 기판에 대한 소스 방사선의 정렬을 적어도 1 마이크로미터의 정확도의 정밀도로 결정하도록 구성된다.
선택적으로, 소스 방사선 및/또는 기판의 정렬은 기판의 높이 컴포넌트에 대한 방사선의 정렬을 포함한다.
본 개시내용의 또 다른 양태에 따르면, 방사선 소스로부터 방출되고 기판 상으로 지향되는 소스 방사선을 사용하여 기판의 하나 이상의 파라미터를 측정하기 위한 방법이 제공된다. 방법은, 기판으로부터 소스 방사선의 반사에 기인하는 반사 방사선을 수광하도록 구성된 적어도 하나의 반사 요소에 의해 수광하는 단계; 및 상기 반사 방사선을 추가적인 반사 방사선으로 반사시키도록 구성된 적어도 하나의 반사 요소에 의해 반사시키는 단계; 상기 추가적인 반사 방사선을 측정하도록 구성된 적어도 하나의 검출기에 의해 수광하는 단계; 및 소스 방사선 및/또는 기판의 정렬을 결정하기 위한 하나 이상의 측정을 획득하는 단계를 포함한다.
본 개시의 또 다른 양태에 따르면, 전술한 바와 같은 기판의 하나 이상의 파라미터를 측정하기 위한 장치를 포함하는 리소그래피 장치가 제공된다.
본 개시의 또 다른 양태에 따르면, 전술한 바와 같은 기판의 하나 이상의 파라미터를 측정하기 위한 장치를 포함하는 계측 장치가 제공된다.
본 개시의 또 다른 양태에 따르면, 전술한 바와 같은 기판의 하나 이상의 파라미터를 측정하기 위한 장치를 포함하는 검사 장치가 제공된다.
본 개시의 또 다른 양태에 따르면, 전술한 바와 같은 기판의 하나 이상의 파라미터를 측정하기 위한 장치를 포함하는 리소그래피 셀이 제공된다.
이제 실시예에 관해, 첨부된 개략적인 도면을 참조로 하여 단지 예시의 목적으로 설명할 것이다.
- 도 1은 리소그래피 장치의 개략도이다.
- 도 2는 리소그래피 셀의 개략도이다.
- 도 3은 반도체 제조를 최적화하기 위한 세 가지 핵심 기술 간의 협력을 나타내는 홀리스틱 리소그래피의 개략적인 도면을 나타낸다.
- 도 4은 산란계측 장치를 개략적으로 나타낸다.
- 도 5는 EUV 및/또는 SXR 방사선이 사용되는 계측 장치의 개략도를 나타낸다.
- 도 6은 고차 고조파 방사선을 생성하는 방법의 단계들의 흐름도를 나타낸다.
- 도 7은 기판의 하나 이상의 파라미터를 측정하기 위한 장치의 개략도를 나타낸다.
- 도 8은 기판의 하나 이상의 파라미터를 측정하는 방법에 있어서 단계들의 흐름도를 나타낸다.
- 도 9는 회절 격자를 포함하는 기판의 하나 이상의 파라미터를 측정하기 위한 장치의 개략도를 나타낸다.
- 도 10은 정렬 검출기 상의 회절 격자를 포함하는 기판의 하나 이상의 파라미터를 측정하기 위한 장치의 개략도를 나타낸다.
- 도 11은 정렬 검출기 상의 회절 격자를 포함하는 기판의 하나 이상의 파라미터를 측정하기 위한 장치의 개략도를 나타낸다.
- 도 12는 기판의 하나 이상의 파라미터를 측정하기 위한 장치의 개략도를 나타내며, 여기서 정렬 검출기는 스펙트럼 분해 검출기의 일부를 형성한다.
본 개시내용에서, 용어 "방사선" 및 "빔"은 자외선(예를 들어, 365, 248, 193, 157 또는 126 nm의 파장을 갖는 방사선), EUV(예를 들어 약 5-100 nm 범위의 파장을 갖는 극 자외선), X-선 방사선, 전자 빔 방사선 및 기타 입자 방사선을 포함하는 모든 타입의 전자기 방사선 및 입자 방사선을 포괄하기 위해 사용된다.
본 명세서에 사용되는 용어 "레티클", "마스크" 또는 "패터닝 디바이스"는, 기판의 타겟부에 생성될 패턴에 대응하여, 입사 방사선 빔에 패터닝된 단면을 부여하는 데 사용될 수 있는 일반적인 패터닝 디바이스를 지칭하는 것으로 넓게 해석될 수 있다. "광 밸브"라는 용어 또한 이와 관련하여 사용될 수 있다. 전통적인 마스크(투과형 또는 반사형, 바이너리, 위상 시프팅, 하이브리드 등) 이외에 다른 패터닝 디바이스의 예는, 프로그래밍 가능한 미러 어레이 및 프로그래밍 가능한 LCD 어레이를 포함한다.
도 1은 리소그래피 장치(LA)를 개략적으로 나타낸다. 리소그래피 장치(LA)는 방사선 빔(B)(예를 들어, UV 방사선, DUV 방사선, EUV 방사선 또는 X-선 방사선)을 컨디셔닝하도록 구성된 조명 시스템(조명기로도 지칭됨)(IL), 패터닝 디바이스(예를 들어, 마스크)(MA)를 지지하도록 구성되고 소정 파라미터들에 따라 패터닝 디바이스(MA)를 정확히 위치설정하도록 구성된 제1 위치 설정기(PM)에 연결되는 마스크 지지체(예를 들어, 마스크 테이블)(T), 기판(예를 들어, 레지스트 코팅된 웨이퍼)(W)을 유지하도록 구성되고 소정 파라미터들에 따라 기판 지지체를 정확히 위치설정하도록 구성된 제2 위치 설정기(PW)에 연결되는 기판 지지체(예를 들어, 웨이퍼 테이블)(WT); 및 기판(W)의 타겟부(C)(예를 들어, 하나 이상의 다이를 포함함) 상에 패터닝 디바이스(MA)에 의해 방사선 빔(B)에 부여된 패턴을 투영하도록 구성된 투영 시스템(예를 들어, 굴절형 투영 렌즈 시스템)(PS)을 포함한다.
동작 시에 조명 시스템(IL)은, 예컨대 빔 전달 시스템(BD)을 통해 방사선 소스(SO)로부터 방사선 빔을 수광한다. 조명 시스템(IL)은 방사선을 지향, 성형 및/또는 제어하기 위해 굴절형, 반사형, 회절형, 자기형, 전자기형, 정전형 및/또는 기타 다른 유형의 광학 컴포넌트 또는 이들의 임의의 조합과 같은 다양한 유형의 광학 컴포넌트를 포함할 수 있다. 조명기(IL)는 패터닝 디바이스(MA)의 평면에서 방사선 빔(B)의 단면에 원하는 공간 및 각도 세기 분포를 갖도록 방사선 빔(B)을 컨디셔닝하는 데 사용될 수 있다.
본 명세서에서 사용된 "투영 시스템"(PS)이라는 용어는, 사용되는 노광 방사선에 적합하고 및/또는 액침액의 사용 또는 진공의 사용과 같은 다른 요인들에 대해 적합한 것으로서, 굴절형, 반사형, 회절형, 반사굴절형, 애너모픽, 자기형, 전자기형 및/또는 정전형 광학 시스템 또는 이들의 임의의 조합을 포함하는 다양한 유형의 투영 시스템을 포괄하는 것으로 폭넓게 해석되어야 한다. 본 명세서에서 "투영 렌즈"라는 용어의 어떠한 사용도 "투영 시스템"(PS)이라는 좀 더 일반적인 용어와 동의어로 간주될 수 있다.
리소그래피 장치(LA)는 투영 시스템(PS)과 기판(W) 사이의 공간을 채우도록, 비교적 높은 굴절률을 갖는 액체, 예를 들어 물로 기판의 적어도 일부가 덮일 수 있는 유형일 수도 있고, 이는 또한 액침 리소그래피라 불린다. 액침 기술에 대한 추가 정보는 US6952253에 제공되어 있으며, 이는 본 명세서에 원용에 의해 전체로서 통합된다.
리소그래피 장치(LA)는 또한 2개 이상의 기판 지지체(WT)(또한 "이중 스테이지"라고도 함)를 갖는 유형일 수도 있다. 이러한 "다중 스테이지" 기기에서는, 기판 지지체(WT)가 병렬적으로 사용될 수 있고, 및/또는 기판(W)의 후속 노광을 준비하는 단계가 기판 지지체(WT) 중 하나에 위치된 기판(W) 상에서 수행되면서, 나머지 기판 지지체(WT) 상의 또 다른 기판(W)은 이러한 다른 기판(W) 상에 패턴을 노광하기 위해 이용 중일 수 있다.
기판 지지체(WT) 이외에, 리소그래피 장치(LA)는 측정 스테이지를 포함할 수 있다. 측정 스테이지는 센서 및/또는 세정 디바이스를 유지하도록 배열된다. 센서는 투영 시스템(PS)의 속성 또는 방사선 빔(B)의 속성을 측정하도록 배열될 수 있다. 측정 스테이지는 다수의 센서를 보유할 수 있다. 세정 디바이스는 리소그래피 장치의 일부, 예를 들어 투영 시스템(PS)의 일부 또는 액침액을 제공하는 시스템의 일부를 세정하도록 배열될 수 있다. 기판 지지체(WT)가 투영 시스템(PS)으로부터 떨어져 있을 때 측정 스테이지는 투영 시스템(PS) 아래로 이동할 수 있다.
동작 시에, 방사선 빔(B)은 마스크 지지체(T) 상에 유지되는 패터닝 디바이스, 예컨대 마스크(MA) 상에 입사되고, 패터닝 디바이스(MA) 상에 존재하는 패턴(설계 레이아웃)에 의해 패터닝된다. 마스크(MA)를 거친 후에, 방사선 빔(B)은 투영 시스템(PS)을 통과하게 되며, 투영 시스템(PS)은 기판(W)의 타겟부(C) 상으로 빔을 포커싱한다. 제2 위치설정기(PW) 및 위치 측정 시스템(IF)의 도움으로, 기판 지지체(WT)는 예를 들어, 방사선 빔(B)의 경로 내에서 포커싱되고 및 정렬된 위치에 다양한 타겟부(C)를 위치설정하기 위해 정확하게 이동될 수 있다. 마찬가지로, 제1 위치 설정기(PM) 및 가능하게는 또 다른 위치 센서(도 1에는 명확히 도시되지는 않음)가 방사선 빔(B)의 경로에 대해 패터닝 디바이스(MA)를 정확히 위치설정하기 위해 사용될 수 있다. 패터닝 디바이스(MA) 및 기판(W)은 마스크 정렬 마크(M1, M2) 및 기판 정렬 마크(P1, P2)를 사용하여 정렬될 수 있다. 도시된 바와 같이 기판 정렬 마크(P1, P2)는 전용화된 타겟부를 점유하지만, 이들은 타겟부 사이의 공간에 위치할 수도 있다. 기판 정렬 마크(P1, P2)는 이들이 타겟부(C) 사이에 위치될 때 스크라이브-레인 정렬 마크로 알려져 있다.
도 2에 도시된 바와 같이, 리소그래피 장치(LA)는 리소그래피 셀(LC)의 일부를 형성할 수 있는데, 이는 때때로 리소셀 또는 (리소) 클러스터라고도 하며, 종종 기판(W)에 노광 전 및 노광 후 프로세스를 수행하는 장치를 포함한다. 통상적으로 이들은 레지스트 층을 증착하기 위한 스핀 코터(SC), 노광된 레지스트를 현상하기 위한 현상기(DE), 냉각 플레이트(CH) 및 베이크 플레이트(BK)를 포함하며, 이들은 예를 들어 기판(W)의 온도를 컨디셔닝하기 위한 것이며, 예컨대 레지스트 층에서 용매를 컨디셔닝하기 위한 것이다. 기판 핸들러 또는 로봇(RO)이 입력/출력 포트(I/O1, I/O2)로부터 기판(W)을 픽업하여, 이를 상이한 프로세스 장치 간에 이동시키며, 리소그래피 장치(LA)의 로딩 베이(LB)에 기판(W)을 전달한다. 통칭하여 트랙으로도 지칭되는 리소셀 내의 이들 디바이스는 통상적으로 감독 제어 시스템(SCS)에 의해 제어될 수 있는 트랙 제어 유닛(TCU)의 제어 하에 있게 되며, 감독 제어 시스템은 또한 예컨대 리소그래피 제어 유닛(LACU)을 통해 리소그래피 장치를 제어할 수 있다.
리소그래피 프로세스에서는, 예를 들어 프로세스 제어 및 검증을 위해 생성된 구조체를 자주 측정하는 것이 바람직하다. 이러한 측정을 수행하는 툴은 일반적으로 계측 툴(MT)이라고 한다. 주사 전자 현미경 또는 다양한 형태의 스캐터로미터 계측 툴(MT)을 포함하여, 이러한 측정을 수행하기 위한 다양한 유형의 계측 툴(MT)이 알려져 있다. 스캐터로미터는, 이러한 스캐터로미터의 대물계의 퓨필 또는 퓨필과 공액인 평면에 센서를 구비함으로써(이 경우 측정은 일반적으로 퓨필 기반 측정이라 함) 또는 이미지 평면 또는 이러한 이미지 평면에 공액인 평면에 센서를 구비함으로써(이 경우 측정은 일반적으로 이미지 또는 필드 기반 측정이라 함) 리소그래피 프로세스의 파라미터의 측정을 가능하게 하는 다목적 기구이다. 이러한 스캐터로미터 및 관련 측정 기법은 특허 출원 US20100328655, US2011102753A1, US20120044470A, US20110249244, US20110026032 또는 EP1,628,164A에 추가로 설명되어 있으며, 이러한 문헌의 내용은 원용에 의해 본 명세서에 포함된다. 전술한 스캐터로미터는 연질 x-선, 극자외선 및 가시광선에서부터 근적외선에 이르는 파장 범위의 광을 사용하여 격자를 측정할 수 있다.
리소그래피 장치(LA)에 의해 노광되는 기판(W)이 정확하고 일관되게 노광되도록, 기판을 검사하여 후속하는 층들 간의 오버레이 오차, 라인 두께, 임계 치수(CD) 등의 패터닝된 구조체의 속성을 측정하는 것이 바람직하다. 이를 위해, 검사 툴 및/또는 계측 툴(미도시)이 리소 셀(LC)에 포함될 수 있다. 오차가 검출되는 경우, 특히 동일한 배치(batch) 또는 로트의 다른 기판(W)이 노광되거나 처리되기 전에 검사가 수행된다면, 예를 들어 후속 기판의 노광에 대해 또는 기판(W) 상에서 수행될 다른 처리 단계에 대해 조정이 이루어질 수 있다.
검사 장치(계측 장치라고도 칭할 수도 있음)가 기판(W)의 속성을 결정하는 데 사용되며, 특히 동일한 기판(W)의 상이한 층들과 연관되는 속성이 층마다 어떻게 달라지는지 또는 상이한 기판들(W)의 속성이 어떻게 달라지는지를 결정하는 데 사용된다. 검사 장치는 대안적으로 기판(W) 상의 결함을 식별하도록 구성될 수 있고, 예를 들어 리소 셀(LC)의 일부일 수 있거나, 리소그래피 장치(LA)에 통합될 수 있거나, 심지어 독립형 디바이스일 수도 있다. 검사 장치는 잠상(노광 후 레지스트 층 내의 이미지) 또는 반잠상 이미지(노광후 베이크 단계(PEB) 이후 레지스트 층 내의 이미지), 또는 현상된 레지스트 이미지(레지스트의 노광된 부분 또는 노광되지 않은 부분이 제거됨), 또는 심지어 에칭된 이미지(에칭 등의 패턴 전사 단계 이후)에 대해 속성을 측정할 수 있다.
제1 실시예에서, 스캐터로미터(MT)는 각도 분해 스캐터로미터이다. 이러한 스캐터로미터에서 격자의 속성을 재구성하거나 계산하기 위해 측정된 신호에 재구성 방법이 적용될 수 있다. 이러한 재구성은, 예를 들어 산란된 방사선과 타겟 구조체의 수학적 모델과의 상호 작용을 시뮬레이션하고 그 시뮬레이션 결과와 측정의 결과를 비교한 결과일 수 있다. 수학적 모델의 파라미터는 시뮬레이션된 상호 작용이 실제 타겟으로부터 관측된 것과 유사한 회절 패턴을 생성할 때까지 조정된다.
제2 실시예에서, 스캐터로미터(MT)는 분광 스캐터로미터이다. 이러한 분광 스캐터로미터(MT)에서, 방사선 소스에 의해 방출된 방사선은 타겟으로 지향되고 타겟으로부터 반사 또는 산란된 방사선은 분광계 검출기로 지향되어, 정반사 방사선의 스펙트럼(즉, 파장의 함수로서의 세기 측정치)을 측정하게 된다. 이러한 데이터로부터, 검출된 스펙트럼을 생성하는 타겟의 구조 또는 프로파일이 예를 들어 엄격 결합파 분석 및 비선형 회귀에 의해 또는 시뮬레이션된 스펙트럼의 라이브러리와의 비교에 의해 재구성될 수 있다.
제3 실시예에서, 스캐터로미터(MT)는 타원계측 스캐터로미터이다. 타원계측 스캐터로미터는 각각의 편광 상태에 대해 산란된 방사선을 측정함으로써 리소그래피 프로세스의 파라미터를 결정할 수 있게 한다. 이러한 계측 장치는 예를 들어 계측 장치의 조명 섹션에서 적절한 편광 필터를 사용함으로써 편광된 광(예를 들어, 선형, 원형 또는 타원형 편광된 광)을 방출한다. 계측 장치에 적합한 소스가 또한 편광된 방사선을 제공할 수 있다. 기존의 타원계측 스캐터로미터의 다양한 실시예가 미국 특허 출원 11/451,599, 11/708,678, 12/256,780, 12/486,449, 12/920,968, 12/922,587, 13/000,229, 13/033,135, 13/533,110 및 13/891,410 등에 개시되어 있으며, 이들 문헌은 원용에 의해 전체로서 본원에 포함된다.
스캐터로미터(MT)의 일 실시예에서, 스캐터로미터(MT)는 반사 스펙트럼 및/또는 검출 구성에서 비대칭을 측정함으로써 2개의 오정렬된 격자 또는 주기적 구조체의 오버레이를 측정하도록 적응되며, 비대칭은 오버레이의 정도와 관련된다. 2개의 (전형적으로 중첩되는) 격자 구조체가 2개의 상이한 층(반드시 연속된 층일 필요는 없음)에 적용될 수 있고, 웨이퍼 상의 실질적으로 동일한 위치에 형성될 수 있다. 스캐터로미터는 예를 들어 공동 특허 출원 EP1,628,164A에 기술된 바와 같은 대칭적인 검출 구성을 가질 수 있고, 따라서 임의의 비대칭이 명확하게 구별될 수 있다. 이는 격자의 오정렬을 측정하는 간단한 방법을 제공하게 된다. 주기적 구조체의 비대칭을 통해 타겟이 측정될 때 주기적 구조체를 함유하는 2개의 층 사이의 오버레이 오차를 측정하기 위한 추가의 예는 PCT 특허 출원 공보 WO 2011/012624 또는 미국 특허 출원 US 20160161863에서 찾을 수 있고, 이러한 문헌은 원용에 의해 전체 내용이 본원에 통합된다.
관심 있는 다른 파라미터는 초점과 선량일 수 있다. 초점 및 선량은 미국 특허 출원 US2011-0249244에 기재된 바와 같이 산란계측에 의해(또는 대안적으로 주사 전자 현미경에 의해) 동시에 결정될 수도 있으며, 이러한 문헌의 내용은 원용에 의해 전체로서 본원에 통합된다. 초점 에너지 매트릭스(FEM - 초점 노출 매트릭스라고도 함)에서 각각의 포인트에 대한 임계 치수 및 측벽 각도 측정치의 고유한 조합을 갖는 단일 구조체가 사용될 수도 있다. 임계 치수와 측벽 각도의 이러한 고유한 조합을 사용할 수 있는 경우 초점 및 선량 값은 이러한 측정치로부터 고유하게 결정될 수 있다.
계측 타겟은, 주로 레지스트에, 하지만 예컨대 에칭 프로세스 이후에도, 리소그래피 프로세스에 의해 형성된 복합 격자의 앙상블일 수 있다. 전형적으로 격자 내의 구조체의 피치 및 라인폭은 계측 타겟으로부터 기인한 회절 차수를 캡처할 수 있도록 하는 측정 광학계(특히 광학계의 NA)에 크게 의존한다. 앞서 언급한 바와 같이, 회절된 신호는 두 개의 층들 사이의 시프트('오버레이'라고도 함)를 결정하는 데 사용되거나 리소그래피 프로세스에 의해 생성된 원래 격자의 적어도 일부를 재구성하는 데 사용될 수 있다. 이러한 재구성은 리소그래피 프로세스의 품질에 대한 안내를 제공하는 데 사용될 수 있으며 리소그래피 프로세스의 적어도 일부를 제어하는 데 사용될 수 있다. 타겟은 더 작은 하위 세그먼트들을 가질 수 있고, 이들은 타겟에서 설계 레이아웃의 기능적 부분의 치수를 모방하도록 구성된다. 이러한 하위 세그먼트화로 인해, 전체 프로세스 파라미터 측정이 설계 레이아웃의 기능적 부분에 더 유사하게 되도록 타겟은 설계 레이아웃의 기능적 부분과 더 유사하게 거동할 것이다. 타겟은 언더필 모드 또는 오버필 모드로 측정될 수 있다. 언더필 모드에서는 측정 빔이 전체 타겟보다 작은 스폿을 생성한다. 오버필 모드에서는 측정 빔이 전체 타겟보다 큰 스폿을 생성한다. 이러한 오버필 모드에서는, 상이한 타겟을 동시에 측정하여 상이한 프로세싱 파라미터를 동시에 결정할 수도 있다.
특정 타겟을 사용하는 리소그래피 파라미터의 전체적인 측정 품질은 이러한 리소그래피 파라미터를 측정하는 데에 사용되는 측정 레시피에 의해 적어도 부분적으로 결정된다. "기판 측정 레시피"라는 용어는 측정 자체의 하나 이상의 파라미터, 측정된 하나 이상의 패턴의 하나 이상의 파라미터, 또는 둘 모두를 포함할 수 있다. 예를 들어, 기판 측정 레시피에 사용된 측정이 회절 기반 광학 측정인 경우, 측정의 하나 이상의 파라미터는 방사선의 파장, 방사선의 편광, 기판에 대한 방사선의 입사각, 기판 상의 패턴에 대한 방사선의 배향 등을 포함할 수 있다. 측정 레시피를 선택하는 기준 중 하나는 예를 들어, 프로세싱 변동에 대한 측정 파라미터 중 하나의 감도일 수 있다. 추가적인 예가 미국 특허 출원 US2016-0161863 및 공개된 미국 출원 US 2016/0370717A1에 기술되어 있으며, 이들은 원용에 의해 전체로서 본원에 포함된다.
일반적으로 리소그래피 장치(LA)에서의 패터닝 프로세스는 기판(W) 상의 구조체의 치수설정 및 배치의 높은 정확도를 요하는 처리에 있어서 가장 중요한 단계 중 하나이다. 이러한 높은 정확도를 보장하기 위해 도 3에 개략적으로 도시된 바와 같이 3개의 시스템이 소위 "홀리스틱" 제어 환경으로 조합될 수 있다. 이들 시스템 중 하나는 계측 툴(MET)(제2 시스템) 및 컴퓨터 시스템(CL)(제3 시스템)에 (가상으로) 연결된 리소그래피 장치(LA)이다. 이러한 "홀리스틱" 환경의 핵심은 이들 3개의 시스템 사이의 협력을 최적화하여 전체적인 프로세스 윈도우를 향상시키고 리소그래피 장치(LA)에 의해 수행된 패터닝이 프로세스 윈도우 내에 유지되도록 엄격한 제어 루프를 제공하는 것이다. 프로세스 윈도우는 특정 제조 프로세스가 규정된 결과(예를 들어, 기능 반도체 디바이스)를 생성하게 되는 프로세스 파라미터(예를 들어, 선량, 포커스, 오버레이)의 범위를 규정한다 - 일반적으로 이러한 윈도우 내에서 리소그래피 프로세스 또는 패터닝 프로세스의 프로세스 파라미터가 변화하도록 허용된다.
컴퓨터 시스템(CL)은, 어떤 분해능 향상 기법을 사용할지를 예측하고 어느 마스크 레이아웃 및 리소그래피 장치 설정이 패터닝 프로세스의 가장 큰 전체 프로세스 윈도우를 달성하는지를 결정하도록 컴퓨테이션 리소그래피 시뮬레이션 및 계산을 수행하기 위해 패터닝될 설계 레이아웃(의 일부)을 사용할 수 있다(도 3에서 첫 번째 스케일 SC1에 양방향 화살표로 표시됨). 전형적으로, 분해능 향상 기법은 리소그래피 장치(LA)의 패터닝 가능성과 매칭되도록 구성된다. 컴퓨터 시스템(CL)은 또한, 예컨대 최적이 아닌 처리로 인해 결함이 존재할 수 있는지를 예측하기 위해, (예를 들어, 계측 툴(MET)으로부터의 입력을 사용하여) 프로세스 윈도우 내의 어느 곳에서 리소그래피 장치(LA)가 현재 작동하는 중인지를 검출하기 위해 사용될 수도 있다(예를 들어, 도 3에서 두 번째 스케일 SC2에 "0"을 가리키는 화살표로 도시됨).
계측 툴(MET)은 정확한 시뮬레이션 및 예측을 가능하게 하도록 컴퓨터 시스템(CL)에 입력을 제공할 수 있고, 예를 들면 리소그래피 장치(LA)의 교정 상태에 있어서, 가능한 드리프트를 식별하기 위해 리소그래피 장치(LA)에 피드백을 제공할 수 있다(도 3에서 세 번째 스케일 SC3에 다수의 화살표로 도시됨).
리소그래피 프로세스에서는, 예를 들어 프로세스 제어 및 검증을 위해 생성된 구조체를 자주 측정하는 것이 바람직하다. 주사 전자 현미경 또는 다양한 형태의 계측 장치, 예컨대 스캐터로미터를 포함하여, 이러한 측정을 수행하기 위한 다양한 툴이 알려져 있다. 알려진 스캐터로미터의 예는 종종, 언더필된 타겟(측정 빔이 격자보다 작은 스폿을 생성할 수 있을 정도로 큰 타겟 - 단순한 격자 또는 상이한 층들에서의 중첩되는 격자들의 형태임) 또는 오버필된 타겟(조명 스폿이 타겟을 부분적으로 또는 완전히 내포하게 됨)과 같은 전용화된 계측 타겟의 제공에 의존한다. 또한, 계측 툴, 예를 들어 언더필된 타겟, 예컨대 격자를 조명하는 각도 분해 스캐터로미터의 사용은, 산란 방사선과 타겟 구조체의 수학적 모델과의 상호작용을 시뮬레이션하고 시뮬레이션 결과를 측정 결과와 비교함으로써 격자의 속성이 계산될 수 있는 소위 재구성 방법의 사용을 가능하게 한다. 모델의 파라미터는 시뮬레이션된 상호 작용이 실제 타겟으로부터 관측된 것과 유사한 회절 패턴을 생성할 때까지 조정된다.
스캐터로미터는, 이러한 스캐터로미터의 대물계의 퓨필 또는 퓨필과 공액인 평면에 센서를 구비함으로써(이 경우 측정은 일반적으로 퓨필 기반 측정이라 함) 또는 이미지 평면 또는 이러한 이미지 평면에 공액인 평면에 센서를 구비함으로써(이 경우 측정은 일반적으로 이미지 또는 필드 기반 측정이라 함) 리소그래피 프로세스의 파라미터의 측정을 가능하게 하는 다목적 기구이다. 이러한 스캐터로미터 및 관련 측정 기법은 특허 출원 US20100328655, US2011102753A1, US20120044470A, US20110249244, US20110026032 또는 EP1,628,164A에 추가로 설명되어 있으며, 이러한 문헌의 내용은 원용에 의해 본 명세서에 포함된다. 전술한 스캐터로미터는 연질 x-선, 극자외선 및 가시광선 내지 근적외선 범위의 광을 사용하여 다수의 격자로부터 다수의 타겟을 하나의 이미지로 측정할 수 있다.
스캐터로미터 등의 계측 장치가 도 4에 도시되어 있다. 이는 기판(W) 상에 방사선(5)을 투영하는 광대역(예컨대, 백색광) 방사선 투영기(2)를 포함한다. 반사 또는 산란 방사선(10)은 정반사 방사선의 스펙트럼(6)(즉, 파장의 함수로서의 세기의 측정)을 측정하는 분광계 검출기(4)로 전달된다. 이 데이터로부터, 검출된 스펙트럼을 발생시키는 구조체 또는 프로파일이 처리 유닛(PU)에 의해 재구성될 수 있으며, 이는 예를 들어, 정밀 결합파 분석 및 비선형 회귀 분석에 의해 또는 도 4의 하단에 표시된 바와 같은 시뮬레이션된 스펙트럼 라이브러리와의 비교를 통해 이루어진다. 일반적으로, 이러한 재구성을 위해, 구조체의 일반적인 형태가 알려져 있으며, 일부 파라미터는 구조체가 만들어진 프로세스에 대한 지식으로부터 추정되고, 산란계측 데이터로부터 결정되어야 하는 구조체의 몇 가지 파라미터만이 남게 된다. 이러한 스캐터로미터는 수직 입사 스캐터로미터 또는 경사 입사 스캐터로미터로 구성될 수 있다.
광학적 계측 방법의 대안으로서, 예를 들어 0.1nm 내지 100nm, 또는 선택적으로 1nm 내지 50nm, 또는 선택적으로 10nm 내지 20nm의 파장 범위의 방사선과 같은 연질 X-선 또는 EUV 방사선을 사용하는 것이 고려된 바 있다. 위에 제시된 파장 범위 중 하나에서 기능하는 계측 툴의 일례는 투과형의 작은 각도의 X-선 산란이다(US 2007224518A 에서와 같이 T-SAXS 이며, 이는 전체적으로 본 명세서에 원용에 의해 포함됨). T-SAXS를 사용한 프로파일(CD) 측정은 Lemaillet 등의 "FinFET 구조체의 광학 및 X-선 산란측정 사이의 비교(Intercomparison between optical and X-ray scatterometry measurements of FinFET structures)"(Proc. SPIE, 2013, 8681)에서 논의된다. 기판 상의 필름 및 층 스택의 속성을 측정하기 위해 그레이징 입사로의 X-선(GI-XRS) 및 극자외(EUV) 방사선을 사용한 반사측정 기법이 공지되어 있다. 반사측정법의 일반적인 분야 내에서, 측각(goniometric) 및/또는 분광 기법이 적용될 수 있다. 측각에서는, 상이한 입사각들로 반사된 빔의 변화가 측정된다. 반면, 분광 반사측정법은 주어진 각도에서 반사된 파장의 스펙트럼을 측정한다(광대역 방사선 사용). 예를 들어, EUV 리소그래피에 사용하기 위해 레티클(패터닝 디바이스)을 제조하기 전에 마스크 블랭크의 검사를 위해 EUV 반사 측정법이 사용되어 왔다.
그 적용 범위로 인하여 연질 X-선 또는 EUV 도메인에서 파장의 사용이 충분하지 않을 수 있다. 따라서 공개된 특허 출원 US20130304424A1 및 US2014019097A1(Bakeman 등/KLA)은 x-선을 사용하여 이루어진 측정과 120nm 내지 2000nm 범위 파장의 광학 측정을 조합하여 CD 등의 파라미터의 측정치를 얻는 하이브리드 계측 기법에 관해 설명한다. 하나 이상의 공통된 것을 통해 x-선 수학적 모델과 광학적 수학적 모델을 결합함으로써 CD 측정이 획득된다. 인용된 미국 특허 출원의 내용은 원용에 의해 본원에 통합된다.
도 5는 0.1 nm 내지 100 nm의 파장 범위의 방사선이 기판 상의 구조체의 파라미터를 측정하는 데에 사용될 수 있는 계측 장치(302)의 개략도를 도시한다. 도 5에 제시된 계측 장치(302)는 연질 X-선 또는 EUV 도메인에 적합하다.
도 5는 순전한 예시로서 그레이징 입사의 EUV 및/또는 SXR 방사선을 사용하는 분광 스캐터로미터를 포함하는 계측 장치(302)의 개략적인 물리적 배열을 도시한다. 대안적인 형태의 검사 장치가, 더 긴 파장에서 작동하는 종래의 스캐터로미터와 유사하게 수직 또는 수직 근방 입사의 방사선을 사용하는 각도 분해 스캐터로미터의 형태로 제공될 수 있다.
검사 장치(302)는 방사선 소스(310), 조명 시스템(312), 기판 지지체(316), 검출 시스템(318, 398) 및 계측 처리 유닛(MPU)(320)을 포함한다.
이러한 예에서 소스(310)는 고차 고조파 생성(HHG) 기법에 기초한 EUV 또는 연질 x-선 방사선의 생성기를 포함한다. 방사선 소스의 주요 컴포넌트는 구동 레이저(330) 및 HHG 가스 셀(332)이다. 가스 공급부(334)는 가스 셀에 적합한 가스를 공급하며, 여기서 선택적으로 전원(336)에 의해 이온화된다. 구동 레이저(300)는 예를 들어 광학 증폭기를 갖는 광섬유 기반 레이저일 수 있는데, 이는 필요에 따라 수 메가 헤르츠에 이르기까지의 펄스 반복률로, 예를 들어 펄스당 1 ns(1 나노초) 미만으로 지속될 수 있는 적외선 펄스를 생성한다. 적외선의 파장은 예를 들어 1 μm(1 미크론)의 영역일 수 있다. 레이저 펄스는 제1 방사선 빔(340)으로서 HHG 가스 셀(332)로 전달되며, 가스 내에서 방사선의 일부는 제1 방사선보다 더 높은 주파수로, 원하는 파장(들)의 코히어런트 제2 방사선을 포함하는 빔(342)으로 변환된다.
제2 방사선은 다수의 파장을 포함할 수 있다. 방사선이 단색성인 경우 측정 계산(예컨대, 재구성)이 단순화될 수 있지만, HHG를 사용하면 여러 파장의 방사선을 생성하는 것이 더 용이하다. 가스 셀(332) 내의 가스의 부피는 HHG 공간을 규정하지만, 이러한 공간이 완전히 밀폐될 필요는 없고 정적인 부피 대신에 가스의 흐름이 사용될 수도 있다. 가스는 예를 들어 네온(Ne) 또는 아르곤(Ar)과 같은 희가스일 수 있다. N2, O2, He, Ar, Kr, Xe 가스가 모두 고려될 수 있다. 이들은 동일한 장치 내에서 선택 가능한 옵션일 수 있다. 예를 들어, 상이한 파장은 상이한 재료의 구조체를 이미징할 때 상이한 레벨의 콘트라스트를 제공할 것이다. 금속 구조체 또는 실리콘 구조체의 검사를 위해, 예를 들어 (탄소계) 레지스트의 피처를 이미징하거나 그러한 상이한 재료의 오염을 검출하기 위해 사용되는 파장과는 다른 파장을 선택할 수도 있다. 하나 이상의 필터링 디바이스(344)가 제공될 수 있다. 예를 들어, 알루미늄(Al) 또는 지르코늄(Zr)의 박막과 같은 필터는 기본적인 IR 방사선이 검사 장치 내로 추가로 통과되는 것을 차단하는 역할을 할 수 있다. 가스 셀에서 생성된 것 중에서 하나 이상의 특정 고조파 파장을 선택하기 위해 격자(도시되지 않음)가 제공될 수 있다. 빔 경로의 일부 또는 전부가 진공 환경 내에 포함될 수 있으며, SXR 방사선은 공기 중에서 진행할 때 흡수된다는 점에 주의해야 한다. 방사선 소스(310) 및 조명 광학계(312)의 다양한 컴포넌트는 동일한 장치 내에서 상이한 계측 '레시피'를 구현하도록 조정 가능할 수 있다. 예를 들어, 상이한 파장 및/또는 편광이 선택 가능하게 될 수 있다.
검사 중인 구조체의 재료에 따라, 상이한 파장이 더 하부의 층 내로 원하는 수준의 침투를 제공할 수 있다. 최소 디바이스 피처를 분해하고 그 중에 있는 결함을 분해하기 위해, 짧은 파장이 선호될 가능성이 높다. 예를 들어, 1-20 nm 범위 또는 선택적으로 1-10 nm 범위 또는 선택적으로 10-20 nm 범위에서 하나 이상의 파장이 선택될 수 있다. 5nm 보다 짧은 파장은 반도체 제조에 있어서 일반적으로 관심 대상인 재료로부터 반사될 때 매우 낮은 임계각을 겪는다. 따라서 5nm보다 큰 파장을 선택하면 더 큰 입사각에서 더 강한 신호를 제공할 수 있다. 다른 한편으로는, 검사 작업이 특정 재료의 존재를 검출하는 것, 예를 들어 오염을 검출하기 위한 것인 경우, 최대 50 nm의 파장이 유용할 수도 있다.
방사선 소스(310)로부터, 필터링된 빔(342)은 검사 챔버(350)로 들어가고, 챔버에서 관심 대상인 구조체를 포함하는 기판(W)이 기판 지지체(316)에 의해 측정 위치에 검사를 위해 유지된다. 관심 대상인 구조체는 T로 표시되어 있다. 검사 챔버(350) 내의 분위기는 진공 펌프(352)에 의해 진공에 가깝게 유지되므로, EUV 방사선은 분위기를 통한 과도한 감쇠 없이 통과할 수 있다. 조명 시스템(312)은 방사선을 포커싱된 빔(356)으로 포커싱하는 기능을 가지며, 위에서 언급한 미국 출원 공개 US2017/0184981A1에 기재된 바와 같이(그 내용이 원용에 의해 전체로서 본원에 통합됨), 예를 들어 2차원으로 만곡된 미러 또는 일련의 1차원으로 만곡된 미러를 포함할 수 있다. 하나의 예시적인 실시예로서, 관심 대상인 구조 상에 투영될 때 직경이 10 μm 미만인 원형 또는 타원형 스폿(S)을 달성하기 위해 포커싱이 수행된다. 기판 지지체(316)는 예를 들어 X-Y 병진 스테이지 및 회전 스테이지를 포함하며, 이에 의해 기판(W) 중 임의의 부분이 원하는 배향으로 빔의 초점으로 이동될 수 있다. 이와 같이 방사선 스폿(S)은 관심 대상인 구조체 상에 형성된다. 대안적으로 또는 추가적으로, 기판 지지체(316)는 예를 들어 관심 구조체(T) 상에서 포커싱된 빔의 입사각을 제어하기 위해 기판(W)을 특정 각도로 기울일 수 있는 틸팅 스테이지를 포함한다.
선택적으로, 조명 시스템(312)은 필터링된 빔(342)에서 상이한 파장들의 스펙트럼 및/또는 세기를 측정하도록 구성될 수 있는 기준 검출기(314)에 기준 방사선 빔을 제공한다. 기준 검출기(314)는 프로세서(310)에 제공되는 신호(315)를 생성하도록 구성될 수 있고, 필터는 필터링된 빔(342)의 스펙트럼 및/또는 필터링된 빔에서 상이한 파장들의 세기에 대한 정보를 포함할 수 있다.
반사된 방사선(360)은 검출기(318)에 의해 캡처되고 타겟 구조체(T)의 속성을 계산하는 데에 사용하기 위해 스펙트럼이 프로세서(320)에 제공된다. 조명 시스템(312) 및 검출 시스템(318)은 이와 같이 검사 장치를 형성한다. 이러한 검사 장치는 US2016282282A1에 기술된 종류의 연질 X-선 및/또는 EUV 분광 반사계를 포함할 수 있으며, 그 내용이 원용에 의해 본원에 포함된다.
타겟(T)이 특정한 주기를 갖는 경우, 포커싱된 빔(356)의 방사선도 부분적으로 회절될 수 있다. 회절된 방사선(397)은 입사각에 관해 양호하게 규정된 각도로 반사 방사선(360)과는 또 다른 경로를 따른다. 도 5에서, 도시된 회절 방사선(397)은 개략적인 방식으로 도시되어 있으며, 회절 방사선(397)은 도시된 경로와는 다른 많은 경로를 따를 수 있다. 검사 장치(302)는 또한 회절 방사선(397)의 적어도 일부를 검출 및/또는 이미징하는 추가 검출 시스템(398)을 포함할 수 있다. 도 5에는 단일의 추가 검출 시스템(398)이 도시되어 있지만, 검사 장치(302)의 실시예는 또한 복수의 회절 방향에서 회절 방사선(397)을 검출 및/또는 이미징하기 위해 서로 상이한 위치에 배치되는 둘 이상의 추가 검출 시스템(398)을 포함할 수 있다. 즉, 타겟(T)에 충돌하는 포커싱된 방사선 빔의 (더 높은) 회절 차수가 하나 이상의 추가 검출 시스템(398)에 의해 검출 및/또는 이미징된다. 이러한 하나 이상의 검출 시스템(398)은 계측 프로세서(320)에 제공되는 신호(399)를 생성한다. 신호(399)는 회절된 광(397)에 대한 정보를 포함할 수 있고/있거나 회절된 광(397)으로부터 획득된 이미지를 포함할 수 있다.
원하는 제품 구조체와 스폿(S)의 정렬 및 포커싱을 돕기 위해, 검사 장치(302)는 또한 계측 프로세서(320)의 제어 하에 보조 방사선을 사용하는 보조 광학계를 제공할 수 있다. 계측 프로세서(320)는 또한 병진 스테이지 및 회전 및/또는 틸팅 스테이지를 동작시키는 위치 제어기(372)와 통신할 수 있다. 프로세서(320)는 센서를 통해 기판의 위치 및 배향에 대해 매우 정확한 피드백을 수신한다. 센서(374)는 예를 들어, 피코미터 영역에서 정확도를 제공할 수 있는 간섭계를 포함할 수 있다. 검사 장치(302)의 동작에 있어서, 검출 시스템(318)에 의해 캡처된 스펙트럼 데이터(382)는 계측 처리 유닛(320)으로 전달된다.
언급한 바와 같이, 대안적인 형태의 검사 장치는 예를 들어 회절 기반 비대칭 측정을 수행하기 위해 수직 입사 또는 수직 근방 입사로 연질 x-선 및/또는 EUV 방사선을 사용한다. 두 유형의 검사 장치가 하이브리드 계측 시스템으로 제공될 수 있다. 측정될 성능 파라미터는 오버레이(OVL), 임계 치수(CD), 리소그래피 장치가 타겟 구조체를 인쇄하는 동안의 리소그래피 장치의 초점, 코히어런트 회절 이미징(CDI) 및 분해능상 오버레이(ARO) 계측을 포함할 수 있다. 연질 x-선 및/또는 EUV 방사선은 예를 들어 100 nm 미만의 파장을 가질 수 있고, 예를 들어 5 내지 30 nm 범위, 선택적으로 10 nm 내지 20 nm 범위의 방사선을 사용할 수 있다. 방사선은 본질적으로 협대역 또는 광대역일 수 있다. 이러한 방사선은 특정 파장 대역에서 불연속적인 피크들을 가질 수 있거나 보다 연속적인 특성을 가질 수도 있다.
오늘날의 생산 설비에서 사용되는 광학 스캐터로미터와 마찬가지로, 검사 장치(302)는 리소 셀 내에서 처리된 레지스트 재료 내의 구조체를 측정하고(현상 후 검사 또는 ADI) 및/또는 더 경질의 재료로 형성된 후에 구조체를 측정하는 데에 사용될 수 있다(에칭 후 검사 또는 AEI). 예를 들어, 기판은 현상 장치, 에칭 장치, 어닐링 장치 및/또는 다른 장치에 의해 처리된 후에 검사 장치(302)를 사용하여 검사될 수 있다.
위에 언급된 스캐터로미터를 포함하지만 이에 제한되지 않는 계측 툴(MT)은 측정을 수행하기 위해 방사선 소스로부터의 방사선을 사용할 수 있다. 계측 툴(MT)에 의해 사용되는 방사선은 전자기 방사선일 수 있다. 방사선은 광학적 방사선, 예를 들어 전자기 스펙트럼 중의 적외선, 가시광선 및/또는 자외선 부분의 방사선일 수 있다. 계측 툴(MT)은 기판, 예컨대 반도체 기판 상의 리소그래피 노광된 패턴의 속성 및 양상을 측정하거나 검사하기 위해 방사선을 사용할 수 있다. 측정 유형과 품질은 계측 툴(MT)에 의해 사용된 방사선의 여러 속성에 따라 달라질 수 있다. 예를 들어, 전자기 측정의 분해능은 방사선의 파장에 따라 달라질 수 있고, 더 작은 파장은 예컨대 회절 한계로 인해 더 작은 피처를 측정할 수 있다. 작은 치수의 피처를 측정하려면, 예를 들어 EUV 및/또는 SXR(연질 X-선) 방사선과 같은 짧은 파장의 방사선을 사용하여 측정을 수행하는 것이 바람직할 수 있다. 특정 파장 또는 파장 범위로 계측을 수행하기 위해, 계측 툴(MT)은 해당 파장(들)에서 방사선을 제공하는 소스에 대한 액세스를 필요로 한다. 다양한 파장의 방사선을 제공하기 위해 다양한 유형의 소스가 존재한다.
측정을 수행하는 데 사용되는 방사선의 속성은 획득된 측정의 품질에 영향을 미칠 수 있다. 예를 들어, 방사선 빔의 횡방향 빔 프로파일(단면)의 형상과 크기, 방사선 세기, 방사선의 파워 스펙트럼 밀도 등이 방사선에 의해 수행되는 측정에 영향을 미칠 수 있다. 따라서 고품질 측정 결과를 가져오는 속성을 가진 방사선을 제공하는 소스를 갖는 것이 바람직하다.
소스에 의해 제공되는 파장에 따라, 다양한 유형의 방사선 생성 방법이 사용될 수 있다. 극자외선(EUV) 방사선(예컨대, 1 nm 내지 100 nm) 및/또는 연질 X-선(SXR) 방사선(예컨대, 0.1 nm 내지 10 nm)의 경우, 소스는 고차 고조파 생성(HHG)를 사용하여 원하는 파장의 방사선을 얻을 수 있다. EUV/SXR 방사선을 얻기 위해 HHG를 사용하는 것은 알려져 있다. HHG 프로세스를 통해 생성된 방사선은 기판의 검사 및/또는 측정을 위한 계측 툴(MT)에서 방사선으로서 제공될 수 있다. 기판은 리소그래피 패터닝된 기판일 수 있다. HHG 프로세스를 통해 획득된 방사선은 또한 리소그래피 장치(LA) 및/또는 리소그래피 셀(LC)에 제공될 수 있다. 고차 고조파 생성은 제공된 구동 방사선의 고조파 주파수에서 방사선을 생성하기 위해 비선형 효과를 이용한다. 구동 방사선은 짧은 시간 버스트들 동안 높은 피크 세기를 제공할 수 있는 펄스형 방사선일 수 있다. 고차 고조파 방사선은 구동 방사 파장(들)의 하나 이상의 고조파, 예를 들어 구동 방사선 파장(들)의 2차, 3차, 4차 ..., n차 고조파를 포함할 수 있다. 고차 고조파 방사선은 전자기 스펙트럼 중 극자외선(EUV), 연질 X-선(SXR), 및/또는 경질 X-선 부분의 파장을 포함할 수 있다. 고차 고조파 방사선은 예를 들어 0.01 nm 내지 100 nm, 0.1 nm 내지 100 nm, 0.1 nm 내지 50 nm, 1 nm 내지 50 nm, 또는 10 nm 내지 20 nm 범위의 파장을 포함할 수 있다.
도 6은 고차 고조파 방사선을 생성하는 예시적인 방법의 단계들의 흐름도를 포함한다. 단계(200)에서, 입력 방사선이 캐비티 내에 수광될 수 있고, 이러한 캐비티 내에서 고차 고조파 생성(HHG)이 발생할 수 있다. 단계(202)에서, HHG에 적합한 구동 방사선이 캐비티 내부의 입력 방사선으로부터 형성될 수 있다. 구동 방사선은 예를 들어 증폭 및/또는 코히어런스 추가를 통해 입력 방사선의 세기를 증가시킴으로써 형성될 수 있다. 단계(204)에서, 구동 방사선은 중공 빔으로 성형될 수 있다. 그 세기가 구동 방사선을 형성하기에 충분히 증가되기 전에 방사선에 대해 성형이 수행될 수 있다. 중공 빔으로의 방사선의 성형은 또한 캐비티 외부에서 수행될 수 있다. 단계(206)에서, 구동 방사선은 HHG에 적합한 매질을 포함하는 상호작용 영역 안으로 지향될 수 있다. 일단 생성되면, HHG 프로세스를 통해 획득된 고차 고조파 방사선의 적어도 일부는 출력 커플러 및/또는 추가적인 출력 커플러를 통해 단계(208)에서와 같이 캐비티를 빠져나갈 수 있다.
위에서 설명된 고차 고조파 방사선과 같은 방사선은 계측 툴(MT)에서 소스 방사선으로서 제공될 수 있다. 계측 툴(MT)은 리소그래피 장치에 의해 노광된 기판 상에서 측정을 수행하기 위해 소스 방사선을 사용할 수 있다. 이러한 측정은 기판, 예를 들어 기판 상에 리소그래피 방식으로 노광된 구조체의 하나 이상의 파라미터를 결정하기 위한 것일 수 있다. 위에서 설명한 파장 범위에 포함된 EUV 및/또는 SXR 파장과 같은 더 짧은 파장의 방사선을 사용하면, 더 긴 파장(예컨대, 가시광선, 적외선)을 사용하는 것과 비교하여, 계측 툴에 의해 더 작은 피처가 분해될 수 있다. EUV 및/또는 SXR 방사선과 같은 더 짧은 파장의 방사선은 또한 패터닝된 기판과 같은 재료 안으로 더 깊이 침투할 수 있으며, 다시 말해서 기판 상에서의 더 깊은 층의 계측이 가능하다. 이러한 더 깊은 층은 더 긴 파장의 방사선으로는 접근 가능하지 않을 수 있다.
계측 툴(MT)에서, 소스 방사선은 방사선 소스로부터 방출되어 기판 상의 타겟 구조체(또는 다른 구조체) 상으로 지향될 수 있다. 소스 방사선은 EUV 및/또는 SXR 방사선을 포함할 수 있다. 타겟 구조체는 타겟 구조체 상에 입사되는 소스 방사선을 반사 및/또는 회절시킬 수 있다. 계측 툴(MT)은 회절 방사선을 검출하기 위한 하나 이상의 센서를 포함할 수 있다. 예를 들어, 계측 툴(MT)은 양(+1차) 및 음(-1차) 1차 회절 차수를 검출하기 위한 검출기를 포함할 수 있다. 계측 툴(MT)은 또한 정반사 방사선(0차 회절 방사선)을 측정할 수 있다. 예를 들어, 추가 회절 차수(예를 들어, 더 높은 회절 차수)를 측정하기 위해 추가 계측용 센서가 측정 툴(MT)에 존재할 수 있다.
방사선 빔의 파장(들) 및 기타 속성은 해당 방사선을 사용하는 측정의 품질과 분해능에 영향을 미칠 수 있다. 방사선 자체의 속성 외에도, 측정의 품질이 기판 상에서 측정될 구조체에 대한 방사선 정렬에 의해 영향을 받을 수도 있다. 측정될 구조체에 대한 방사선의 정확한 정렬 제어는 획득한 측정의 정확도를 향상시킬 수 있다. 타겟 구조체에 방사선을 정렬시키기 위해, 계측 툴(MT)은 방사선 빔 뿐만 아니라 구조체가 존재하는 기판의, 계측 툴(MT) 내부에서의 정확한 위치를 결정하기 위해 복수의 검출기를 채용할 수 있다. 계측 툴(MT)이 기판, 또는 예를 들어 교정 또는 테스트 측정을 위해 어떠한 구조체도 존재하지 않는 기판의 일부에 정렬되는 것도 가능하다. 검출기는 레벨 센서 및/또는 간섭계와 같은 광학 센서를 포함할 수 있다. 광학 센서는 광학 방사선 빔의 적어도 일부를 반사시키고 기판에서 반사된 빔의 위치를 모니터링할 수 있다. 정렬을 결정하기 위한 센서는 계측 툴(MT)에 의해 기판의 측정 및/또는 검사를 수행하기 위한 센서와 별개로 작동할 수도 있다. 정렬에 사용되는 방사선은 계측 툴(MT)에 의해 기판을 측정/검사하는 데 사용되는 방사선과 별개일 수도 있다. 예를 들어, 정렬을 위한 센서는 광학 스펙트럼 내의 방사선(예컨대, 가시광선)을 측정할 수 있다. 계측 툴(MT)은 상이한 센서 세트를 사용하여, 기판 상의 구조체의 하나 이상의 측정을 수행하기 위해 EUV 및/또는 SXR 방사선을 사용할 수 있다.
방사선을 사용하여 기판 및/또는 기판 상의 구조체의 파라미터를 측정하기 위해, 방사선 빔은 기판에 정렬될 수 있다. 일부 경우에, 이것은 계측 툴(MT) 내부에서 메트로프레임(metroframe)으로 지칭될 수 있는 기준 프레임에 기판을 정렬함으로써 달성될 수 있다. 방사선 빔 또한 동일한 기준 프레임에 대해 정렬될 수 있다. 이는 기준 프레임을 통해, 기판 상의 타겟 구조체에 대한 방사선 빔의 상대 위치가 결정될 수 있게 한다. 방사선 빔과 기판을 기준 프레임에 정렬하려면 2개의 별개의 측정 세트가 필요할 수 있다. 2개의 위치를 서로 독립적으로 결정한 다음 공유된 기준 프레임을 통해 이들을 서로 링크하기 위해 별개의 프레임과 별개의 측정을 사용하면 정렬의 정확도가 떨어질 수 있다. 나아가, 몇몇 자유도에 걸쳐 방사선 빔과 기판을 기준 프레임에 정렬시키기 위해 여러 센서가 필요할 수도 있다. 이렇게 하면, 컴포넌트 수와 기판 주위에 측정에 필요한 공간이 늘어나게 된다.
기판이 정렬될 수 있는 다양한 자유도를 측정하려면 다수의 센서가 필요할 수도 있다. 기판이 방사선 빔에 대해 이동될 수 있는 자유도는, 예를 들어 3개의 축(X, Y, Z)으로의 병진 및 동일한 축에 대한 회전(Rx, Ry, Rz)으로 표현될 수 있다. 예를 들어 기판에 대한 높이 차원(Z) 및 높이 차원에 수직인 축을 중심으로 하는 회전(Rx, Ry)과 같은 몇몇 자유도는 정렬하기가 더 어려울 수도 있다. 이것은 예를 들어 기판 상에 입사되는 방사선과 상호작용하는 기판 상의 구조체(예컨대, 회절 격자)의 존재로 인한 것일 수 있다. 높이 차원에 있어서 기판의 이동을 초래할 수 있는 자유도(Z, Rx, Ry)는 높이 컴포넌트를 갖는 자유도라고 지칭될 수 있다. 높이 차원에 있어서 이동을 초래하지 않는 자유도(X, Y, Rz)는 면내 컴포넌트를 갖는 자유도라고 지칭될 수 있다. 기준 프레임에 상대적으로, 상이한 자유도를 따라 정렬을 검출하려면 별개의 센서들이 필요할 수도 있다. 이렇게 하면 정렬을 수행하는 데 필요한 컴포넌트들의 수와 이러한 컴포넌트들을 수용(host)하기 위해 필요한 공간이 늘어날 수 있다. 일부 또는 모든 센서는 직접 빔을 필요로 할 수 있고, 즉 센서들이 측정될 빔의 경로에 위치해야 한다. 이러한 위치는 다른 센서들에 대한 반사/회절 방사선 경로의 일부를 차단할 수 있다. 이는, 모든 센서를 동시에 사용할 수는 없으며 원하는 측정값을 얻기 위해 센서를 이리저리 이동시켜야 할 수도 있음을 의미할 수도 있다. 몇몇 자유도는 예를 들어 방사선의 회절 속성 및/또는 다른 센서의 존재로 인해 측정하기 어려울 수도 있다. 정렬을 측정하고 계측 기능을 수행하기 위해 서로 다른 유형의 방사선을 사용하고, 계측에 사용되는 방사선을 기판에 간접적으로 정렬하기 위해 기준 프레임을 사용하는 것은, 샘플 상의 구조체에 대한 방사선의 정렬에 부정적인 영향을 미칠 수 있다. 본 명세서에서 설명하는 방법 및 장치는 위에서 언급한 문제 중 적어도 일부를 해결하고자 한다.
도 7은 기판(300)의 하나 이상의 파라미터를 측정하기 위한 장치(700)의 개략도를 나타낸다. 기판의 하나 이상의 파라미터는 기판 상의 구조체(302), 예를 들어 기판(300) 상의 리소그래피로 노광된 구조체의 하나 이상의 파라미터를 포함할 수 있다. 장치(700)는 계측 툴(MT)의 적어도 일부를 형성할 수 있다. 하나 이상의 파라미터는 예를 들어 오버레이(OVL), 정렬(AL), 및/또는 레벨링(LVL) 데이터를 포함할 수 있다. 장치(700)는 방사선(110)을 방출하도록 구성된 방사선 소스(100)를 사용한다. 방사선 소스(100)는 장치(700)의 일부를 형성할 수 있거나, 장치(700)와 별도로 제공될 수 있다. 방사선(110)은 예를 들어 광학기(120)를 사용하여 기판(300) 상의 구조체(302) 상으로 지향될 수 있다.
도 7에 도시된 바와 같이, 장치(700)는 반사 방사선(112)을 수광하도록 구성된 적어도 하나의 반사 요소(710a)를 더 포함하며, 이는 적어도 하나의 반사형 검출기, 선택적으로 적어도 하나의 반사형 정렬 검출기일 수 있다. 반사형 검출기, 선택적으로 반사형 정렬 검출기는 반사 방사선(112)의 적어도 일부를 반사시킬 수 있는 반사성 표면 또는 계면을 갖는 검출기일 수 있다. 선택적으로, 적어도 하나의 반사 요소(710a)는 격자를 포함한다. 반사 방사선(112)은 기판(300)으로부터 반사된, 예를 들어 기판(300) 상의 구조체(302)로부터 반사된 소스 방사선일 수 있다. 반사 방사선(112)은 기판(300) 상의 구조체(302)에 의해 회절된 0차 회절 방사선일 수 있다. 일부 구현예에서, 적어도 하나의 반사 요소는 예를 들어 2개의 반사 요소들을 포함할 수 있다. 반사성 표면 또는 계면을 갖는 선택적으로 2개의 반사형 검출기, 선택적으로 2개의 반사형 정렬 검출기일 수 있다. 적어도 하나의 반사 요소(710a)는 기판(300)으로부터 반사 방사선(112)을 수광하도록 구성될 수 있다. 적어도 하나의 검출기(710b), 선택적으로 적어도 하나의 정렬 검출기 또는 적어도 하나의 스펙트럼 분해 검출기는 적어도 하나의 반사 요소(710a)로부터 추가적인 반사 방사선(115)을 수광하도록 구성될 수 있다. 일 실시예로서, 적어도 하나의 검출기(710b)는 기판의 하나 이상의 파라미터의 측정을 위해 구성되는 스펙트럼 분해 검출기이다. 적어도 하나의 검출기(710b)는 위치 감응성일 수 있다. 선택적으로, 적어도 하나의 검출기(710b)는 기판에 대한 방사선의 정렬을 결정하기 위한 측정을 획득하도록 구성된다. 선택적으로, 적어도 하나의 반사 요소(710a)가 반사형 정렬 검출기일 때, 적어도 하나의 반사 요소(710a) 및 적어도 하나의 정렬 검출기(710b)는 동일하거나 유사한 유형의 검출기이다.
장치(700)는 하나 이상의 다른 검출기, 예를 들어 회절 방사선을 수광하도록 구성된 스펙트럼 분해 검출기(720, 721)를 더 포함한다. 검출기(721)는 계측 검출기, 예를 들어 CCD 또는 CMOS 카메라일 수 있다. 본 명세서에 기재된 바와 같이, 검출기는 상이한 방사선 주파수들을 측정 및/또는 구별함으로써 스펙트럼으로 분해될 수 있다. 검출기는 또한, 예를 들어 회절을 통해 공간적으로 분리된 방사선 주파수에 대해, 공간적으로 분해되고 검출기 상의 방사선 위치를 주파수에 링크함으로써 스펙트럼으로 분해될 수 있다. 회절된 방사선은 예를 들어 반사 방사선(112)의 경로에 회절 구조체를 배치함으로써 반사 방사선(112)의 회절로부터 발생할 수 있다. 추가적으로 또는 대안적으로, 방사선은 구조체(302)에 의해 비-0차 회절 차수로 회절될 수 있고, 하나 이상의 스펙트럼 분해 검출기(721)에 의해 측정될 수 있다. 도 7의 예에서, 적어도 하나의 반사 요소(710a)는 수광된 반사 방사선(112)의 적어도 일부를 회절시키도록 배열된 회절 구조체를 포함할 수 있다. 스펙트럼 분해 검출기(720)는 적어도 하나의 반사 요소(710a)로부터 회절된 방사선을 수광하도록 구성된다. 방사선의 적어도 일부는 수행될 측정을 위해 검출기(710b)로 전달될 수 있다. 선택적으로, 적어도 하나의 반사 요소(710a)가 적어도 하나의 반사형 검출기일 때, 방사선의 적어도 일부는 수행될 측정을 위해 적어도 하나의 반사형 정렬 검출기(710a)로 전달될 수 있다.
스펙트럼 분해 검출기(720 및/또는 721)는 구조체의 하나 이상의 파라미터의 측정을 위해 구성될 수 있다, 적어도 하나의 검출기(710b)는 소스 방사선(110) 및/또는 기판(300)의 정렬의 결정을 위해 구성된다. 적어도 하나의 검출기(710b)는 검출기의 정렬을 결정하기 위해 방사선 빔이 검출기 상에 입사되는 위치를 검출할 수 있도록 위치 감응성일 수 있다. 일 실시예에서, 적어도 하나의 반사 요소(710a)는 반사형 정렬 검출기이고, 적어도 하나의 검출기(710b)와 동일하거나 유사한 기능을 갖는다. 방사선이 입사되는 검출기 상의 위치는 입사각 및/또는 방향을 결정하기 위해 사용될 수 있다. 이것은 차례로 기판(300)에 대한 방사선 빔의 위치 및/또는 정렬을 결정하기 위해 사용될 수 있다. 스펙트럼 분해 검출기(720, 721)는 구조체(302) 또는 반사 방사선(112)의 하나 이상의 파라미터를 측정하기 위해 스펙트럼 분해될 수 있다. 방사선 및/또는 기판(300)의 정렬은 기판(300)의 하나 이상의 자유도와 관련될 수 있다. 정렬은 기판(300)의 높이 컴포넌트(Z, Rx, Ry)를 갖는 자유도와 관련될 수 있다.
장치는 예를 들어 기판(300) 평면에서의 자유도(예를 들어, X, Y, Rz)로 정렬하기 위해 추가 검출기, 선택적으로 추가 정렬 검출기를 포함할 수 있다. 장치(700)는 예를 들어 기판(300)의 정렬의 면내 컴포넌트를 결정하도록 구조체(302)의 0이 아닌 차수의 회절 방사선을 검출하기 위해 하나 이상의 추가의 스펙트럼 분해 검출기 및/또는 계측 검출기(721)를 사용할 수 있다. 하나 이상의 스펙트럼 분해 검출기 및/또는 계측 검출기(721)가 본 명세서에 설명된 임의의 실시예에 존재할 수 있다.
위에 설명된 장치(700)의 장점은 동일한 방사선이 계측 및 정렬 양자 모두에 사용된다는 점일 수 있다. 기판(300), 예를 들어 구조체(302)로부터 반사된 소스 방사선은 스펙트럼 분해 검출기(720)에 의해 기판(300) 및/또는 구조체(302)의 하나 이상의 파라미터를 측정하기 위해 사용될 수 있다. 동일한 방사선은 또한, 선택적으로 적어도 하나의 반사형 검출기(710a)와 함께, 적어도 하나의 검출기(710b)를 사용하여 기판(300)으로부터 반사된 방사선을 측정함으로써 정렬을 결정하는 데에 사용될 수 있다. 기판(300) 및/또는 구조체(302)로부터 반사 및/또는 회절된 방사선은 적어도 하나의 반사형 검출기(710a) 및 적어도 하나의 검출기(710b)에 의한, 선택적으로 또한 검출기(721 및/또는 720)에 의한 측정을 위해 동시에 사용될 수 있다. 장치(700)의 또 다른 이점은 소스 방사선(110)의 정렬이 기판(300)과 관련하여 직접적으로 결정될 수 있다는 점일 수 있다. 기준 프레임을 사용하지 않고 정렬 절차가 수행될 수 있다. 여기에 설명된 장치(700)의 또 다른 이점은 정렬 측정이 방사선에 존재하는 스펙트럼 시프트 또는 기타 다른 스펙트럼 불안정성에 대해 로버스트할 수 있다는 점일 수 있다.
적어도 하나의 정렬 검출기(710b) 및/또는 적어도 하나의 반사형 검출기(710a)에 의해 수광된 반사 방사선(112)은 정반사 방사선을 포함할 수 있다. 정반사 방사선은 0차 회절 방사선이라고도 한다. 정반사 방사선(112)을 사용하여 정렬을 결정하는 것의 이점은, 반사 방사선(112)의 방향이 기판, 예를 들어 구조체(302)의 회절 속성에 의존하지 않는다는 점일 수 있다. 그 결과, 반사 방사선(112)의 방향은 기판(300)에 대한 입사 소스 방사선(110)의 정렬과 더 밀접하게 연계될 수 있다. 이것은 고차 회절 방사선을 사용하는 정렬 측정에 비해 개선된 정렬 결과로 이어질 수 있다. 스펙트럼 분해 검출기(720)는 구조체의 하나 이상의 파라미터의 측정을 수행하기 위해 정반사 방사선(112)의 경로에 배치될 수 있다. 그러나, 예를 들어 추가 스펙트럼 분해 검출기(721)에 의해 측정된, 구조체(302)로부터의 비-0차 회절 방사선을 사용함으로써, 정반사 방사선의 계측 없이 하나 이상의 파라미터를 측정하는 것도 가능하다.
도 8은 기판(300)의 하나 이상의 파라미터를 측정하기 위한 방법에 있어서 단계들의 흐름도를 나타낸다. 단계(400)에서, 소스 방사선(110)은 소스(100)에 의해 선택적으로 광학기(120)를 통해 기판(300)에, 예를 들어 기판(300) 상의 구조체(302)에 지향된다. 단계(402)에서, 소스 방사선(110)은 기판(300) 및/또는 구조체(302)와 상호작용한다. 상호작용의 일부로서, 소스 방사선의 적어도 일부가 반사되어 반사 방사선(112)을 형성한다. 단계(404)에서, 적어도 하나의 반사형 요소(710a)는 구조체(302)로부터 소스 방사선(110)의 반사에 기인하는 반사 방사선(112)을 수광하고 반사 방사선(112)을 추가적인 반사 방사선(115)으로 반사시킨다. 선택적으로 단계(404)에서, 적어도 하나의 검출기(710b)는 추가적인 반사 방사선(115)을 동시에 수광한다. 단계(406)에서, 소스 방사선(110) 및/또는 구조체(302)의 정렬을 결정하기 위한 하나 이상의 측정이 수광된 방사선에 기초하여 획득될 수 있다. 선택적으로, 반사 방사선(112) 또는 추가적인 반사 방사선(115)의 적어도 일부는 예를 들어 격자, 선택적으로 적어도 하나의 반사 요소(710a)의 회절 구조체에 의해 회절되어 회절 방사선(113a)을 생성하게 될 수 있다. 회절은 예를 들어 반사 방사선의 경로에 배치된 회절 격자에 의해 수행될 수 있다. 대안적으로 또는 추가적으로, 방사선은 구조체(302)에 의해 회절될 수 있고(113b), 적어도 하나의 비-0차 회절 차수가 추가 스펙트럼 분해 검출기(721)에 의해 수광 및 측정될 수 있다. 단계(408)에서, 회절 방사선(113a)의 적어도 일부는 스펙트럼 분해 검출기(720)에 의해 수광될 수 있다. 단계(410)에서, 스펙트럼 분해 검출기(720)는 수광된 회절 방사선(113a)에 기초하여 구조체(302)의 하나 이상의 파라미터를 측정할 수 있다. 대안적으로 또는 추가적으로, 단계(410)는 수광된 회절 방사선(113b)에 기초하여 구조체(302)의 하나 이상의 파라미터를 측정하는 추가 스펙트럼 분해 검출기(721)에 관련될 수 있다.
소스 방사선(110)이 기판(300) 상의 구조체(302)에 도달할 때, 소스 방사선(110)의 적어도 일부는 위에서 설명된 바와 같이 반사될 수 있다. 그러나, 소스 방사선(110)과 구조체(302)의 다른 상호작용이 발생할 수 있다. 구조체(302)는 회절 구조체일 수 있고, 이 경우 방사선의 일부는 예를 들어 +1차 및 -1차 회절 차수, 및 더 높은 회절 차수로 회절될 수 있다. 구조체(302)에 의해 회절된 하나 이상의 비-0차를 측정하기 위해 하나 이상의 추가 계측 센서(721)가 제공될 수 있다. 기판(300) 상에 입사되는 소스 방사선(110)의 일부는 또한 기판(300)에 의해 흡수될 수 있다.
정렬 검출기 또는 반사형 정렬 검출기는 위치 감응성 검출기(PSD), 즉 검출된 방사선이 검출기의 표면 상에 입사되는 위치에 민감한(그리고 위치를 측정할 수 있는) 검출기일 수 있다. 스펙트럼 분해 검출기(720) 또한 위치 감응성일 수 있다. 아래에 도 12와 관련하여 논의하는 바와 같이, 스펙트럼 분해 검출기(720)는 적어도 하나의 정렬 검출기의 일부를 형성할 수 있다. 예를 들어, 스펙트럼 분해 검출기(720)는 기판(300) 상의 구조체(302)에 의해 반사된 방사선의 경로에 위치될 수 있다. 방사선이 PSD 상에 입사되는 위치는 기판(300)에 대한 소스 방사선(110)의 정렬을 결정하기 위해 사용될 수 있다. 특히, 기판(300)의 정렬의 높이 컴포넌트를 조절하는 것은, 반사 방사선(112)이 정렬 검출기에 부딪치는 위치에 영향을 미칠 수 있다. 반사 방사선(112)이 검출기(710a, 710b, 720) 상에 입사되는 위치는 따라서 소스 방사선을 기판(300)의 높이 컴포넌트에 대해 정렬시키기 위해 사용될 수 있다.
스펙트럼 분해 검출기(720, 721)는 스펙트럼 분해될 수 있으며, 즉, 그것에 입사되는 방사선의 서로 다른 파장을 구별할 수 있다. 스펙트럼 분해 측정은 구조체(302)의 하나 이상의 파라미터를 측정하기 위해 사용될 수 있다. 선택적으로, 스펙트럼 분해 측정이 이용되어 소스 방사선(110)의 구조체(302)에 대한 정렬의 결정에 기여하게 될 수 있다.
추가적인 스펙트럼 분해 검출기(721)가 기판(300) 상의 구조체(302)에 의해 회절된 방사선의 경로에 배치될 수 있다. 회절 방사선(113b)은 비-0차 회절 방사선일 수 있다. 대안적으로 또는 추가적으로, 정반사 방사선(112)은 예를 들어 반사된 방사선 빔(112)의 경로에 회절 격자를 배치함으로써 회절 방사선(113a)을 형성하도록 회절될 수 있다. 회절 격자는 예를 들어 별도로 제공되거나 방사선 경로 내의 정렬 검출기 또는 다른 광학 요소 상에 제공될 수 있다. 그러면 스펙트럼 분해 검출기(720)가 회절 차수의 적어도 일부를 캡처하기 위해 사용될 수 있다. 스펙트럼 분해 검출기(720)에 의해 캡처된 방사선은 적어도 하나의 비-0차 회절 차수를 포함할 수 있다. 일부 구현예에서, 캡처된 회절 차수는 0차 회절 차수를 포함할 수 있다. 스펙트럼 분해 검출기(720) 및/또는 추가적인 스펙트럼 분해 검출기(721)는 기판(300) 상의 구조체(302)의 하나 이상의 파라미터를 측정할 수 있다. 이러한 파라미터는 오버레이, 레벨링, 정렬, 임계 치수, 초점, 및/또는 패터닝된 기판의 기타 다른 파라미터(스택 파라미터로 지칭될 수도 있음)를 포함할 수 있다.
소스(100)는 위에서 규정된 바와 같이 EUV 및/또는 SXR 범위의 하나 이상의 파장을 포함하는 소스 방사선(110)을 방출할 수 있다. 소스 방사선(110)은 예를 들어 0.01 nm 내지 100 nm, 1 nm 내지 100 nm, 또는 0.01 nm 내지 10 nm 범위의 하나 이상의 파장을 포함할 수 있다. 수많은 재료가 SXR 및/또는 EUV 파장 범위의 파장에 대해 부분적으로 또는 완전히 투명할 수 있다. 이는, SXR 및/또는 EUV 방사선을 제어하는 데 이용 가능한 광학 요소 및 재료의 제한사항으로 인해 이러한 파장 범위에서 정렬 셋업을 제공하는 것을 어렵게 할 수 있다. 이것은, 기판 상에서의 방사선의 입사각을 조절하여 재료와 방사선의 상호작용을 제어함으로써 해결될 수 있다. 예를 들어, 방사선은 경사진 입사각, 즉 광학 요소의 표면에 대해 수직이 아닌 방사선 입사각으로 제공될 수 있다. 이와 관련하여, 표면에 수직인 방사선의 입사각은 0° 각도로 간주될 수 있고, 표면에 평행한 방사선의 입사각은 90° 각도로 간주될 수 있다. 입사각이 증가함에 따라, 방사선과 재료의 상호작용의 양이 증가할 수 있고, 상호작용 없이 재료를 투과하는 방사선의 양은 감소할 수 있다. 표면에서 반사되는 방사선의 양과 표면을 형성하는 재료(들) 안으로 투과되는 방사선의 양을 설정하는 데에 각도 조절이 이용될 수 있다.
방사선 빔은 그레이징 입사각, 즉 방사선 빔이 표면에 평행하게 접근하도록 하는 입사각으로 광학 요소, 예를 들어 검출기 또는 반사 요소에 접근할 수 있다. 그레이징 입사각은 예를 들어 80° 내지 90° 입사, 75° 내지 90° 입사 또는 70° 내지 90° 입사 범위의 각도일 수 있다. 입사각은, 검출기 표면 상에서 입사 방사선의 특정 양의 반사율을 얻기 위해 조절될 수 있다. 하나 이상의 코팅, 예를 들어 미러 코팅이 특정 양의 반사율을 얻기 위해 필요한 입사각을 조절하도록 검출기에 적용될 수 있다. 이러한 코팅에 의해, 원하는 반사율을 갖는 입사각을 더 작게 만들 수 있다. 예를 들어, 하나 이상의 미러 코팅을 사용하는 경우 45° 내지 90° 범위의 입사각이 관심 대상이 될 수 있다. 기판에 의해 반사된 방사선의 양은, 광학 경로의 나머지 부분이 충분한 방사선 세기를 유지하여 방사선이, 예를 들어 추가 계측 및/또는 정렬 측정을 위해 측정될 수 있도록 설정될 수 있다. 반사될 표면 상에 입사되는 방사선의 부분은 방사선 빔의 입사각을 변화시킴으로써 예를 들어 10% 내지 90% 범위, 또는 1% 내지 99% 범위로 변화될 수 있다. 입사 방사선의 50% 이하가 반사되도록 입사각을 설계할 수 있다. 일례로서, 검출기의 표면 상의 방사선 빔의 입사각은 입사 방사선의 대략 50%가 반사되고 입사 방사선의 50%가 표면 재료 안으로 투과되도록 설정될 수 있다. 방사선 빔이 다수의 파장을 포함하는 구현예에서, 반사 및 투과의 비율은 상이한 파장들에 대해 변화할 수 있다. 입사각은 예를 들어 하나 이상의 선택된 파장에 대해 반사 및 투과의 원하는 비율을 달성하도록 선정될 수 있다.
일 실시예에서, 본원에서 설명된 장치(700)는 다수의 자유도에 걸친 정렬을 결정하기 위해 다수의 검출기(710a, 710b)를 포함할 수 있다. 반사형 정렬 검출기(710a), 정렬 검출기(710b) 및/또는 정반사를 캡처하는 데 사용될 수 있는 기타 다른 검출기가 반사된 방사선 빔(112)의 광학 경로를 따라 직렬로 배치될 수 있다. 일부 경우에, 정반사 전파 경로 이외의 경로를 따라 전파되는 방사선을 캡처하기 위해 추가 검출기가 위치될 수 있다. 예를 들어, 추가의 스펙트럼 분해 검출기(721)와 같은 하나 이상의 검출기가 비-0차 회절 방사선을 캡처하기 위해 장치 내에 위치될 수 있다. 복수의 검출기가 동시에 방사선을 수광할 수 있다. 일부 구현예에서, 장치(700)는 정반사 방사선 빔의 광학 경로를 따라 직렬로 반사형 정렬 검출기(710a) 및 정렬 검출기들(710b)을 포함할 수 있다. 정렬 검출기들은 제1 정렬 검출기 및 제2 정렬 검출기로 지칭될 수 있다. 제1 및 제2 정렬 검출기는 직렬로 배치될 수 있어서, 양자 모두 동시에 기판(300)으로부터의 정반사 방사선에 기초하여 방사선의 정렬을 측정할 수 있다. 직렬로 배치된 더 많은 수의 검출기에도 동일한 사항이 적용될 수 있다.
위에서 설명된 바와 같이, 710a 및 710b가 직렬로 배치될 때, 적어도 하나의 반사 요소(710a)가 기판(300)으로부터 정반사된 방사선(112)을 수광하도록 위치될 수 있다. 이는 제1 반사 방사선으로 지칭될 수도 있다. 적어도 하나의 반사 요소(710a)는 수광된 제1 반사 방사선의 입사각을 설정하도록 배향될 수 있다. 이러한 각도 설정은 위에서 설명한 바와 같이 반사 및 투과되는 방사선의 비율을 결정하기 위해 수행될 수 있다. 적어도 하나의 반사 요소(710a)는 그에 입사되는 방사선의 일부를 반사시킬 수 있으며, 이를 추가적인 반사 방사선이라고 한다. 적어도 하나의 검출기(710b)는 추가적인 반사 방사선의 경로에 위치될 수 있다. 적어도 하나의 검출기(710b)는 수광된 제2 반사 방사선의 입사각을 설정하도록 배향될 수 있다. 이것은 반사된 방사선과 투과된 방사선의 비율을 설정하기 위한 것일 수 있다. 적어도 하나의 검출기(710b) 검출기로부터 반사된 방사선은 하나 이상의 추가 검출기 및/또는 다른 광학 요소, 예를 들어 스펙트럼 분해 검출기 또는 회절 구조체를 향해 전파될 수 있다. 일부 구현예에서, 적어도 하나의 반사 요소(710a) 및/또는 적어도 하나의 검출기(710b)는 입사 방사선의 일부가 회절될 수 있도록 그 표면 상에 회절 격자와 같은 격자 구조체를 포함할 수 있다. 다른 구현들에서, 회절 격자는 적어도 하나의 반사 요소(710a) 상에 존재할 수 있고, 이 경우에 적어도 하나의 검출기(710b)는 0차 회절된 방사선의 경로에 배치될 수 있다. 회절 방사선(113a), 특히 비-0차 회절 방사선은 기판(300)에 의해 원래 정반사된 방사선의 계측에 사용될 수 있다. 일부 구현들에서, 스펙트럼 분해 검출기(720) 또한 정반사된 방사선을 측정할 수 있다.
도 9은 기판(300) 상의 구조체(302)의 하나 이상의 파라미터를 측정하기 위한 장치(900)의 예시적인 개략도를 나타낸다. 구조체(302) 상에 입사되는 소스 방사선(110)은 반사되어 반사 방사선(112)을 형성하게 되고, 이는 적어도 하나의 반사 요소(910), 선택적으로는 적어도 하나의 반사형 정렬 검출기(910)로 지향된다. 반사형 정렬 검출기(910)는 위치 감응성일 수 있으며, 즉 반사 방사선(112)이 검출기 상에 입사되는 위치에 민감하다. 위치 감응성 정보는 정렬 측정의 적어도 일부를 획득하는 데 사용될 수 있다. 반사형 정렬 검출기(910)는 정렬 측정을 수행하기 위해 그에 입사되는 반사 방사선(112)의 일부를 사용할 수 있다. 반사형 정렬 검출기(910) 상에 입사되는 반사 방사선(112)의 또 다른 부분이 반사되어 추가적인 반사 방사선(115)을 생성할 수 있으며, 이는 적어도 하나의 검출기(912), 선택적으로 정렬 검출기(912)를 향해 반사된다. 정렬 검출기(912) 상에 입사되는 방사선(115)의 일부는 제2 정렬 측정을 획득하기 위해 사용될 수 있다. 정렬 검출기(912)에 입사되는 추가적인 반사 방사선(115)의 추가 부분은 추가 반사 요소, 선택적으로 회절 격자(914), 예를 들어 주기적 회절 격자로 반사될 수 있다.
회절 격자(914)는 그것에 입사되는 방사선의 적어도 일부를 회절시켜 회절 방사선(113)을 생성할 수 있다. 회절 방사선(113)의 적어도 일부는 스펙트럼 분해 검출기(920) 상에 입사될 수 있다. 스펙트럼 분해 검출기(920)는 구조체(302)의 하나 이상의 파라미터를 측정하기 위한 하나 이상의 센서를 포함할 수 있다. 스펙트럼 분해 검출기(920) 내의 이러한 하나 이상의 센서는 스펙트럼 분해 측정을 획득하도록 구성될 수 있다. 위의 설명에서 회절 격자(914)를 언급하였지만, 이와 다른 회절 구조체가 장치의 일부로 제공될 수 있다. 이러한 구현의 장점은, 별도의 컴포넌트들이 별도의 작업을 수행하므로 셋업의 조절 또는 장치 내의 요소들 중 하나의 교체가 더 간단하게 될 수 있다는 점일 수 있다.
도 10은 기판(300)의 하나 이상의 파라미터, 예컨대 기판(300) 상의 구조체(302)의 하나 이상의 파라미터를 측정하기 위한 장치(1000)의 예시적인 개략도를 나타낸다. 위의 도 9에서와 마찬가지로, 기판(300) 상에 입사되는 소스 방사선(110)은 반사 방사선(112)을 형성하도록 반사될 수 있다. 반사 방사선(112)은 적어도 하나의 반사 요소(1010), 선택적으로 정렬 측정을 수행하기 위한 적어도 하나의 반사형 정렬 검출기(1010) 상에 입사될 수 있다. 방사선의 일부는 반사형 정렬 검출기(1010)로부터 반사되어 추가적인 반사 방사선(115)을 형성할 수 있다. 일 실시예에서, 추가적인 반사 방사선(115)은 적어도 하나의 검출기(1012), 선택적으로 정렬 검출기(1012)로 전파된다. 정렬 검출기(1012) 상에 입사되는 방사선(115)의 일부는 정렬 측정을 수행하기 정렬 검출기에 전달될 수 있다. 회절 격자(1014)가 정렬 검출기(1012) 상에 존재할 수 있고, 이것은 검출기, 선택적으로 스펙트럼 분해 검출기(1012) 상에 입사되는 방사선의 일부를 회절시킬 수 있다. 결과적인 회절 방사선(113)은 기판(300) 및/또는 구조체(302)의 하나 이상의 파라미터의 측정을 수행하거나 파라미터와 관련된 측정을 수행하기 위해 스펙트럼 분해 검출기(1020)를 향해 전파될 수 있다. 이러한 셋업의 장점은, 격자(1014)가 정렬 검출기(1012) 상에 포함되어 있기 때문에 기판(300)으로부터의 정반사된 방사선에 대해 측정을 수행하기 위해 더 적은 공간이 필요하다는 점일 수 있다.
도 11은 기판(300)의 하나 이상의 파라미터, 예컨대 기판(300) 상의 구조체(302)의 하나 이상의 파라미터를 측정하기 위한 장치(1100)의 예시적인 개략도를 나타낸다. 위의 도 9 및 도 10와 마찬가지로, 소스 방사선(110)은 기판(300)으로부터 반사되어 반사 방사선(112)을 형성할 수 있다. 정반사 방사선(112)은 적어도 하나의 반사 요소, 선택적으로 반사형 정렬 검출기(1110)를 향해 전파되어 입사될 수 있다. 반사형 정렬 검출기(1110) 상에 입사되는 방사선의 일부는 정렬 측정을 수행하기 위해 사용될 수 있다. 반사형 정렬 검출기(1110)는 회절 격자(1114)를 포함할 수 있다. 회절 격자는 반사형 정렬 검출기(1110) 상에 입사되는 방사선의 일부를 회절시켜 회절 방사선을 형성할 수 있다. 회절 방사선의 일부는 방사선의 정반사에 대응하는 0차 회절 차수(115)로 지향될 수 있다. 0차 회절 방사선(115)은 적어도 하나의 검출기, 선택적으로 정렬 검출기(1112)를 향해 전파될 수 있다. 정렬 검출기(1112)는 그것에 입사되는 방사선의 적어도 일부를 사용하여 정렬 측정을 수행할 수 있다. 회절 격자(1114)는 그에 입사되는 방사선의 일부를 비-0차 회절 차수(113)(예를 들어, +1, -1, +2, -2 등)로 회절시킬 수 있다. 비-0차 회절 방사선(113)의 적어도 일부는 스펙트럼 분해 검출기(1120)를 향해 전파될 수 있다. 스펙트럼 분해 검출기(1120)는 기판(300) 및/또는 구조체(302)의 하나 이상의 파라미터를 측정할 수 있다. 예를 들어 도 9 및 도 10에서와 같은 셋업에 비해, 이러한 셋업의 장점은 0차 회절 방사선(115)이 셋업에 의해 이용되며, 이로 인해 장치의 효율이 증가될 수 있다는 점이다. 장치(1100)의 또 다른 이점은, 격자가 별도로 제공되는 셋업과 비교하여 더 적은 컴포넌트를 필요로 할 수 있고, 이로 인해 더 비용 효율적인 셋업을 허용할 수 있고 정렬 프로세스를 단순화할 수 있다는 점이다.
다른 실시예에서, 도 11에서 회절 격자(1114)를 갖는 적어도 하나의 반사 요소(1110)는 격자로 대체된다. 이 경우 스펙트럼 자체가 정렬을 위해 사용된다. 이는, 스펙트럼의 파장 시프트가 정렬 변화와 구별될 수 없기 때문에 스펙트럼 내에서 파장이 안정적인 것으로 간주되는 식별 가능한 특징들을 필요로 한다. 스펙트럼 분해 검출기(1120) 상의 회절 차수들의 위치는 하나의 입력이다. 0차는 적어도 하나의 검출기, 선택적으로 경로 길이 차이로 배치되는 정렬 검출기(1112) 상에 떨어진다.
도 12은 기판(300)의 하나 이상의 파라미터, 예컨대 기판(300) 상의 구조체(302)의 하나 이상의 파라미터를 측정하기 위한 장치(1200)의 예시적인 개략도를 나타낸다. 위의 도 9 내지 도 11와 마찬가지로, 소스 방사선(110)은 기판(300)에 의해 반사되어 반사 방사선(112)을 형성할 수 있다. 도 11과 관련하여 설명한 바와 같이, 반사 방사선(112)은 적어도 하나의 반사 요소, 선택적으로 회절 격자(1214)를 포함하는 반사형 정렬 검출기(1210) 상에 입사될 수 있다. 반사형 정렬 검출기(1210) 상에 입사되는 방사선의 일부는 정렬 측정을 수행하기 위해 사용될 수 있다. 반사형 정렬 검출기 상에 입사되는 방사선의 일부는 회절 격자(1214)에 의해 회절될 수 있다. 0차 회절 방사선(115) 및 하나 이상의 비-0차 회절 방사선(113) 양자 모두는 적어도 하나의 검출기, 선택적으로 스펙트럼 분해 검출기(1220)를 향해 전파되어 입사될 수 있다. 스펙트럼 분해 검출기(1220)는 다수의 회절 차수를 캡처할 수 있도록 입사 방사선을 감지하기에 적합한 넓은 영역을 가질 수 있다. 이러한 셋업에서, 도 9 내지 11의 정렬 검출기(912, 1012, 1112)에 의해 수행되는 기능은 스펙트럼 분해 검출기(1220)에 의해 수행된다. 정렬 검출기는 스펙트럼 분해 검출기(1220)의 일부를 형성하는 것으로 볼 수 있다. 스펙트럼 분해 검출기(1220)는 위치 감응성일 수 있고, 스펙트럼 분해 검출기(1220) 상에 입사되는 0차 회절 방사선에 기초하여 정렬 측정을 수행할 수 있다. 스펙트럼 분해 검출기(1220)는 입사되는 비-0차 회절 방사선에 기초하여 기판(300) 및/또는 구조체(302)의 하나 이상의 파라미터를 추가로 측정할 수 있다. 도 11와 마찬가지로, 도 12의 셋업은 0차 회절 방사선을 사용하므로 0차 회절 방사선이 사용되지 않는 셋업(예컨대, 도 9 및 도 10)에 비해 더 높은 효율을 가질 수 있다.
다른 실시예에서, 도 12에서 회절 격자(1214)를 갖는 적어도 하나의 반사 요소(1210)는 격자로 대체된다. 이 경우 스펙트럼 자체가 정렬을 위해 사용된다. 이는, 스펙트럼의 파장 시프트가 정렬 변화와 구별될 수 없기 때문에 스펙트럼 내에서 파장이 안정적인 것으로 간주되는 식별 가능한 특징들을 필요로 한다. 스펙트럼 분해 검출기(1220) 상의 회절 차수들의 위치는 하나의 입력이다. 0차 또는 소위 추가적인 반사 방사선(115) 또한 스펙트럼 분해 검출기(1220)에 의해 캡처된다. 이러한 기하구조의 단점은 제한된 경로 길이 차이와 큰 검출기(1220)가 요구된다는 점이고, 장점은 표준 컴포넌트를 사용하는 간단한 셋업이라는 점이다.
구조체(302)는 계측 타겟 또는 계측 타겟의 일부일 수 있다. 계측 타겟은 알려진 회절 속성을 가진 하나 이상의 피처를 포함할 수 있다. 구조체(302)는 하나 이상의 격자를 포함할 수 있다. 격자는 기판(300)의 표면 상에 또는 기판(300)의 층들의 스택 내의 하부 층들에 존재할 수 있다. 계측 타겟의 피처는 예를 들어 주기적 회절 격자를 포함할 수 있다. 하나 이상의 파라미터가 측정되는 구조체(302)는 기판 상에 패터닝된 제품 피처에 속하는 구조체일 수 있다.
위치 감응성 검출기는 센서 상에서의 방사선의 위치를 결정하기 위해 다중 포트 출력을 갖는 반도체 센서(예를 들어, 1차원 위치 감도를 위한 2포트 출력, 또는 2차원 위치 감도를 위한 4포트 출력)를 포함할 수 있다. PSD의 예로는 위치 감응성 포토다이오드, CMOS(상보 금속 산화물 반도체) 센서, CCD(전하 결합 소자) 센서가 있다. 다른 유형의 공지된 PSD가 장치에 사용될 수 있음을 이해할 것이다. 스펙트럼 분해 검출기는 위치 감도에 더하여 추가적인 계측 기능, 예를 들어 기판 상의 구조체(302)의 하나 이상의 파라미터의 측정을 수행하기 위해 추가적인 계측 기능을 포함할 수 있다.
추가의 실시예는 다음의 번호가 매겨진 조항으로 제공된다:
1. 방사선 소스로부터 방출되고 기판 상으로 지향되는 소스 방사선을 사용하여 기판의 하나 이상의 파라미터를 측정하기 위한 장치로서,
기판으로부터의 소스 방사선의 반사에 기인하는 반사 방사선을 수광하고 상기 반사 방사선을 추가적인 반사 방사선으로 추가로 반사시키도록 구성된 적어도 하나의 반사 요소; 및
소스 방사선 및/또는 기판의 적어도 정렬의 결정을 위해 상기 추가적인 반사 방사선을 측정하도록 구성된 적어도 하나의 검출기를 포함하는 장치.
2. 제1조항에 있어서, 상기 장치는:
상기 적어도 하나의 반사 요소로부터의 상기 반사 방사선의 회절 및/또는 상기 적어도 하나의 검출기로부터의 상기 추가적인 반사 방사선의 회절 및/또는 추가의 반사 요소로부터의 상기 추가적인 반사 방사선의 회절에 기인하는 회절 방사선을 수광하도록 구성된 적어도 하나의 스펙트럼 분해 검출기를 더 포함하는 장치.
3. 제1조항에 있어서, 상기 적어도 하나의 검출기는 정렬 검출기 또는 스펙트럼 분해 검출기인 장치.
4. 제2조항 또는 제3조항에 있어서, 스펙트럼 분해 검출기는 기판의 하나 이상의 파라미터의 측정을 위해 구성되는 장치.
5. 제1조항 내지 제4조항 중 어느 한 조항에 있어서, 상기 적어도 하나의 검출기는 정렬 검출기인 장치.
6. 제1조항 내지 제5조항 중 어느 한 조항에 있어서, 상기 적어도 하나의 반사 요소는 적어도 하나의 반사형 정렬 검출기인 장치.
7. 제1조항 내지 제6조항 중 어느 한 조항에 있어서, 상기 적어도 하나의 반사 요소는 격자를 포함하는 장치.
8. 제2조항을 인용하는 경우 제1조항 내지 제7조항 중 어느 한 조항에 있어서, 상기 스펙트럼 분해 검출기는 상기 추가적인 반사 방사선을 측정하도록 구성되는 장치.
9. 제1조항 내지 제8조항 중 어느 한 조항에 있어서, 상기 적어도 하나의 검출기는 위치 감응성인 장치.
10. 제1조항 내지 제9조항 중 어느 한 조항에 있어서, 상기 적어도 하나의 검출기는 상기 기판에 대한 방사선의 정렬을 결정하기 위한 측정을 획득하도록 구성되는 장치.
11. 제1조항 내지 제10조항 중 어느 한 조항에 있어서, 상기 적어도 하나의 반사 요소 및 상기 적어도 하나의 검출기는 방사선을 동시에 수광하는 장치.
12. 제1조항 내지 제11조항 중 어느 한 조항에 있어서, 상기 적어도 하나의 반사 요소 및/또는 상기 적어도 하나의 검출기는 경사각으로 방사선을 수광하도록 구성되는 장치.
13. 제12조항에 있어서, 상기 적어도 하나의 반사 요소 및/또는 상기 적어도 하나의 검출기는 그레이징 입사로 방사선을 수광하도록 구성되는 장치.
14. 제12조항에 있어서, 상기 경사각은 적어도 하나의 검출기 중 하나가 수광된 방사선의 50% 이하를 반사시키게 구성되도록 설정되는 장치.
15. 제1조항 내지 제14조항 중 어느 한 조항에 있어서, 상기 기판은 구조체를 포함하고, 상기 반사 방사선은 상기 기판 상의 구조체로부터의 소스 방사선의 반사로부터 발생하는 장치.
16. 제15조항에 있어서, 상기 구조체는 계측 타겟을 포함하는 장치.
17. 제1조항 내지 제16조항 중 어느 한 조항에 있어서, 소스 방사선은 0.01 nm 내지 100 nm 범위의 하나 이상의 파장을 포함하는, 장치.
18. 제17조항에 있어서, 방사선은 1 nm 내지 100 nm 범위의 하나 이상의 파장을 포함하는 장치.
19. 제1조항 내지 제18조항 중 어느 한 조항에 있어서, 상기 적어도 하나의 검출기는 반도체 센서를 포함하는 장치.
20. 제1조항 내지 제19조항 중 어느 한 조항에 있어서, 상기 적어도 하나의 검출기는 기판에 대한 소스 방사선의 정렬을 적어도 1 마이크로미터의 정확도의 정밀도로 결정하도록 구성되는 장치.
21. 제1조항 내지 제20조항 중 어느 한 조항에 있어서, 소스 방사선 및/또는 기판의 정렬은 기판의 높이 컴포넌트에 대한 방사선의 정렬을 포함하는 장치.
22. 방사선 소스로부터 방출되고 기판 상으로 지향되는 소스 방사선을 사용하여 기판의 하나 이상의 파라미터를 측정하기 위한 방법으로서,
기판으로부터 소스 방사선의 반사에 기인하는 반사 방사선을 수광하도록 구성된 적어도 하나의 반사 요소에 의해 수광하는 단계;
상기 반사 방사선을 추가적인 반사 방사선으로 반사시키도록 구성된 적어도 하나의 반사 요소에 의해 반사시키는 단계;
상기 추가적인 반사 방사선을 측정하도록 구성된 적어도 하나의 검출기에 의해 수광하는 단계; 및
소스 방사선 및/또는 기판의 정렬을 결정하기 위한 하나 이상의 측정을 획득하는 단계를 포함하는, 파라미터 측정 방법.
23. 제1조항 내지 제21조항 중 어느 한 조항에 따른 장치를 포함하는 리소그래피 장치.
24. 제1조항 내지 제21조항 중 어느 한 조항에 따른 장치를 포함하는 계측 장치.
25. 제1조항 내지 제21조항 중 어느 한 조항에 따른 장치를 포함하는 검사 장치.
26. 제1조항 내지 제21조항 중 어느 한 조항에 따른 장치를 포함하는 리소그래피 셀.
추가의 실시예는 또한 다음의 번호가 매겨진 조항으로 제공된다:
1. 방사선 소스로부터 방출되고 기판 상으로 지향되는 소스 방사선을 사용하여 기판의 하나 이상의 파라미터를 측정하기 위한 장치로서,
기판으로부터 소스 방사선의 반사에 기인하는 반사 방사선을 수광하도록 구성된 적어도 하나의 정렬 검출기; 및
적어도 하나의 정렬 검출기로부터의 반사 방사선의 회절에 기인하는 회절 방사선 및/또는 기판으로부터의 소스 방사선의 회절에 기인하는 방사선을 수광하도록 구성된 스펙트럼 분해 검출기를 포함하고,
스펙트럼 분해 검출기는 기판의 하나 이상의 파라미터의 측정을 위해 구성되고,
적어도 하나의 정렬 검출기는 상기 소스 방사선 및/또는 상기 기판의 정렬의 결정을 위해 구성되는 장치.
2. 제1조항에 있어서, 스펙트럼 분해 검출기는 적어도 하나의 정렬 검출기에 의해 반사된 후에 회절된 방사선을 측정하도록 구성되는 장치.
3. 제1조항 또는 제2조항에 있어서, 반사 방사선은 정반사 방사선인 장치.
4. 제1조항 내지 제3조항 중 어느 한 조항에 있어서, 상기 적어도 하나의 검출기 및/또는 스펙트럼 분해 검출기는 위치 감응성인 장치.
5. 제1조항 내지 제4조항 중 어느 한 조항에 있어서, 상기 적어도 하나의 정렬 검출기는 상기 기판에 대한 방사선의 정렬을 결정하기 위한 측정을 획득하도록 구성되는 장치.
6. 제1조항 내지 제5조항 중 어느 한 조항에 있어서, 상기 적어도 하나의 정렬 검출기는 제1 및 제2 정렬 검출기를 포함하고, 제1 정렬 검출기 및 제2 정렬 검출기 양자 모두는 동시에 방사선을 수광하는 장치.
7. 제6조항에 있어서, 반사 방사선은 기판으로부터의 소스 방사선의 반사에 기인하는 제1 반사 방사선, 및 제1 정렬 검출기로부터의 제1 반사 방사선의 반사에 기인하는 제2 반사된 방사선을 포함하고,
제1 정렬 검출기는 제1 반사된 방사선을 수광하도록 구성되고, 제2 정렬 검출기는 제2 반사된 방사선을 수광하도록 구성되는 장치.
8. 제6조항 또는 제7조항에 있어서, 제2 정렬 검출기는 스펙트럼 분해 검출기의 적어도 일부를 형성하는 장치.
9. 제1조항 내지 제8조항 중 어느 한 조항에 있어서, 반사 방사선의 적어도 일부를 수광하여 회절 방사선을 생성하도록 구성된 격자를 더 포함하는 장치.
10. 제9조항에 있어서, 격자는 적어도 하나의 정렬 검출기의 일부를 형성하는 장치.
11. 제6조항에 직접 또는 간접적으로 종속하는 경우 제10조항에 있어서, 격자는 제1 및/또는 제2 정렬 검출기의 일부를 형성하는 장치.
12. 제1조항 내지 제11조항 중 어느 한 조항에 있어서, 상기 적어도 하나의 정렬 검출기는 경사각으로 방사선을 수광하도록 구성되는 장치.
13. 제12조항에 있어서, 상기 적어도 하나의 검출기는 그레이징 입사로 방사선을 수광하도록 구성되는 장치.
14. 제12조항에 있어서, 상기 기판과 적어도 하나의 정렬 검출기 사이의 적어도 각도는 적어도 하나의 정렬 검출기 중 하나가 수광된 방사선의 50% 이하를 반사시키게 구성되도록 설정되는 장치.
15. 제1조항 내지 제14조항 중 어느 한 조항에 있어서, 상기 기판은 구조체를 포함하고, 상기 반사 방사선은 상기 기판 상의 구조체로부터의 소스 방사선의 반사로부터 발생하는 장치.
16. 제15조항에 있어서, 상기 구조체는 계측 타겟을 포함하는 장치.
17. 제1조항 내지 제16조항 중 어느 한 조항에 있어서, 소스 방사선은 0.01 nm 내지 100 nm 범위의 하나 이상의 파장을 포함하는, 장치.
18. 제17조항에 있어서, 방사선은 1 nm 내지 100 nm 범위의 하나 이상의 파장을 포함하는 장치.
19. 제17조항 또는 제18조항에 있어서, 상기 적어도 하나의 정렬 검출기는 반도체 센서를 포함하는 장치.
20. 제1조항 내지 제19조항 중 어느 한 조항에 있어서, 상기 적어도 하나의 정렬 검출기는 기판에 대한 소스 방사선의 정렬을 적어도 1 마이크로미터의 정확도의 정밀도로 결정하도록 구성되는 장치.
21. 제1조항 내지 제20조항 중 어느 한 조항에 있어서, 스펙트럼 분해 검출기는 스펙트럼 분해 측정을 수행하도록 구성되는 장치.
22. 제1조항 내지 제21조항 중 어느 한 조항에 있어서, 소스 방사선 및/또는 기판의 정렬은 기판의 높이 컴포넌트에 대한 방사선의 정렬을 포함하는 장치.
23. 제1조항 내지 제22조항 중 어느 한 조항에 있어서, 회절 방사선은 비-0차 회절 방사선인 장치.
24. 방사선 소스로부터 방출되고 기판 상으로 지향되는 소스 방사선을 사용하여 기판의 하나 이상의 파라미터를 측정하기 위한 방법으로서,
적어도 하나의 정렬 검출기에 의해, 기판으로부터 소스 방사선의 반사에 기인하는 반사 방사선을 수광하는 단계;
스펙트럼 분해 검출기에 의해, 적어도 하나의 정렬 검출기로부터 반사 방사선의 회절에 기인하는 회절 방사선을 수광하는 단계;
스펙트럼 분해 검출기에 의해, 기판의 하나 이상의 파라미터를 측정하는 단계; 및
적어도 하나의 정렬 검출기에 의해, 소스 방사선 및/또는 기판의 정렬을 결정하기 위한 하나 이상의 측정을 획득하는 단계를 포함하는, 파라미터 측정 방법.
25. 제1조항 내지 제23조항 중 어느 한 조항에 따른 장치를 포함하는 리소그래피 장치.
26. 제1조항 내지 제23조항 중 어느 한 조항에 따른 장치를 포함하는 계측 장치.
27. 제1조항 내지 제23조항 중 어느 한 조항에 따른 장치를 포함하는 검사 장치.
28. 제1조항 내지 제23조항 중 어느 한 조항에 따른 장치를 포함하는 리소그래피 셀.
본 명세서에서는 IC의 제조에 리소그래피 장치를 사용하는 것에 대해 특별히 언급할 수 있지만, 여기에 설명된 리소그래피 장치는 다른 응용 분야를 가질 수 있음을 이해해야 할 것이다. 가능한 다른 응용 분야로는, 통합형 광학 시스템, 자기 도메인 메모리를 위한 안내 및 검출 패턴, 평판 디스플레이, 액정 디스플레이(LCD) 및 박막 자기 헤드 등의 제조가 있다.
본 명세서에서는 리소그래피 장치와 관련하여 실시예를 구체적으로 언급할 수 있지만, 실시예는 다른 장치에서도 사용될 수 있다. 실시예는 마스크 검사 장치, 계측 장치, 또는 웨이퍼(또는 기타 다른 기판) 또는 마스크(또는 기타 다른 패터닝 디바이스)와 같은 대상물을 측정 또는 처리하는 임의의 장치의 일부를 형성할 수 있다. 이들 장치는 일반적으로 리소그래피 툴이라 지칭될 수 있다. 이러한 리소그래피 툴은 진공 조건 또는 주변(비-진공) 조건을 이용할 수 있다.
본 명세서에서는 검사 또는 계측 장치와 관련하여 실시예를 구체적으로 언급할 수 있지만, 실시예는 다른 장치에서도 사용될 수 있다. 실시예는 마스크 검사 장치, 리소그래피 장치, 또는 웨이퍼(또는 기타 다른 기판) 또는 마스크(또는 기타 다른 패터닝 디바이스)와 같은 대상물을 측정 또는 처리하는 임의의 장치의 일부를 형성할 수 있다. "계측 장치"(또는 "검사 장치")라는 용어는 검사 장치 또는 검사 시스템(또는 계측 장치 또는 계측 시스템)을 지칭할 수도 있다. 예를 들어, 일 실시예를 포함하는 검사 장치는 기판의 결함 또는 기판 상의 구조체의 결함을 검출하는 데에 사용될 수 있다. 이러한 실시예에서, 기판 상의 구조체의 관심 특성은 구조체 내의 결함, 구조체의 특정 부분의 부재, 또는 기판 상의 원치 않는 구조체의 존재에 관한 것일 수 있다.
광학 리소그래피의 맥락에서 실시예를 이용하는 것에 대해 특정하게 언급하였지만, 본 발명은 문맥이 허용하는 한 광학 리소그래피로 제한되지 않으며 예를 들어 임프린트 리소그래피 등의 다른 응용 분야에서도 이용될 수 있음을 이해할 것이다.
앞서 기술한 타겟 또는 타겟 구조체(보다 일반적으로는 기판 상의 구조체)는 측정의 목적을 위해 특별히 설계되고 형성된 계측 타겟 구조체이지만, 이와 다른 실시예에서는 기판 상에 형성된 디바이스의 기능적 부분에 해당하는 하나 이상의 구조체 상에서 관심 속성이 측정될 수 있다. 많은 디바이스가 규칙적이고 격자 모양인 구조를 가지고 있다. 본 명세서에서 사용되는 구조체, 타겟 격자, 타겟 구조체라는 용어는 수행되는 측정을 위해 특별히 구조체가 제공될 것을 요하지 않는다. 또한, 계측 타겟의 피치는 스캐터로미터의 광학 시스템의 분해능 한계에 가깝거나 더 작을 수도 있지만, 타겟부(C)에서 리소그래피 프로세스에 의해 만들어진 전형적인 비-타겟 구조체, 선택적으로 제품 구조체의 치수보다 훨씬 클 수 있다. 실제로, 타겟 구조체 내의 오버레이 격자의 라인 및/또는 공간은, 비-타겟 구조체와 치수가 유사한 더 작은 구조체를 포함하도록 만들어질 수 있다.
이상에서 특정 실시예들이 설명되었지만, 본 발명은 설명된 것과 다르게 실시될 수도 있다는 것을 이해할 수 있을 것이다. 앞선 설명은 예시적인 것으로 의도되며, 제한적인 것으로 의도되지 않는다. 따라서, 이하 제시되는 청구 범위로부터 벗어남이 없이, 기술된 본 발명에 대해 수정이 이루어질 수 있음은 당업자에게 명백할 것이다.
"계측 장치/툴/시스템" 또는 "검사 장치/툴/시스템"을 구체적으로 언급하고 있지만, 이러한 용어는 동일하거나 유사한 타입의 툴, 장치 또는 시스템을 지칭할 수 있다. 예를 들어, 본 발명의 일 실시예를 포함하는 검사 또는 계측 장치는 기판 또는 웨이퍼 상의 구조체의 특성을 결정하는 데에 사용될 수 있다. 예를 들어, 본 발명의 일 실시예를 포함하는 검사 장치 또는 계측 장치는 기판의 결함 또는 기판 또는 웨이퍼 상의 구조체의 결함을 검출하는 데에 사용될 수 있다. 이러한 실시예에서, 기판 상의 구조체의 관심 특성은 구조체 내의 결함, 구조체의 특정 부분의 부재, 또는 기판 또는 웨이퍼 상의 원치 않는 구조체의 존재에 관한 것일 수 있다.
SXR 및 EUV 전자기 방서선에 대해 특별히 언급하고 있지만, 문맥이 허용하는 경우 본 발명은 전파, 마이크로파, 적외선, (가시)광, 자외선, X-선 및 감마선을 포함하는 모든 전자기 방사선과 함께 실시될 수 있음을 이해할 것이다. 광학적 계측 방법의 대안으로서, 계측 측정을 위해, 예를 들어 0.01nm 내지 10nm, 또는 선택적으로 0.01nm 내지 0.2nm, 또는 선택적으로 0.1nm 내지 0.2nm의 파장 범위의 방사선과 같은 X-선 선택적으로 경질 X-선을 사용하는 것이 고려된 바 있다.

Claims (15)

  1. 방사선 소스로부터 방출되고 기판 상으로 지향되는 소스 방사선을 사용하여 기판의 하나 이상의 파라미터를 측정하기 위한 장치로서,
    기판으로부터의 소스 방사선의 반사에 기인하는 반사 방사선을 수광하고 상기 반사 방사선을 추가적인 반사 방사선으로 추가로 반사시키도록 구성된 적어도 하나의 반사 요소; 및
    소스 방사선 및/또는 기판의 적어도 정렬의 결정을 위해 상기 추가적인 반사 방사선을 측정하도록 구성된 적어도 하나의 검출기를 포함하는 장치.
  2. 제1항에 있어서,
    상기 장치는:
    상기 적어도 하나의 반사 요소로부터의 상기 반사 방사선의 회절 및/또는 상기 적어도 하나의 검출기로부터의 상기 추가적인 반사 방사선의 회절 및/또는 추가의 반사 요소로부터의 상기 추가적인 반사 방사선의 회절에 기인하는 회절 방사선을 수광하도록 구성된 적어도 하나의 스펙트럼 분해 검출기를 더 포함하는 장치.
  3. 제1항에 있어서,
    상기 적어도 하나의 검출기는 정렬 검출기 또는 스펙트럼 분해 검출기인 장치.
  4. 제1항 내지 제3항 중 어느 한 항에 있어서,
    상기 적어도 하나의 반사 요소는 적어도 하나의 반사형 정렬 검출기인 장치.
  5. 제1항 내지 제4항 중 어느 한 항에 있어서,
    상기 적어도 하나의 반사 요소는 격자를 포함하는 장치.
  6. 제2항을 인용하는 경우 제1항 내지 제5항 중 어느 한 항에 있어서,
    상기 스펙트럼 분해 검출기는 상기 추가적인 반사 방사선을 측정하도록 구성되는 장치.
  7. 제1항 내지 제6항 중 어느 한 항에 있어서,
    상기 적어도 하나의 검출기는 위치 감응성인 장치.
  8. 제1항 내지 제7항 중 어느 한 항에 있어서,
    상기 적어도 하나의 검출기는 상기 기판에 대한 방사선의 정렬을 결정하기 위한 측정을 획득하도록 구성되는 장치.
  9. 제1항 내지 제8항 중 어느 한 항에 있어서,
    상기 적어도 하나의 반사 요소 및 상기 적어도 하나의 검출기는 방사선을 동시에 수광하는 장치.
  10. 제1항 내지 제9항 중 어느 한 항에 있어서,
    상기 적어도 하나의 반사 요소 및/또는 상기 적어도 하나의 검출기는 경사각으로 방사선을 수광하도록 구성되고, 선택적으로 상기 경사각은 적어도 하나의 검출기 중 하나가 수광된 방사선의 50% 이하를 반사시키게 구성되도록 설정되는 장치.
  11. 제10항에 있어서,
    상기 적어도 하나의 반사 요소 및/또는 상기 적어도 하나의 검출기는 그레이징 입사로 방사선을 수광하도록 구성되는 장치.
  12. 제1항 내지 제11항 중 어느 한 항에 있어서,
    상기 기판은 구조체를 포함하고, 상기 반사 방사선은 상기 기판 상의 구조체로부터의 소스 방사선의 반사로부터 발생하는 장치.
  13. 제1항 내지 제12항 중 어느 한 항에 있어서,
    소스 방사선은 0.01 nm 내지 100 nm 범위, 선택적으로 1 nm 내지 100 nm 범위의 하나 이상의 파장을 포함하는 장치.
  14. 방사선 소스로부터 방출되고 기판 상으로 지향되는 소스 방사선을 사용하여 기판의 하나 이상의 파라미터를 측정하기 위한 방법으로서,
    기판으로부터 소스 방사선의 반사에 기인하는 반사 방사선을 수광하도록 구성된 적어도 하나의 반사 요소에 의해 수광하는 단계;
    상기 반사 방사선을 추가적인 반사 방사선으로 반사시키도록 구성된 적어도 하나의 반사 요소에 의해 반사시키는 단계;
    상기 추가적인 반사 방사선을 측정하도록 구성된 적어도 하나의 검출기에 의해 수광하는 단계; 및
    소스 방사선 및/또는 기판의 정렬을 결정하기 위한 하나 이상의 측정을 획득하는 단계를 포함하는, 파라미터 측정 방법.
  15. 제1항 내지 제13항 중 어느 한 항에 따른 장치를 포함하는 계측 장치.
KR1020227013913A 2019-11-05 2020-10-20 측정 방법 및 측정 장치 KR20220066963A (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
EP19207109 2019-11-05
EP19207109.0 2019-11-05
EP20157939.8A EP3869270A1 (en) 2020-02-18 2020-02-18 Assemblies and methods for guiding radiation
EP20157939.8 2020-02-18
PCT/EP2020/079514 WO2021089319A1 (en) 2019-11-05 2020-10-20 Measuring method and measuring apparatus

Publications (1)

Publication Number Publication Date
KR20220066963A true KR20220066963A (ko) 2022-05-24

Family

ID=72885585

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020227013913A KR20220066963A (ko) 2019-11-05 2020-10-20 측정 방법 및 측정 장치

Country Status (5)

Country Link
US (1) US20220397834A1 (ko)
KR (1) KR20220066963A (ko)
CN (1) CN114651214A (ko)
IL (1) IL292195A (ko)
WO (1) WO2021089319A1 (ko)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11829078B2 (en) * 2022-02-25 2023-11-28 Nanya Technology Corporation Overlay measuring apparatus

Family Cites Families (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5166752A (en) * 1990-01-11 1992-11-24 Rudolph Research Corporation Simultaneous multiple angle/multiple wavelength ellipsometer and method
US7136172B1 (en) * 2002-01-15 2006-11-14 J.A. Woollam Co., Inc. System and method for setting and compensating errors in AOI and POI of a beam of EM radiation
TWI232357B (en) 2002-11-12 2005-05-11 Asml Netherlands Bv Lithographic apparatus and device manufacturing method
JP2005003666A (ja) * 2003-05-20 2005-01-06 Dainippon Screen Mfg Co Ltd 分光エリプソメータ
SG112033A1 (en) * 2003-11-07 2005-06-29 Asml Netherlands Bv Radiation detector
US7791727B2 (en) 2004-08-16 2010-09-07 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US7489399B1 (en) * 2004-08-20 2009-02-10 Kla-Tencor Corporation Spectroscopic multi angle ellipsometry
US7481579B2 (en) 2006-03-27 2009-01-27 Jordan Valley Applied Radiation Ltd. Overlay metrology using X-rays
EP2111529B1 (en) * 2006-10-23 2015-08-26 J.A. Woollam Co. Inc. Directing a Beam of Electromagnetic Radiation into the end of an Optical Fibre Using Output from a Multiple Element Detector
NL1036245A1 (nl) 2007-12-17 2009-06-18 Asml Netherlands Bv Diffraction based overlay metrology tool and method of diffraction based overlay metrology.
NL1036734A1 (nl) 2008-04-09 2009-10-12 Asml Netherlands Bv A method of assessing a model, an inspection apparatus and a lithographic apparatus.
NL1036857A1 (nl) 2008-04-21 2009-10-22 Asml Netherlands Bv Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method.
US8891061B2 (en) 2008-10-06 2014-11-18 Asml Netherlands B.V. Lithographic focus and dose measurement using a 2-D target
DE102009030230A1 (de) * 2009-06-23 2010-12-30 Carl Zeiss Smt Ag Reflektives optisches Element mit einer Messeinrichtung
KR101429629B1 (ko) 2009-07-31 2014-08-12 에이에스엠엘 네델란즈 비.브이. 계측 방법 및 장치, 리소그래피 시스템, 및 리소그래피 처리 셀
WO2012022584A1 (en) 2010-08-18 2012-02-23 Asml Netherlands B.V. Substrate for use in metrology, metrology method and device manufacturing method
KR101919102B1 (ko) * 2011-07-25 2018-11-15 삼성전자주식회사 Euv 빔 생성 장치
US10801975B2 (en) 2012-05-08 2020-10-13 Kla-Tencor Corporation Metrology tool with combined X-ray and optical scatterometers
US10013518B2 (en) 2012-07-10 2018-07-03 Kla-Tencor Corporation Model building and analysis engine for combined X-ray and optical metrology
CN107111250B (zh) 2014-11-26 2019-10-11 Asml荷兰有限公司 度量方法、计算机产品和系统
KR102010941B1 (ko) 2015-03-25 2019-08-14 에이에스엠엘 네델란즈 비.브이. 계측 방법, 계측 장치 및 디바이스 제조 방법
KR102162234B1 (ko) 2015-06-17 2020-10-07 에이에스엠엘 네델란즈 비.브이. 레시피간 일치도에 기초한 레시피 선택
KR102190305B1 (ko) 2015-12-23 2020-12-14 에이에스엠엘 네델란즈 비.브이. 메트롤로지 방법, 메트롤로지 장치 및 디바이스 제조 방법
CN109313393A (zh) * 2016-06-09 2019-02-05 Asml荷兰有限公司 计量设备
US11079564B2 (en) * 2017-07-20 2021-08-03 Cymer, Llc Methods and apparatuses for aligning and diagnosing a laser beam
US20190049861A1 (en) * 2017-08-11 2019-02-14 Asml Netherlands B.V. Methods and Apparatus for Determining the Position of a Spot of Radiation, Inspection Apparatus, Device Manufacturing Method
US10365211B2 (en) * 2017-09-26 2019-07-30 Kla-Tencor Corporation Systems and methods for metrology beam stabilization
EP3467588A1 (en) * 2017-10-03 2019-04-10 ASML Netherlands B.V. Method and apparatus for determining alignment properties of a beam of radiation

Also Published As

Publication number Publication date
IL292195A (en) 2022-06-01
TW202134797A (zh) 2021-09-16
WO2021089319A1 (en) 2021-05-14
US20220397834A1 (en) 2022-12-15
CN114651214A (zh) 2022-06-21

Similar Documents

Publication Publication Date Title
US10670974B2 (en) Metrology apparatus for and a method of determining a characteristic of interest of a structure on a substrate
CN110799903B (zh) 确定边缘粗糙度参数
KR102507137B1 (ko) 검사 장치용 조명 소스, 검사 장치 및 검사 방법
CN113196176A (zh) 用于计量的方法和装置
TWI773923B (zh) 光學系統、度量衡裝置及相關方法
CN114080569A (zh) 量测目标的改进
US11353796B2 (en) Method and apparatus for determining a radiation beam intensity profile
TW202212989A (zh) 用於量測曝光圖案之度量衡方法及相關度量衡設備
CN110945436B (zh) 用于参数确定的方法及其设备
US20220397834A1 (en) Measuring method and measuring apparatus
TWI776421B (zh) 度量衡量測方法及裝置
EP3528048A1 (en) A metrology apparatus for and a method of determining a characteristic of interest of a structure on a substrate
TWI840628B (zh) 用於量測基板之參數的設備和方法及包含所述設備之度量衡設備
EP3869270A1 (en) Assemblies and methods for guiding radiation
TWI795975B (zh) 基於從繞射結構產生高階諧波之度量衡設備及度量衡方法
NL2024935A (en) Assemblies and methods for guiding radiation
KR102662957B1 (ko) 검사 장치용 조명 소스, 검사 장치 및 검사 방법
TW202401138A (zh) 用於過濾量測輻射之設備及方法
NL2021670A (en) Optical system, metrology apparatus and associated method