TWI773923B - 光學系統、度量衡裝置及相關方法 - Google Patents

光學系統、度量衡裝置及相關方法 Download PDF

Info

Publication number
TWI773923B
TWI773923B TW108131612A TW108131612A TWI773923B TW I773923 B TWI773923 B TW I773923B TW 108131612 A TW108131612 A TW 108131612A TW 108131612 A TW108131612 A TW 108131612A TW I773923 B TWI773923 B TW I773923B
Authority
TW
Taiwan
Prior art keywords
reflector
optical system
plane
reflector system
image
Prior art date
Application number
TW108131612A
Other languages
English (en)
Other versions
TW202024801A (zh
Inventor
弗斯特 彼得 丹尼 凡
Original Assignee
荷蘭商Asml荷蘭公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 荷蘭商Asml荷蘭公司 filed Critical 荷蘭商Asml荷蘭公司
Publication of TW202024801A publication Critical patent/TW202024801A/zh
Application granted granted Critical
Publication of TWI773923B publication Critical patent/TWI773923B/zh

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/9501Semiconductor wafers
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/956Inspecting patterns on the surface of objects
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70908Hygiene, e.g. preventing apparatus pollution, mitigating effect of pollution or removing pollutants from apparatus
    • G03F7/70925Cleaning, i.e. actively freeing apparatus from pollutants, e.g. using plasma cleaning
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05GX-RAY TECHNIQUE
    • H05G2/00Apparatus or processes specially adapted for producing X-rays, not involving X-ray tubes, e.g. involving generation of a plasma
    • H05G2/001X-ray radiation generated from plasma
    • H05G2/003X-ray radiation generated from plasma being produced from a liquid or gas
    • H05G2/005X-ray radiation generated from plasma being produced from a liquid or gas containing a metal as principal radiation generating component
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05GX-RAY TECHNIQUE
    • H05G2/00Apparatus or processes specially adapted for producing X-rays, not involving X-ray tubes, e.g. involving generation of a plasma
    • H05G2/001X-ray radiation generated from plasma
    • H05G2/008X-ray radiation generated from plasma involving a beam of energy, e.g. laser or electron beam in the process of exciting the plasma

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Health & Medical Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Optics & Photonics (AREA)
  • Plasma & Fusion (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Pathology (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Biochemistry (AREA)
  • General Health & Medical Sciences (AREA)
  • Immunology (AREA)
  • Atmospheric Sciences (AREA)
  • Environmental & Geological Engineering (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Length-Measuring Devices Using Wave Or Particle Radiation (AREA)
  • Inspection Of Paper Currency And Valuable Securities (AREA)
  • Prostheses (AREA)
  • Holo Graphy (AREA)

Abstract

本發明描述一種用於將一輻射光束(B)聚焦於一度量衡裝置中之一基板之一所關注區上的光學系統(400)。該輻射光束包含在一軟X射線或極紫外線光譜範圍中之輻射。該光學系統包含一第一反射器系統(410)及一第二反射器系統(412)。該第一及第二反射器系統(410、412)中之每一者包含一有限至有限渥特反射器系統。該光學系統(400)經組態以在該所關注區上形成包含該輻射光束(B)之一視源的一物件(416)之一縮小影像(414)。

Description

光學系統、度量衡裝置及相關方法
本發明係關於用於(但非獨占式地)度量衡裝置之光學系統及相關方法。
微影裝置係經建構以將所要圖案施加至基板上之機器。微影裝置可用於(例如)積體電路(IC)製造中。微影裝置可例如將圖案化器件(例如光罩)處之圖案(亦經常被稱作「設計佈局」或「設計」)投影至提供於基板(例如晶圓)上之輻射敏感材料(抗蝕劑)層上。
為了將圖案投影於基板上,微影裝置可使用電磁輻射。此輻射之波長決定可形成於基板上之特徵之最小大小。當前在使用之典型波長為365nm(i線)、248nm、193nm及13.5nm。相比於使用例如具有193nm之波長之輻射的微影裝置,使用具有在4至20nm之範圍內的波長(例如,6.7nm或13.5nm)之極紫外線(EUV)輻射的微影裝置可用以在基板上形成較小特徵。
低k1微影可用於處理尺寸小於微影裝置之典型解析度極限的特徵。在此製程中,可將解析度公式表達為CD=k1×λ/NA,其中λ為所使用輻射之波長、NA為微影裝置中之投影光學件之數值孔徑、CD為「臨 界尺寸」(通常為經印刷之最小特徵大小,但在此情況下為半間距)且k1為經驗解析度因數。一般而言,k1愈小,則愈難以在基板上再生類似於由電路設計者規劃之形狀及尺寸以便達成特定電功能性及效能的圖案。為了克服此等困難,可將複雜微調步驟應用於微影投影裝置及/或設計佈局。此等步驟包括例如但不限於NA之最佳化、自訂照明方案、使用相移圖案化器件、設計佈局之各種最佳化,諸如設計佈局中之光學近接校正(OPC,有時亦被稱作「光學及製程校正」),或通常被定義為「解析度增強技術」(RET)之其他方法。替代地,用於控制微影裝置之穩定性之嚴格控制迴路可用以改良在低k1下之圖案之再生。
在其中使用微影裝置的積體電路之製造製程期間,出於製程控制及驗證目的,可對經印刷於基板上之結構執行量測。用於對結構執行量測的一個選項可為使用一度量衡裝置或檢測裝置,該度量衡裝置或檢測裝置應用能夠解析具有尺寸的經印刷結構之特性的軟X射線(SXR)或EUV輻射之光束,該等尺寸可運用低k1微影來產生。儘管各種源可供用於產生SXR或EUV輻射之光束,但存在與以滿足某些準則之方式操縱該輻射光束相關聯之各種難題。
本發明之一個目標為克服已知系統之缺點及/或與用於操縱用於(但非獨占式地)度量衡裝置之輻射光束的已知系統相關聯之難題。
根據本發明的態樣,提供一種用於將輻射光束聚焦於度量衡裝置中之基板之所關注區上的光學系統。該輻射光束可包含在一軟X射線或極紫外線光譜範圍內之輻射。該光學系統可包含一第一反射器系統及一第二反射器系統。第一及第二反射器系統中之每一者可包含一有限至有 限渥特反射器系統。該光學系統可經組態以在所關注區上形成物件之縮小影像。物件可包含該輻射光束之視源(apparent source)。
該光學系統可能能夠以滿足某些準則的方式操縱輻射光束以使得能夠對所關注區中之結構執行量測。該有限至有限渥特反射器系統可允許距所關注區有限距離的該輻射光束之視源以滿足準則之成像效能來成像。此有限至有限成像能力可允許光學系統容納於相對緊致體積內。另外,可非常接近於該光學系統來提供輻射光束之源以提供包含源及光學系統之緊致封裝。渥特反射器系統可在成像未相對於光學系統之軸線最佳對準的物件方面及/或在正被成像之物件為非點狀之情況下提供某些益處。渥特反射器系統可在一定程度上忍受此非最佳對準及/或非最佳物件大小,該程度大於運用成像SXR或EUV輻射之光束的某些其他反射器系統可達成的程度。換言之,即使視源離軸及/或非點狀(產生物件平面中之有限(非零)場),渥特反射器系統仍可滿足準則。與某些其他光學系統相比較,渥特反射器系統之使用可減輕嚴格的對準要求及/或提供對起因於使用或輸送的光學系統之不穩定性或移動的較大容限。因此,與某些其他反射器系統相比較,渥特反射器系統可以較大穩定性而對準及製造。渥特反射器系統之成像效能可為使得由渥特反射器系統所引起的像差小於藉由某些其他反射器系統產生之彼等像差。與某些其他反射器系統相比較,渥特反射器系統在縮小物件方面可提供較大撓性度。藉由每一渥特反射器系統提供的縮小率可彼此去耦以使得每一渥特反射器系統可獨立地提供所要縮小率因數。在一實例中,渥特反射器系統可經組態以提供物件之同構成像,運用某些其他類型之反射器系統可能並不可能達成同構成像。
每一有限至有限渥特反射器系統可包含經組態以在沿著包 含物件之物件平面所取的一個方向上縮小物件以在沿著包含影像之影像平面所取的對應方向上形成物件之對應縮小影像的一維有限至有限渥特反射器系統。用於一維渥特反射器系統的該等類型反射器可在一個方向上彎曲。此類反射器可經製造有一表面品質及/或至一容限位準,該表面品質及/或至該容限位準允許光學系統提供滿足準則之成像效能。與包含在兩個方向上彎曲之反射器的當前可用二維渥特反射器系統相比較,此類一維渥特反射器系統可提供較低成本位準及/或更令人滿意的成像效能。
第一反射器系統可經組態以在沿著物件平面所取的第一方向上縮小物件以在沿著影像平面所取的對應第一方向上形成物件之對應縮小影像。第二反射器系統可經組態以在沿著物件平面所取的第二方向上縮小物件以在沿著影像平面所取的對應第二方向上形成物件之對應縮小影像,該第二方向垂直於該第一方向。
該光學系統可經組態使得界定於光學系統之組件與所關注區之間的自由工作距離大於或等於10cm。自由工作距離可使得准許反射器被容納而不產生與其他組件及/或輻射光束的體積衝突。
該光學系統可經組態使得第一及第二反射器系統之主要表面在與物件相比更接近於影像之相交點處與界定於物件與影像之間的軸線相交。主要表面與同物件相比更接近於影像之相交點的相交可提供一縮小率因數,其可由主要表面與物件之間的距離(so)與主要表面與影像之間的距離(si)之比率界定,該比率等於so/si(so比si)。
第一反射器系統之主要表面可在與第二反射器系統之主要表面實質上相同的相交點處與軸線相交。此可為第一及第二反射器系統兩者提供相同縮小率因數,此可允許光學系統形成物件之同構縮小影像。
該光學系統可經組態使得第一反射器系統之縮小率因數與第二反射器系統之縮小率因數實質上相同。縮小率因數可由物件之尺寸與影像之對應尺寸之間的比率界定。
該光學系統可經組態以所要縮小縱橫比成像物件以便形成物件之同構影像或合成影像。
該光學系統可經組態以按大於或等於5(視情況大於或等於8,視情況大於或等於10)之縮小率因數縮小物件之至少一個尺寸。渥特反射器系統可能能夠達成相對高縮小率因數同時仍提供滿足準則之成像效能。相對高曲率反射器可用於提供高縮小率因數。渥特反射器系統組態可甚至為高曲率反射器提供可接受成像效能。
第一反射器系統可相對於第二反射器系統定向,使得與第一反射器系統相交之至少一個入射平面垂直於與第二反射器系統相交之至少一個入射平面。
該光學系統可經組態使得第一入射平面與第一反射器系統之每一反射器相交且第二入射平面與第二反射器系統之每一反射器相交,該第一入射平面與該第二入射平面彼此垂直。
該光學系統可經組態使得第一入射平面與第一反射器系統之初級反射器相交,第二入射平面與第二反射器系統之初級反射器相交,第三入射平面與第一反射器系統之二級反射器相交,且第四入射平面與第二反射器系統之二級反射器相交,第一及第三入射平面彼此不平行,第二及第四入射平面彼此不平行且垂直於第一及第三入射平面。
該光學系統可經組態以將輻射光束聚焦於基板之所關注區上,以使得關於所關注區之資訊可自作為以下各者中之至少一者的輻射予 以判定:自所關注區反射、散射及繞射,且視情況其中基板可包含積體電路或可用於積體電路之製造中。
該光學系統可包含渥特III型反射器系統及以下各者中的一者:額外渥特III型反射器系統及渥特I型反射器系統。以光學系統之部分之形式提供的渥特類型反射器系統之特定組態可使得為在反射器附近之其他組件(諸如用於量測自包含繞射元件之反射器繞射的輻射之一部分的可選參考偵測器)提供空間。
渥特III型反射器系統之初級反射器可包含橢圓圓柱形凸面反射器。渥特III型反射器系統之二級反射器可包含橢圓圓柱形凹面反射器。
渥特I型反射器系統之初級反射器可包含橢圓圓柱形凹面反射器。渥特I型反射器系統之二級反射器可包含雙曲線圓柱形凹面反射器。
第一反射器系統可包含渥特III型反射器系統。
第二反射器系統可包含額外渥特III型反射器系統。
該光學系統可在物件與影像之間按序包含渥特III型反射器系統,後續接著額外渥特III型反射器系統。
該光學系統可在物件與影像之間按序包含渥特III型反射器系統之初級反射器,後續接著額外渥特III型反射器系統之初級反射器,後續接著渥特III型反射器系統之二級反射器,後續接著額外渥特III型反射器系統之二級反射器。
第二反射器系統可包含渥特I型反射器系統。該光學系統可在物件與影像之間按序包含渥特III型反射器系統,後續接著渥特I型反射 器系統。
第一反射器系統及第二反射器系統中之至少一者可包含用於在空間上分隔輻射光束之經繞射光譜分量的繞射元件。出於度量衡量測之目的,繞射元件可允許源之效能被監測及/或使用以分析光束之光譜含量。
該繞射元件可以第一反射器系統之二級反射器之部分的形式提供。
該光學系統可經組態使得界定於物件與影像之間的一主射線之路徑長度小於或等於3米,視情況小於或等於1.5米。與某些其他光學系統相比較相對短的路徑長度可准許光學系統容納於相對緊致體積及/或佔據區內。
根據本發明的態樣,提供一種度量衡或檢測裝置。該度量衡或檢測裝置可包含一基板台,該基板台用於收納並固持處於一可控制位置之一基板。該度量衡或檢測裝置可包含一輻射源,該輻射源用於產生一照明輻射光束。該度量衡或檢測裝置可包含根據任何態樣或實施例之一光學系統,該光學系統用於將該照明輻射光束聚焦於該基板上之一所關注區處。
根據本發明之一態樣,提供一種使用用於將輻射光束聚焦於度量衡裝置中之基板之所關注區上的光學系統的方法。該輻射光束可包含在一軟X射線或極紫外線光譜範圍內之輻射。該方法可包含提供包含一第一反射器系統及一第二反射器系統的光學系統。第一及第二反射器系統中之每一者可包含一有限至有限渥特反射器系統。該方法可包含在所關注區上形成物件之縮小影像。物件可包含該輻射光束之視源。
根據本發明之一態樣,提供一種用於判定在基板上製造之結構的一部分之所關注特性的度量衡裝置。度量衡裝置可包含根據本文中所描述的任何態樣或實施例之光學系統。
根據本發明之一態樣提供一種用於檢測在基板上製造之結構之一部分的檢測裝置。檢測裝置可包含根據本文中所描述的任何態樣或實施例之光學系統。
根據本發明之一態樣,提供一種微影製造單元。微影製造單元可包含根據本文中所描述的任何態樣或實施例之度量衡或檢測裝置。
本文中所描述之任何態樣或實施例之至少一個特徵可替換本文中所描述之任何態樣或實施例之任何對應特徵。本文中所描述之任何態樣或實施例之至少一個特徵可與本文中所描述之任何其他態樣或實施例組合。
302:度量衡裝置
310:輻射源
312:照明系統
314:參考偵測器
315:信號
316:基板支撐件
318:偵測系統
320:度量衡處理單元(MPU)/處理器
330:驅動雷射器
332:HHG氣胞
334:氣體供應件
336:電源
340:第一輻射光束
342:光束
344:濾光器件
350:檢測腔室
352:真空泵
356:經聚焦光束
360:反射輻射
372:位置控制器
374:感測器
382:光譜資料
397:繞射輻射
398:偵測系統
399:信號
400:光學系統
410:第一反射器系統
412:第二反射器系統
414:影像/縮小影像
416:物件
418:第一方向
420:物件平面
422:影像平面
424:第二方向
426:主要表面
428:主要表面
430:軸線
432:相交點
500:光學系統
510:第一反射器系統
512:第二反射器系統
514:影像/縮小影像
516:物件
518:第一方向
520:物件平面
522:影像平面
524:第二方向
526:主要表面
528:主要表面
530:軸線
532:相交點
600:光學系統
610:第一反射器系統
612:第二反射器系統
614:影像/縮小影像
616:物件
618:第一方向
620:物件平面
622:影像平面
624:第二方向
626:主要表面
628:主要表面
630:軸線
632:相交點
B:輻射光束
BD:光束遞送系統
BK:烘烤板
C:目標部分
CH:冷卻板
CL:電腦系統
DE:顯影器
DP:輻射光束B之剩餘部分
IF:位置量測系統
IL:照明系統
I/O1:輸入/輸出埠
I/O2:輸入/輸出埠
LA:微影裝置
LACU:微影控制單元
LB:裝載匣
LC:微影單元
M1:反射器
M1':第一反射器
M1":第一反射器
M2:反射器
M2':第二反射器
M2":第二反射器
M3:反射器
M3':第三反射器
M3":第三反射器
M4:反射器
M4':第四反射器
M4":第四反射器
M1:光罩對準標記
M2:光罩對準標記
MA:圖案化器件
MT:度量衡裝置/光罩支撐件/散射計
P1:基板對準標記
P2:基板對準標記
PM:第一定位器
PS:投影系統
PW:第二定位器
RO:機器人
RP:輻射光束B之部分
S:光點
SC:旋塗器
SC1:第一標度
SC2:第二標度
SC3:第三標度
SCS:監督控制系統
SO:輻射源
T:目標結構/目標
TCU:塗佈顯影系統控制單元
W:基板
WT:基板支撐件
現將參看隨附示意性圖式僅藉助於實例來描述本發明之實施例,在隨附示意性圖式中:- 圖1描繪微影裝置之示意圖綜述;- 圖2描繪微影單元之示意圖綜述;- 圖3描繪整體微影之示意性表示,其表示最佳化半導體製造之三種關鍵技術之間的合作;- 圖4描繪度量衡裝置之示意性表示;- 圖5a至圖5b分別描繪根據一實施例之光學系統的示意性表示;- 圖6a至圖6b分別描繪根據另一實施例之光學系統的示意性表示;且- 圖7a至圖7b分別描繪根據另一實施例之光學系統的示意性表示。
在本發明文件中,術語「輻射」及「光束」用以涵蓋所有類型之電磁輻射,包括紫外光輻射(例如,具有365、248、193、157或126nm之波長)、極紫外光輻射(EUV,例如具有介於約5至100nm範圍內的波長)及軟X射線輻射(SXR,例如具有介於約5至100nm範圍內之波長)。
如本文中所使用之術語「倍縮光罩」、「光罩」或「圖案化器件」可被廣泛地解譯為係指可用以向入射輻射光束賦予經圖案化橫截面之通用圖案化器件,該經圖案化橫截面對應於待在基板之目標部分中產生之圖案。在此上下文中亦可使用術語「光閥」。除典型光罩(透射性或反射性,二元、相移、混合式等)以外,其他此類圖案化器件之實例包括可程式化鏡面陣列及可程式化LCD陣列。
圖1示意性地描繪微影裝置LA。微影裝置LA包括:照明系統(亦被稱作照明器)IL,其經組態以調節輻射光束B(例如UV輻射、DUV輻射或EUV輻射);光罩支撐件(例如光罩台)MT,其經建構以支撐圖案化器件(例如光罩)MA且連接至經組態以根據某些參數準確地定位圖案化器件MA之第一定位器PM;基板支撐件(例如晶圓台)WT,其經建構以固持基板(例如抗蝕劑塗佈晶圓)W且連接至經組態以根據某些參數準確地定位基板支撐件之第二定位器PW;及投影系統(例如折射投影透鏡系統)PS,其經組態以將由圖案化器件MA賦予至輻射光束B之圖案投影至基板W之目標部分C(例如包含一或多個晶粒)上。
在操作中,照明系統IL例如經由光束遞送系統BD自輻射源SO接收輻射光束。照明系統IL可包括用於導向、塑形及/或控制輻射的 各種類型之光學組件,諸如折射、反射、磁性、電磁、靜電及/或其他類型之光學組件,或其任何組合。照明器IL可用來調節輻射光束B,以在圖案化器件MA之平面處在其橫截面中具有所要空間及角強度分佈。
本文中所使用之術語「投影系統」PS應被廣泛地解譯為涵蓋適於所使用之曝光輻射及/或適於諸如浸潤液體之使用或真空之使用之其他因素的各種類型之投影系統,包括折射、反射、反射折射、合成、磁性、電磁及/或靜電光學系統,或其任何組合。可認為本文中對術語「投影透鏡」之任何使用均與更一般術語「投影系統」PS同義。
微影裝置LA可屬於以下類型:其中基板之至少一部分可由具有相對高折射率之液體(例如,水)覆蓋以便填充投影系統PS與基板W之間的空間,此亦稱作浸潤微影。在以引用之方式併入本文中之US6952253中給出關於浸潤技術之更多資訊。
微影裝置LA亦可屬於具有兩個或多於兩個基板支撐件WT(又名「雙載物台」)之類型。在此「多載物台」機器中,可並行地使用基板支撐件WT,及/或可對位於基板支撐件WT中之一者上的基板W進行準備基板W之後續曝光的步驟,同時將另一基板支撐件WT上之另一基板W用於在另一基板W上曝光圖案。
除了基板支撐件WT以外,微影裝置LA亦可包含量測載物台。該量測載物台經配置以固持感測器及/或清潔器件。感測器可經配置以量測投影系統PS之性質或輻射光束B之性質。量測載物台可固持多個感測器。清潔器件可經配置以清潔微影裝置之一部分,例如投影系統PS之一部分或提供浸潤液體之系統之一部分。量測載物台可在基板支撐件WT遠離投影系統PS時在投影系統PS下方移動。
在操作中,輻射光束B入射至固持在光罩支撐件MT上的圖案化器件(例如光罩)MA,且藉由呈現於圖案化器件MA上的圖案(設計佈局)進行圖案化。橫穿光罩MA後,輻射光束B通過投影系統PS,投影系統PS將光束聚焦在基板W之目標部分C上。藉助於第二定位器PW及位置量測系統IF,基板支撐件WT可準確地移動,例如,以便在聚焦及對準位置處在輻射光束B之路徑中定位不同的目標部分C。類似地,第一定位器PM及可能另一位置感測器(其未在圖1中被明確地描繪)可用以相對於輻射光束B之路徑來準確地定位圖案化器件MA。可使用光罩對準標記M1、M2及基板對準標記P1、P2來對準圖案化器件MA與基板W。儘管如所說明之基板對準標記P1、P2佔據專用目標部分,但該等基板對準標記可位於目標部分之間的空間中。在基板對準標記P1、基板對準標記P2位於目標部分C之間時,此等基板對準標記稱為切割道對準標記。
如圖2中所展示,微影裝置LA可形成微影單元LC(有時亦被稱作微影製造單元或(微影)叢集)之部分,微影單元LC常常亦包括用以對基板W執行曝光前製程及曝光後製程之裝置。常規地,此等裝置包括用以沈積抗蝕劑層之旋塗器SC、用以顯影經曝光之抗蝕劑的顯影器DE、例如用於調節基板W之溫度(例如,用於調節抗蝕劑層中之溶劑)的冷卻板CH及烘烤板BK。基板處置器或機器人RO自輸入/輸出埠I/O1、I/O2拾取基板W、在不同製程裝置之間移動基板W且將基板W遞送至微影裝置LA之裝載匣LB。微影製造單元中常常亦統稱為塗佈顯影系統之器件通常處於塗佈顯影系統控制單元TCU之控制下,該塗佈顯影系統控制單元自身可藉由監督控制系統SCS控制,該監督控制系統亦可例如經由微影控制單元LACU控制微影裝置LA。
為了正確且一致地曝光由微影裝置LA曝光之基板W,需要檢測基板以量測經圖案化結構之性質,諸如後續層之間的疊對誤差、線厚度、臨界尺寸(CD)等等。出於此目的,可在微影製造單元LC中包括檢測工具(圖中未示)。若偵測到誤差,則可例如對後續基板之曝光或對待對基板W執行之其他處理步驟進行調整,尤其是在同一批量或批次之其他基板W仍待曝光或處理之前進行檢測的情況下。
亦可被稱作度量衡裝置之檢測裝置用於判定基板W之性質,且詳言之判定不同基板W之性質如何變化或與同一基板W之不同層相關聯之性質在不同層間如何變化。檢測裝置可替代地經建構以識別基板W上之缺陷,且可例如為微影製造單元LC之部分,或可整合至微影裝置LA中,或可甚至為獨立器件。檢測裝置可量測潛像影像(在曝光之後在抗蝕劑層中之影像)上之性質,或半潛像影像(在曝光後烘烤步驟PEB之後在抗蝕劑層中之影像)上之性質,或經顯影抗蝕劑影像(其中抗蝕劑之曝光部分或未曝光部分已被移除)上之性質,或甚至經蝕刻影像(在諸如蝕刻之圖案轉印步驟之後)上之性質。
通常,微影裝置LA中之圖案化製程係在處理中之最關鍵步驟中的一者,其需要基板W上之結構之尺寸標定及置放的高準確度。為了確保此高準確度,可將三個系統組合於所謂的「整體」控制環境中,如圖3示意性地描繪。此等系統中之一者為微影裝置LA,其(實際上)連接至度量衡工具MT(第二系統)且連接至電腦系統CL(第三系統)。此「整體」環境之關鍵在於最佳化此等三個系統之間的合作以增強總體製程窗且提供嚴格控制迴路,從而確保由微影裝置LA執行之圖案化保持在製程窗內。製程窗定義特定製造程序產生經定義結果(例如功能性半導體器件)內--通常 允許微影製程或圖案化製程中之製程參數變化內--的一系列製程參數(例如劑量、焦點、疊對)。
電腦系統CL可使用待圖案化之設計佈局(之部分)以預測使用哪些解析度增強技術,且執行運算微影模擬及計算以判定哪種光罩佈局及微影裝置設定達成圖案化製程之最大總體製程窗(在圖3中由第一標度SC1中之雙箭頭描繪)。通常,解析度增強技術經配置以匹配微影裝置LA之圖案化可能性。電腦系統CL亦可用以偵測微影裝置LA當前正在製程窗內何處操作(例如,使用來自度量衡工具MT之輸入)以預測歸因於例如次佳處理是否可存在缺陷(在圖3中由第二標度SC2中之指向「0」之箭頭描繪)。
度量衡工具MT可將輸入提供至電腦系統CL以實現準確模擬及預測,且可將回饋提供至微影裝置LA以識別例如微影裝置LA之校準狀態中的可能漂移(在圖3中由第三標度SC3中之多個箭頭描繪)。
在微影製程中,需要頻繁地對所產生結構進行量測,例如用於製程控制及驗證。用以進行此類量測之工具通常被稱為度量衡工具MT。用於進行此類量測之不同類型的度量衡裝置MT為吾人所知,包括掃描電子顯微鏡或各種形式之散射計度量衡工具MT。散射計為多功能器具,其允許藉由在光瞳或與散射計之接物鏡之光瞳共軛的平面中具有感測器來量測微影製程之參數(量測通常被稱作以光瞳為基礎之量測),或藉由在影像平面或與影像平面共軛之平面中具有感測器來量測微影製程之參數,在此情況下量測通常被稱作以影像或場為基礎之量測。以全文引用之方式併入本文中之專利申請案US20100328655、US2011102753A1、US20120044470A、US20110249244、US20110026032或EP 1,628,164 A 中另外描述此類散射計及相關量測技術。前述散射計可使用來自軟x射線及可見光至近IR波長範圍之光來量測光柵。
在第一實施例中,散射計MT係角度解析散射計。在此散射計中,重建構方法可應用於經量測信號以重建構或計算光柵之性質。此重建構可例如由模擬散射輻射與目標結構之數學模型之相互作用且比較模擬結果與量測之結果引起。調整數學模型之參數直至經模擬相互作用產生相似於自真實目標觀測到之繞射圖案的繞射圖案為止。
在第二實施例中,散射計MT為光譜散射計MT。在此光譜散射計MT中,由輻射源發射之輻射經導向至目標上且來自目標之反射或散射輻射經導向至光譜儀偵測器,該光譜儀偵測器量測鏡面反射輻射之光譜(亦即隨波長而變之強度之量測)。自此資料,可例如藉由嚴密耦合波分析及非線性回歸或藉由與經模擬光譜庫比較來重建構產生偵測到之光譜的目標之結構或剖面。
在第三實施例中,散射計MT為橢圓量測散射計。橢圓量測散射計允許藉由量測針對各偏振狀態之散射輻射來判定微影製程之參數。此度量衡裝置藉由在度量衡裝置之照明區段中使用例如適當偏振濾光器來發射偏振光(諸如線性、圓形或橢圓)。適用於度量衡裝置之源亦可提供偏振輻射。以全文引用之方式併入本文中之美國專利申請案11/451,599、11/708,678、12/256,780、12/486,449、12/920,968、12/922,587、13/000,229、13/033,135、13/533,110及13/891,410中描述現有橢圓量測散射計之各種實施例。
在散射計MT之一個實施例中,散射計MT適用於藉由量測反射光譜及/或偵測組態中之不對稱性(該不對稱性係與疊對之範圍有關)來 量測兩個未對準光柵或週期性結構之疊對。可將兩個(通常重疊)光柵結構施加於兩個不同層(未必為連續層)中,且該兩個光柵結構可形成為處於晶圓上大體上相同的位置。散射計可具有如例如共同擁有之專利申請案EP 1,628,164 A中所描述之對稱偵測組態,使得任何不對稱性可明確區分的。此提供用以量測光柵中之未對準之直接了當的方式。可在全文係以引用方式併入本文中之PCT專利申請公開案第WO 2011/012624號或美國專利申請案第US 20160161863號中找到關於含有作為目標之週期性結構之兩個層之間的疊對誤差經由該等週期性結構之不對稱性予以量測的另外實例。
其他所關注參數可為焦點及劑量。可藉由如全文係以引用方式併入本文中之美國專利申請案US2011-0249244中所描述之散射量測(或替代地藉由掃描電子顯微法)同時判定焦點及劑量。可使用具有針對焦點能量矩陣(FEM-亦被稱作焦點曝光矩陣)中之每一點之臨界尺寸及側壁角量測之獨特組合的單一結構。若可得到臨界尺寸及側壁角之此等獨特組合,則可根據此等量測獨特地判定焦點及劑量值。
度量衡目標可為藉由微影製程主要在抗蝕劑中形成且亦在例如蝕刻製程之後形成之複合光柵的集合。通常,光柵中之結構之間距及線寬很大程度上取決於量測光學件(詳言之光學件之NA)以能夠俘獲來自度量衡目標之繞射階。如較早所指示,繞射信號可用以判定兩個層之間的移位(亦被稱作「疊對」)或可用以重建構如藉由微影製程所產生的原始光柵之至少一部分。此重建構可用以提供微影製程之品質指導,且可用以控制微影製程之至少一部分。目標可具有經組態以模仿目標中之設計佈局之功能性部分之尺寸的較小子分段。歸因於此子分段,目標將表現得更相似 於設計佈局之功能性部分,使得總體製程參數量測較佳類似於設計佈局之功能性部分。可在填充不足模式中或在填充過度模式中量測目標。在填充不足模式下,量測光束產生小於總體目標之光點。在填充過度模式中,量測光束產生大於整個目標之光點。在此填充過度模式中,亦有可能同時量測不同目標,因此同時判定不同處理參數。
使用特定目標進行之微影參數之總體量測品質至少部分由用以量測此微影參數之量測配方判定。術語「基板量測配方」可包括量測自身之一或多個參數、經量測之一或多個圖案之一或多個參數,或此兩者。舉例而言,若用於基板量測配方中之量測為基於繞射之光學量測,則量測之參數中之一或多者可包括輻射之波長、輻射之偏振、輻射相對於基板之入射角、輻射相對於基板上之圖案之定向,等等。用以選擇量測配方之準則中之一者可例如係量測參數中之一者對於處理變化之敏感度。以全文引用之方式併入本文中之美國專利申請案US2016-0161863及美國專利公開申請案US 2016/0370717A1中描述更多實例。
作為對光學度量衡方法之替代方案,亦考慮使用軟X射線或EUV輻射,例如在介於0.1nm與100nm之間,或視情況介於1nm與50nm之間,或視情況介於5nm與100nm之間或視情況介於10nm與20nm之間的波長範圍中之輻射。度量衡工具在上文所呈現之波長範圍中之一者中運行的一個實例為透射小角度X射線散射(如內容之全文係以引用方式併入本文中的US 2007224518A中之T-SAXS)。Lemaillet等人在「Intercomparison between optical and X-ray scatterometry measurements of FinFET structures」(Proc.of SPIE,2013年,8681)中論述使用T-SAXS之剖面(CD)量測。吾人已知在掠入射下使用X射線(GI- XRS)及極紫外線(EUV)輻射之反射量測術技術用於量測基板上之膜及層堆疊之性質。在一般反射量測術領域內,可應用測角及/或光譜技術。在測角術中,量測在不同入射角下之反射光束之變化。另一方面,光譜反射量測術量測在給定角度下反射之波長之光譜(使用寬頻帶輻射)。舉例而言,EUV反射量測術已在供用於EUV微影中之倍縮光罩(圖案化器件)之製造之前用於光罩基底之檢測。
應用之範圍有可能使軟X射線或EUV域中之波長之使用係不足夠的。因此,已公開專利申請案US 20130304424A1及US2014019097A1(Bakeman等人/KLA)描述混合度量衡技術,其中將使用x射線進行之量測及運用在120nm與2000nm之範圍內之波長的光學量測組合在一起以獲得諸如CD之參數之量測。CD量測係經由一或多個共同藉由耦合及x射線數學模型及光學數學模型獲得。所列舉美國專利申請案的內容以全文引用之方式併入本文中。
在此文件之上下文中,引入術語HHG或HHG源。HHG指代高階諧波產生或有時被稱作高階諧波產生。HHG係非線性程序,在其中例如氣體、電漿或固體樣本之目標係由密集雷射脈衝照明。隨後,目標可發射頻率為雷射脈衝之輻射之頻率多倍的輻射。為倍數的此頻率被稱作雷射脈衝之輻射之諧波。可界定經產生HHG輻射為高於第五諧波之諧波且此等諧波被稱為高階諧波。形成HHG程序之基礎之物理程序不同於係關於產生較低諧波(通常為第2至第5諧波)之輻射的物理程序。產生較低諧波之輻射係與擾動理論有關。目標中之原子之(受限)電子的軌跡實質上係由基質離子之庫侖位能判定。在HHG中,有助於HHG程序之電子之軌跡實質上係由傳入雷射光之電場判定。在所謂的描述HHG之「三步驟模 型」中,通過在彼力矩下之庫侖屏障之電子隧道實質上由雷射場抑制(步驟1),沿著由雷射場判定之軌跡(步驟2),且在釋放其動能及呈輻射形式之離子化能量時以一定概率重組(步驟3)。對HHG與較低諧波之輻射之產生之間的差異進行措辭之另一方式為將具有高於目標原子之離子化能量之光子能的所有輻射界定為「高階諧波」輻射,例如HHG產生輻射,且將具有低於離子化能量之光子能的所有輻射界定為非HHG產生輻射。若氖氣用作氣體目標,則具有短於62nm波長之所有輻射(具有高於20.18eV之光子能)係藉助於HHG程序產生。對於作為氣體目標之氬氣,具有高於約15.8eV之光子能之所有輻射係藉助於HHG程序產生。
圖4描繪度量衡裝置302之示意性表示,其中波長範圍在0.1nm至100nm之輻射可用於量測基板上之結構之參數。圖4中呈現之度量衡裝置302適用於軟X射線或EUV域。
圖4說明純粹作為實例的包含使用掠入射中之EUV及/或SXR輻射之光譜散射計的度量衡裝置302之示意性實體配置。檢測裝置之替代形式可以角度解析散射計之形式提供,該角度解析散射計類似於在較長波長下操作之習知散射計使用正入射或接近正入射中之輻射。
檢測裝置302包含輻射源310、照明系統312、基板支撐件316、偵測系統318、398及度量衡處理單元(MPU)320。
在此實例中,源310包含基於高階諧波產生(HHG)技術之EUV或軟x射線輻射之產生器。此等源可購自例如美國科羅拉多州博爾德市(Boulder Colorado)之KMLabs(http://www.kmlabs.com/)。輻射源之主組件係驅動雷射器330及HHG氣胞332。氣體供應件334將合適氣體供應至氣胞,其中該合適氣體視情況由電源336離子化。驅動雷射器300可例如 係具有光學放大器之以光纖為基礎之雷射器,其產生每脈衝可持續例如小於1ns(1奈秒)的紅外線輻射之脈衝,其中脈衝重複率視需要達至若干兆赫茲。紅外線輻射之波長可係例如大約1μm(1微米)。雷射脈衝作為第一輻射光束340被遞送至HHG氣胞332,其中在氣體中,輻射之一部分轉換為比第一輻射高的頻率,成為包括具有所要波長之相干第二輻射之光束342。
第二輻射可含有多個波長。若該輻射為單色的,則可簡化量測計算(例如,重建構),但運用HHG較易於產生具有若干波長之輻射。氣胞332內之氣體體積界定HHG空間,但該空間無需被完全圍封且可使用氣體流代替靜態體積。舉例而言,氣體可為惰性氣體,諸如氖氣(Ne)或氬氣(Ar)。N2、O2、He、Ar、Kr、Xe氣體皆可被考慮。此等情形為設計選擇事項,且甚至可係同一裝置內之可選擇選項。不同波長將例如在使不同材料之結構成像時提供不同等級之對比度。舉例而言,為了檢測金屬結構或矽結構,例如,可將不同波長選擇為用於成像(基於碳基之)抗蝕劑之特徵或用於偵測此等不同材料之污染的波長。可提供一或多個濾光器件344。舉例而言,諸如鋁(Al)薄膜之濾光器可用以切斷基諧IR輻射以免進一步傳遞至檢測裝置302中。可提供光柵(圖中未示)以自氣胞中產生之波長當中選擇一或多個特定諧波波長。在真空環境內可含有光束路徑中之一些或全部,應記住,SXR輻射在空氣中行進時會被吸收。輻射源310及照明光學件312之各種組件可為可調整的以在同一裝置內實施不同度量衡「配方」。舉例而言,可使不同波長及/或偏振係可選擇的。
取決於在檢測中之結構之材料,不同波長可提供至下部層中之所要程度之穿透。為了解析最小器件特徵及最小器件特徵當中之缺 陷,則短波長很可能為較佳的。舉例而言,可選擇介於1至20nm之範圍內或視情況介於1至10nm之範圍內或視情況介於10至20nm之範圍內的一或多個波長。短於5nm之波長在自半導體製造中通常所關注之材料反射時遭受極低臨界角。因此,選擇大於5nm之波長將會在較高入射角下提供較強信號。另一方面,若檢測任務是用於偵測某一材料之存在(例如)以偵測污染,則高達50nm之波長可為有用的。
自輻射源310,經濾光光束342進入檢測腔室350,其中包括所關注結構之基板W係由基板支撐件316固持以供在量測位置處檢測。所關注結構被標記為T。檢測腔室350內之氛圍係由真空泵352維持為接近真空,使得EUV輻射可在無不當衰減之情況下傳遞通過該氛圍。照明系統312具有將輻射聚焦至經聚焦光束356中之功能,且可包含例如二維彎曲鏡面或一系列一維彎曲鏡面,如上文所提及之已公開美國專利申請案US2017/0184981A1(其內容之全文係以引用方式併入本文中)中所描述。執行該聚焦以在投影至所關注結構上時達成直徑低於10μm之圓形或橢圓形光點S。基板支撐件316包含例如X-Y平移載物台及旋轉載物台,藉由該X-Y平移載物台及該旋轉載物台,可使基板W之任何部分在所要定向上到達光束之焦點。因此,輻射光點S形成於所關注結構上。替代地或另外,基板支撐件316包含例如一傾斜載物台,該傾斜載物台可使基板W以某一角度傾斜以控制所關注結構T上(例如在所關注之區處)的聚焦光束之入射角。
視情況,照明系統312將參考輻射光束提供至參考偵測器314,該參考偵測器可經組態以量測經濾光光束342中之不同波長的光譜及/或強度。參考偵測器314可經組態以產生經提供至處理器320之信號 315,且濾光器可包含關於經濾光光束342之光譜及/或在經濾光光束中之不同波長之強度的資訊。
反射輻射360係由偵測器318俘獲且光譜經提供至處理器320以用於計算目標結構T之性質。照明系統312及偵測系統318因此形成檢測裝置。此檢測裝置可包含屬於以內容之全文係以引用方式併入本文中的US2016282282A1中所描述之種類的軟X射線及/或EUV光譜反射計。
若目標T具有某一週期性,則經聚焦光束356之輻射亦可經部分地繞射。繞射輻射397相對於入射角接著相對於反射輻射360以明確界定角度沿著另一路徑。在圖4中,經吸取繞射輻射397以示意性方式被吸取,且繞射輻射397可沿著除經吸取路徑以外之許多其他路徑。檢測裝置302亦可包含檢測及/或成像繞射輻射397的至少一部分之另外的偵測系統398。在圖4中,繪製單一另外偵測系統398,但檢測裝置302之實施例亦可包含經配置於不同位置處以偵測及/或成像在複數個繞射方向之繞射輻射397的多於一個另外偵測系統398。換言之,照射於目標T上之聚焦輻射光束的(更高)繞射階由一或多個其他偵測系統398偵測及/或成像。該一或多個偵測系統398產生經提供至度量衡處理器320之信號399。信號399可包括繞射光397之資訊及/或可包括自繞射光397獲得之影像。
為輔助光點S與所要產品結構之對準及聚焦,檢測裝置302亦可提供在度量衡處理器320之控制下使用輔助輻射之輔助光學件。度量衡處理器320亦可與位置控制器372通信,該位置控制器操作平移載物台、旋轉載物台及/或傾斜載物台。處理器320經由感測器接收關於基板之位置及定向之高度準確之回饋。感測器374可包括例如干涉計,其可給出在大約皮米之準確度。在檢測裝置302之操作中,由偵測系統318俘獲之 光譜資料382經遞送至度量衡處理單元320。
如所提及,檢測裝置之替代形式使用正入射或接近正入射下之軟X射線及/或EUV輻射(例如)以執行以繞射為基礎之不對稱性量測。兩種類型之檢測裝置可提供於混合度量衡系統中。待量測之效能參數可包括疊對(OVL)、臨界尺寸(CD)、相干繞射成像(CDI)及依解析度疊對(ARO)度量衡。軟X射線及/或EUV輻射可例如具有小於100nm之波長,例如使用介於5至30nm之範圍內,視情況介於10nm至20nm之範圍內的輻射。該輻射在特性上可係窄頻帶或寬頻帶。輻射可在特定波長帶中具有離散峰值或可具有更連續特徵。
如同用於當今生產設施中之光學散射計,檢測裝置302可用以量測在微影製造單元內處理之抗蝕劑材料內之結構(在顯影檢測或ADI之後),及/或在結構已形成於較硬材料中之後量測該等結構(在蝕刻檢測或AEI之後)。舉例而言,可在基板已由顯影裝置、蝕刻裝置、退火裝置及/或其他裝置處理之後使用檢測裝置302來檢測基板。
本發明之實施例係關於用於提供藉由圖4描繪之照明系統312之功能的光學系統。度量衡裝置302經設計以滿足度量衡裝置302之特定應用的所需要規格。舉例而言,度量衡裝置302可經組態以檢測基板以量測藉由微影裝置LA在彼等基板中產生的圖案化結構之性質。若度量衡裝置302滿足特定應用之所需要規格,則可僅僅判定圖案化結構之某些性質。該光學系統因此可經組態以滿足允許度量衡裝置302滿足所需要規格之某些準則。舉例而言,光學系統可經設計以提供具有一或多個特性的經聚焦光束356,使得光束356與所關注結構之相互作用允許關於所關注之彼結構的資訊待根據規格運用度量衡裝置302來判定。設計光學系統時的 自由度取決於一或多個邊界條件。因此,光學系統滿足某些準則之能力取決於該一或多個邊界條件。
光學系統可經組態以將輻射光束聚焦於基板之所關注區上,使得關於該所關注區之資訊可自作為以下各者中之至少一者的輻射予以判定:自該所關注區反射、散射及繞射。因此,度量衡裝置302可經組態以用於運用經適當選擇用於判定關於積體電路之所關注區之資訊的度量衡裝置302之參數判定此資訊。
邊界條件的實例包括但不限於:經濾光光束342之光譜含量;光束342之尺寸及/或縱橫比(例如在界定藉由光學系統成像之物件的光束342之視源處);光束342之品質(例如M平方值);光束342之空間及/或光譜變化;光束342之能量及/或功率;輻射源310之任何其他操作特性;光學系統之透射率;用於光學系統之組件的可用空間;及度量衡裝置302之組件(諸如參考偵測器314)之間的體積衝突及/或此等組件與輻射光束的衝突。另外,一或多個邊界條件可視特定應用而在使用期間變化。舉例而言,度量衡裝置302之一或多個組件可在使用期間移動或替換,此可影響用於光學系統之組件的可用空間。另一實例為輻射源310可以不同操作方案組態,例如以提供具有特定光譜含量之光束342及/或光束之另一操作特性可變化。
光學系統之一或多個實施例可經組態使得度量衡裝置302視一或多個邊界條件而滿足所需要規格。在一個實例規格中,光束356藉由光學系統聚焦以在投影至所關注結構上時達成直徑小於10μm之圓形或橢圓形光點S。在此實例中,邊界條件可由經聚焦光束356之視源的至少一個尺寸(例如半徑、直徑等)界定。當自遠場觀測到時,視源可界定光束 356之源似乎源自的物件。光學系統因此投影彼物件之影像至所關注結構上,其中彼影像之對應至少一個尺寸可取決於以下各者中的一或多者:(i)物件之至少一個尺寸;(ii)光學系統之成像性質;及(iii)藉由光學系統成像的光束342之品質。
在一實例中,物件之至少一個尺寸可使得光學系統藉由按由物件之尺寸(do)與影像之對應尺寸(di)之間的比率界定的一縮小率因數縮小物件之至少一個尺寸而滿足某些準則,其中縮小率因數=do/di。對於高斯光束,可根據在物件或影像之最大強度的1/e2(亦即13.5%)下的物件或影像之包圍半徑界定尺寸。光學系統可經組態以按大於1(或視情況大於或等於:2、5、8、10、15、20或25)之縮小率因數縮小物件之至少一個尺寸。
在一實例中,在所關注區處的光點S尺寸可根據在影像之最大強度之1/e2(亦即13.5%)下的影像之包圍半徑界定,光點半徑可等於或低於以下各者中之至少一者:5、4、3、2、1.5、1.25、1、0.75、0.66及0.5微米。應瞭解,光點S尺寸可並非在所有方向上皆相等(例如若光束點為非圓形),且因此,如上文所定義之光束點半徑可指光束點之最大半徑。
在一實例中,物件之至少一個尺寸可使得光學系統藉由運用所要縮小縱橫比成像物件以便形成物件之同構影像或合成影像來滿足某些準則。物件或影像之縱橫比可由物件或影像之第一尺寸與物件或影像之第二尺寸之間的比率界定。可在沿著包含物件之物件平面所取的第一方向上量測物件之第一尺寸。可在沿著物件平面所取的第二方向上量測物件之第二尺寸,該第二方向垂直於該第一方向。類似地,可在沿著包含影像之 影像平面所取的第一方向上量測影像之第一尺寸,其中影像之第一方向對應於物件之第一方向。另外,可在沿著影像平面所取的第二方向上量測影像之第二尺寸,其中影像之第二方向對應於物件之第二方向。
因此,光學系統可經組態以使得在沿著物件平面所取的第一方向上縮小物件以在沿著影像平面所取的對應第一方向上形成物件之對應縮小影像。另外,光學系統可經組態以使得在沿著物件平面所取的第二方向上縮小物件以在沿著影像平面所取的對應第二方向上形成物件之對應縮小影像。
在第一及第二方向上之縮小率因數可影響由物件形成的影像之縱橫比。若縮小率因數在第一方向及第二方向兩者中相同,則影像可為具有與物件相同之縱橫比的同構影像。另一方面,若縮小率因數在第一方向及第二方向中不同,則影像可為具有與正被成像物件不同之縱橫比的合成影像。物件平面中之物件的形狀可為圓形或非圓形(例如橢圓形)。視待滿足的準則而定,光學系統可經組態以使得圓形或非圓形物件可經縮小以形成以下各者中之任一者:彼圓形或非圓形物件之圓形及非圓形影像。
在一實例中,邊界條件可由光學系統與基板W之間的潛在體積衝突界定。舉例而言,可在光學系統之組件與基板W之所關注區之間界定自由工作距離,以便防止組件與基板及/或經聚焦光束356之間的體積衝突。在一實例中,光學系統之組件可包含比光學系統之任何其他反射器更接近於所關注區的反射器。在此實例中,可在反射器之表面與所關注區之間或在與反射器相關的組件(諸如安裝組件)之表面與所關注區之間界定自由工作距離。光學系統之組件與基板W之所關注區之間的自由工作距離可大於或等於:10cm、15cm或20cm。自由工作距離可在所關注區與最 接近所關注區的組件之表面之間量測。
在一實例中,邊界條件可由界定於物件與影像之間的主射線之路徑長度界定。與光學系統之其他實例相比較,本文中所描述的一或多個光學系統可組態有在物件與影像之間的相對短路徑長度。物件與影像之間的相對短路徑長度可允許光學系統經提供於相對緊致體積或佔據區內或以便允許光學系統容納於可用空間內。本文中所描述之具有在物件與影像之間的相對短路徑長度的一或多個光學系統可具有小於或等於以下各者之路徑長度:3、2.5、2、1.5、1.25、1.1或1米。已認識到在物件與影像之間的此短路徑長度情況下,獲得界定於光學系統之組件與所關注區之間的相對較大(其可大於或等於10cm)自由工作距離係困難。本發明人已意識到以下本文中之實施例能夠提供此相對較大工作距離。
在一實例中,邊界條件可由光學系統相對於聚焦於所關注區上之輻射光束之數值孔徑(NA)界定。此數值孔徑可大於或等於以下各者中之至少一者:0.005、0.01、0.05及0.1。若光學系統之某一數值孔徑範圍滿足某些準則,則此數值孔徑範圍可影響其他邊界條件或受該等其他邊界條件影響,該等邊界條件諸如用於光學系統之路徑長度之可用範圍及物件之至少一個尺寸。
在一實例中,邊界條件可由可藉由光學系統之一或多個反射器接受的掠入射角(GAOI)之範圍界定。本文中所描述之任何光學系統之至少一個反射器可經定向為使得輻射光束之主射線在反射器上之GAOI大於或等於以下各者中之至少一者:1度、2度、3度、4度、5度、6度、7度、8度、9度及10度。在另一實例中,可接受GAOI可在1度至20度之範圍內。在另一實例中,可接受GAOI可大於10度,例如在10度至20度範圍 內。GAOI可定義為反射器之表面與入射射線之間的角度。掠入射光學件可用於提供包含例如EUV、SXR及/或X射線波長的輻射光束之總外部反射。
在一實例中,邊界條件可由物件處輻射光束的發散度界定。光學系統之至少一個反射器可經組態以接收輻射光束,其中輻射光束具有大於或等於以下各者中之至少一者的發散半角:1、2、3、5、10、15、20及25 mrad。對於高斯光束,輻射光束B之發散半角可由表達式θ
Figure 108131612-A0305-02-0029-1
λ0/(πnw0)定義,其中λ0為波長、n為折射率且w0為光束腰半徑。發散度係與光學系統之數值孔徑或接受數值孔徑有關。數值孔徑可為預期(高斯)光束發散半角θ的大約兩倍。在一實例中,光學系統可經設計以使得輻射光束之能量的99.99%可在所關注區處的所成像光點內。更一般而言,可將發散度或會聚度定義為在1/e2(13.5%)強度位準下之光束錐之頂角的一半。光束可在第一及第二方向中具有相同或不同發散半角,該第一及第二方向可為沿著物件平面界定的垂直方向。儘管針對第一方向及第二方向之發散半角或數值孔徑值可不同,但其仍可具有相似數量級。若使用某一發散半角或數值孔徑範圍來滿足準則,則此發散半角或數值孔徑範圍可影響其他邊界條件或受其他邊界條件影響,該等邊界條件諸如光學系統之透射率、體積衝突或其類似者。
貫穿本發明且在適當的情況下,術語「發散度」可指如上文所定義之發散半角。然而,在適當的情況下,若參考光學系統自身之性質,則術語「數值孔徑」可更適當。可使用可通過光學系統傳播的最大半角來計算數值孔徑NA。由於在1/e2強度位準下定義發散半角,因此視成像準則而定,最大半角可大於發散半角,例如以使得輻射光束之能量的 99.99%可在所關注區之成像光點內。通常,可假定可通過系統傳播的最大半角比發散半角大稍微多約兩倍。然而,最大半角與發散半角之間的比率可不同,例如小於或大於2。
在一實例中,邊界條件可由光學系統之透射率界定。光學系統之透射率可大於或等於:0.1、0.2、0.3或0.4。若使用某一透射率範圍來滿足準則,則此透射率範圍可影響其他邊界條件或受該等其他邊界條件影響,該等邊界條件諸如用於光學系統之光束發散之可用範圍,或其類似者。
在一實例中,邊界條件可由光學系統之一或多個反射器的尺寸界定。反射器尺寸可使得實質上反射整個輻射光束(例如反射光束之能量的大於或等於以下各者中之至少一者:50%、60%、70%、80%、90%、95%、99%),其限制條件為:在反射器與其他反射器或其他組件之間不存在體積衝突。
在一實例中,邊界條件可由光學系統之一或多個反射器的可製造性界定。為了滿足某些準則,一或多個反射器可在某一設計及/或容限考慮因素內製造。雖然可需要某些反射器設計以便滿足某些準則,但若彼等反射器設計之可製造性超出一或多個邊界條件,則此等設計可並不被准許。舉例而言,製造具有可另外需要滿足某些準則的某一設計之反射器可係不可能的。因此,視特定應用而定,製造光學系統之某些反射器的可用性/自由度可取決於待滿足之準則。
一或多個邊界條件可影響至少一個其他邊界條件,此可或可不導致準則被滿足。另外,某些邊界條件可視度量衡裝置之特定應用而變化。因此,光學系統之某些組態可滿足所界定邊界條件內的準則。然 而,若邊界條件中之一或多者變化,則光學系統之組態亦可變化以便滿足準則。
如在下文更詳細地描述,本發明人已識別出針對一或多個邊界條件滿足準則的若干光學系統。本文中所描述的每一光學系統經組態以用於將輻射光束聚焦於度量衡裝置中之基板之所關注區上。輻射光束包含在軟X射線(SXR)及/或極紫外線(EUV)光譜範圍內之輻射。
本文中所描述的一或多個光學系統可在根據以下實例中之一或多者組態時滿足某些準則。在一實例中,光學系統可經組態以使得輻射光束可以掠入射角入射於光學系統之一或多個反射器上。因此,光學系統可提供跨越寬廣光譜範圍(例如對於具有在10與20nm之間的光譜帶的輻射光束)之可接受成像效能。在一實例中,光學系統可經組態以提供在物件與影像之間的相對高縮小率因數(例如約10)。在一實例中,光學系統可經組態以運用相對較大數值孔徑(例如約0.1至例如0.05)將輻射光束聚焦於所關注區上。在一實例中,光學系統可組態有在物件與影像之間的相對短路徑長度(例如約1.5米)。因此,光學系統可容納於相對緊致體積或佔據區內。在一實例中,光學系統可包含具有極大曲率之反射器以便提供相對短路徑長度及/或高數值孔徑。用於光學系統的特定類型反射器可允許提供相對短路徑長度及/或高數值孔徑同時亦提供滿足某些準則之成像效能。在一實例中,光學系統之反射器的表面剖面可具有可經製造使得光學系統可提供滿足某些準則之成像效能的形狀及/或表面品質。在一實例中,光學系統之組件可經組態以穩定組態對準,以使得自最佳對準之某一偏差仍導致滿足某些準則之成像效能。因此,與可另外滿足本文中所描述的某些其他準則的其他光學系統相比較,光學系統可具有相對寬鬆對準容 限。在一實例中,若視源並非為點源及/或若視源離軸,則光學系統歸因於正確地成像物件之離軸以及同軸部分仍可提供滿足某些準則的成像效能(亦即,即使視源並非為精確點及/或在視源之至少部分離軸的情況下,光學系統仍可滿足阿貝正弦條件)。亦即,與其他光學系統相比較,就即使視源一定程度上具有在物件平面中之有限(非零)場仍滿足阿貝正弦條件(其中彼等其他光學系統將不滿足正弦條件)而言,本文中所描述的光學系統可提供改良之成像效能。
圖5a至圖5b分別描繪針對一或多個邊界條件滿足某些準則的光學系統400之示意性表示。圖5a為光學系統400之光學路徑在第一方向上的投影。圖5b為光學系統400之光學路徑在垂直於第一方向之第二方向上的投影。因此,圖5a及圖5b分別表示光學系統400之正交視圖。
光學系統400包含一第一反射器系統410及一第二反射器系統412。第一反射器系統410及第二反射器系統412中之每一者包含一有限至有限渥特反射器系統。光學系統400經組態以在目標T(參見圖4)上之所關注區上形成包含輻射光束B之一視源的一物件416之一縮小影像414。有限至有限渥特反射器系統中之每一者具有有限至有限共軛距離關係,其中影像414遠離物件416一有限距離而定位。渥特反射器系統可滿足其他反射器組態基於一或多個邊界條件可並不滿足的某些準則。舉例而言,即使視源一定程度上並非為精確點及/或在視源的至少一部分離軸情況下,渥特反射器系統仍可滿足阿貝正弦條件以便提供滿足準則之成像效能。
第一反射器系統410及第二反射器系統412各自包含一維有限至有限渥特反射器系統。如由圖5a描繪,第一反射器系統410經組態以在沿著包含物件416之物件平面420所取的第一方向418上縮小物件416以 在沿著包含影像414之影像平面422所取的對應第一方向418上形成物件416之對應縮小影像414。如由圖5b所描繪,第二反射器系統412經組態以在沿著物件平面420所取的第二方向424上縮小物件414以在沿著影像平面422所取的對應第二方向424上形成物件416之對應縮小影像414,該第二方向424垂直於該第一方向418。一維渥特反射器系統可在所要容限位準內製造以提供滿足準則之成像效能。相比之下,二維渥特反射器系統(亦即具有雙重彎曲反射器表面)可並不提供滿足準則的成像效能,此係由於雙重彎曲反射器表面可能不可製造及/或可能不具有用於提供滿足準則之成像效能的可接受表面品質。
光學系統400經組態以使得第一反射器系統410之主要表面426及第二反射器系統412之主要表面428在與物件416相比更接近於影像414之相交點432處與界定於物件416與影像414之間的軸線430相交。與物件416相比更接近於影像414的主要表面426、428中之每一者的位置導致光學系統400在縮小組態中。渥特反射器系統經組態以使得第一反射器系統410之主要表面426在與第二反射器系統412之主要表面428實質上相同的相交點432處與軸線430相交。
可藉由確保相交點432對於第一反射器系統410及第二反射器系統412兩者相同而使在第一方向418及第二方向424上之縮小率因數實質上相同或相同。有益地,在第一方向418及第二方向424兩者上提供相同縮小率因數可允許光學系統400形成物件416之同構影像414。替代地及若願意,可針對第一方向418及第二方向424提供不同縮小率因數以形成物件之合成影像(例如使得不再存在包含主要表面426、428兩者的單一相交點432)。
縮小率因數可由沿著第一方向418或第二方向424所取的物件416之尺寸與沿著對應第一方向418或第二方向424所取的影像414之對應尺寸之間的比率界定。舉例而言,縮小率因數可由在沿著第一方向418之物件416的最大強度之1/e2(亦即13.5%)下的物件416之包圍半徑(ro)與在沿著對應第一方向418之影像414的最大強度之1/e2(亦即13.5%)下的影像414之包圍半徑(ri)之間的比率界定。因此,在第一方向418上之縮小率因數等於ro/ri(ro比ri)。類似關係適用於在第二方向424上之縮小率因數。
現在更詳細地描述光學系統400之各別組件的定向。第一反射器系統410相對於第二反射器系統412定向,使得與第一反射器系統410相交之至少一個入射平面垂直於與第二反射器系統412相交之至少一個入射平面。反射器之入射平面包含由反射器反射的輻射光束B之入射及反射主射線。如藉由比較圖5a及圖5b可見,光學系統400經組態以使得第一入射平面與第一反射器系統410之每一反射器M1、M2相交。第一入射平面平行於第一方向418及軸線430。另外,第二入射平面與第二反射器系統412之每一反射器M3、M4相交。第二入射平面平行於第二方向424及軸線430。由於第一反射器系統410之反射器M1、M2與第二反射器系統412之反射器M3、M4以垂直關係定向,因此可見第一入射平面及第二入射平面亦彼此垂直。
現在更詳細地描述用於光學系統400之特定類型渥特反射器系統。光學系統400包含經提供為第一反射器系統410的渥特III型反射器系統及經提供為第二(後續)反射器系統412的渥特I型反射器系統。如先前所提及,此等渥特反射器系統中之每一者經組態於有限至有限組態中。另外,反射器中之每一者被稱作一維反射器,其中每一反射器表面僅在單 一方向上彎曲(亦即,反射器不包含雙重彎曲反射器表面)。
在其有限至有限組態中,渥特III型反射器系統之一初級反射器包含一橢圓圓柱形凸面反射器且渥特III型反射器系統之一二級反射器包含一橢圓圓柱形凹面反射器。在其有限至有限組態中,渥特I型反射器系統之一初級反射器包含一橢圓圓柱形凹面反射器且渥特I型反射器系統之一二級反射器包含一雙曲線圓柱形凹面反射器。
因此,自物件416至影像414之在光學系統400中提供的反射器之序列如下。光學系統400之第一反射器M1(亦即第一反射器系統410之初級反射器)包含橢圓圓柱形凸面反射器。光學系統400之第二反射器M2(亦即第一反射器系統410之二級反射器)包含橢圓圓柱形凹面反射器。光學系統400之第三反射器M3(亦即第二反射器系統412之初級反射器)包含橢圓圓柱形凸面反射器。光學系統400之第四反射器M4(亦即第二反射器系統412之二級反射器)包含雙曲線圓柱形凹面反射器。
視情況,光學系統400包含用於繞射輻射光束B之至少一部分以產生遠離光學路徑的輻射光束B之空間分離之光譜分量的繞射元件(圖中未示)。在藉由圖5a描繪之實例中,繞射元件以第一反射器系統410之第二反射器M2之部分的形式提供。在其他實例中,繞射元件及對應偵測器可在光學系統之另一部分處提供為例如一或多個反射器之部分。繞射元件可為經提供於光學系統400之反射器上或經提供為該反射器之部分的獨立元件。可替代地,繞射元件可經形成為反射器之表面之部分。在此替代組態中,繞射元件經組態以鏡面反射輻射光束B之部分RP以用於經由光學系統400之剩餘部分投影。繞射元件進一步經組態以朝向參考偵測器314(參見圖4)繞射輻射光束B之剩餘部分DP以用於分析輻射光束B之經繞射部分 的光譜含量。
圖6a至圖6b分別描繪針對一或多個邊界條件滿足某些準則的光學系統500之示意性表示。圖6a為光學系統500之光學路徑在第一方向上的投影。圖6b為光學系統500之光學路徑在垂直於第一方向之第二方向上的投影。因此,圖6a及圖6b分別表示光學系統500之正交視圖。
與光學系統400相同,光學系統500包含儘管具有如下文更詳細地描述之不同組態的兩個渥特反射器系統。對應於光學系統400之特徵的光學系統500之特徵係以按100遞增的參考編號表示。光學系統500因此類似於光學系統400且可提供滿足某些準則之成像效能。
光學系統500包含一第一反射器系統510及一第二反射器系統512。第一反射器系統510及第二反射器系統512中之每一者包含一維有限至有限渥特反射器系統。然而,與圖5a至圖5b之光學系統400相反,光學系統500包含兩個渥特III型反射器系統(亦即後續接著額外渥特III型反射器系統的渥特III型反射器系統)。因此,自物件516至影像514之在光學系統500中提供的反射器之序列如下。光學系統500之第一反射器M1'(亦即第一反射器系統510之初級反射器)包含橢圓圓柱形凸面反射器。光學系統500之第二反射器M2'(亦即第一反射器系統510之二級反射器)包含橢圓圓柱形凹面反射器。光學系統500之第三反射器M3'(亦即第二反射器系統512之初級反射器)包含橢圓圓柱形凸面反射器。光學系統500之第四反射器M4'(亦即第二反射器系統512之二級反射器)包含橢圓圓柱形凹面反射器。與圖5a至圖5b之光學系統400相比較,光學系統500之第四反射器M4'可更遠離所關注區而定位,此係由於第二反射器系統512包含渥特III型反射器系統而非渥特I型反射器系統。因此,與光學系統400相比較,光學系 統500之組態可提供在第四反射器M4'與所關注區之間的較大自由工作距離。與光學系統400相比較,此組態可表示至少一個邊界條件(例如自由工作距離)之緩解。然而,雖然至少一個邊界條件可緩解,但此特定組態可影響至少一個其他邊界條件。
如由圖6a描繪,第一反射器系統510經組態以在沿著包含物件516之物件平面520所取的第一方向518上縮小物件516以在沿著包含影像514之影像平面522所取的對應第一方向518上形成物件516之對應縮小影像514。如由圖6b所描繪,第二反射器系統512經組態以在沿著物件平面520所取的第二方向524上縮小物件514以在沿著影像平面522所取的對應第二方向524上形成物件516之對應縮小影像514,該第二方向524垂直於該第一方向518。
光學系統500可經組態以使得第一反射器系統510之主要表面526及第二反射器系統512之主要表面528在與物件516相比更接近於影像514之相交點532處與界定於物件516與影像524之間的軸線530相交。在此實例中,渥特反射器系統經組態以使得第一反射器系統510之主要表面526在與第二反射器系統512之主要表面528實質上相同的相交點532處與軸線530相交。
視情況,光學系統500包含用於在空間上分隔輻射光束B之經繞射光譜分量的繞射元件(圖中未示)。在藉由圖6a描繪之實例中,繞射元件以第一反射器系統510之第二反射器M2'之部分的形式提供。
圖7a至圖7b分別描繪針對一或多個邊界條件滿足某些準則的光學系統600之示意性表示。圖7a為光學系統600之光學路徑在第一方向上的投影。圖7b為光學系統600之光學路徑在垂直於第一方向之第二方 向上的投影。因此,圖7a及圖7b分別表示光學系統600之正交視圖。
與光學系統400及500相同,光學系統600包含儘管具有如下文更詳細地描述之不同組態的兩個渥特反射器系統。對應於光學系統500之特徵的光學系統600之特徵係以按100遞增的參考編號表示。光學系統600類似於光學系統500且可提供滿足某些準則之成像效能。
光學系統600包含一第一反射器系統610及一第二反射器系統612。第一反射器系統610及第二反射器系統612中之每一者包含一維有限至有限渥特反射器系統。類似於圖6a至圖6b之光學系統500,光學系統600包含兩個渥特III型反射器系統。光學系統600可具有類似於藉由光學系統500提供之技術益處的技術益處。與光學系統500中提供的反射器之序列相反,光學系統600之兩個渥特III型反射器系統之反射器如按物件616與影像614之間的以下序列所描述而纏結。
光學系統600之第一反射器M1"(亦即第一反射器系統610之初級反射器)包含橢圓圓柱形凸面反射器。第一反射器M1"後續接著包含橢圓圓柱形凸面反射器的光學系統600之第二反射器M2"(亦即第二反射器系統612之初級反射器)。第二反射器M2"後續接著光學系統600之包含橢圓圓柱形凹面反射器的第三反射器M3"(亦即第一反射器系統610之二級反射器)。第三反射器M3"後續接著光學系統600之包含橢圓圓柱形凹面反射器的第四反射器M4"(亦即第二反射器系統612之二級反射器)。
如由圖7a描繪,第一反射器系統610經組態以在沿著包含物件616之物件平面620所取的第一方向618上縮小物件616以在沿著包含影像614之影像平面622所取的對應第一方向618上形成物件616之對應縮小影像614。如由圖7b所描繪,第二反射器系統612經組態以在沿著物件 平面620所取的第二方向624上縮小物件614以在沿著影像平面522所取的對應第二方向624上形成物件616之對應縮小影像614,該第二方向624垂直於該第一方向618。
參看圖7a及圖7b,可見光學系統600經組態以使得:- 一第一入射平面與第一反射器系統610之初級反射器M1"相交,- 一第二入射平面與第二反射器系統612之初級反射器M2"相交,- 一第三入射平面與第一反射器系統610之二級反射器M3"相交且- 一第四入射平面與第二反射器系統612之二級反射器M4"相交。
該第一入射平面與該第三入射平面彼此不平行(參見圖7b)。第二入射平面及第四入射平面彼此亦不平行(參見圖7a)但垂直於第一入射平面及第三入射平面(參見圖7a及圖7b兩者)。
儘管本文中所描述的實施例識別關於在例如最大強度之1/e2下之輻射光束界定的某些邊界條件,但該等邊界條件可替代地或另外關於最大強度之0.5(亦即,完整寬度半最大或FWHM強度)或最大強度之0.1、0.01、0.001或0.0001而界定。在某些實施例中,光學系統可經設計以使得輻射光束之能量的99.99%可在所關注區的成像光點內。然而,光學系統可經設計以使得輻射光束之能量的大於或等於90%、95%、97.5%、99%、99.9%可在所關注區處之成像光點內。
在後續經編號條項中提供其他實施例:
1.一種用於將一輻射光束聚焦於一度量衡裝置中之一基板之一所關注區上的光學系統,該輻射光束包含在一軟X射線或極紫外線光譜範圍中的輻射,該光學系統包含:一第一反射器系統及一第二反射器系統,該第一反射器系統及該第 二反射器系統中之每一者包含一有限至有限渥特反射器系統,該光學系統經組態以在該所關注區上形成包含該輻射光束之一視源的一物件之一縮小影像。
2.如條項1之光學系統,其中每一有限至有限渥特反射器系統包含經組態以在沿著包含該物件之一物件平面所取的一個方向上縮小該物件以在沿著包含該影像之一影像平面所取的一對應方向上形成該物件之一對應縮小影像的一一維有限至有限渥特反射器系統。
3.如條項2之光學系統,其中該第一反射器系統經組態以在沿著該物件平面所取的一第一方向上縮小該物件以在沿著該影像平面所取的一對應第一方向上形成該物件之一對應縮小影像且該第二反射器系統經組態以在沿著該物件平面所取的一第二方向上縮小該物件以在沿著該影像平面所取的一對應第二方向上形成該物件之一對應縮小影像,該第二方向垂直於該第一方向。
4.如條項1至3中任一項之光學系統,其中該光學系統經組態以使得界定於該光學系統之一組件與該所關注區之間的一自由工作距離大於或等於10cm。
5.如條項1至4中任一項之光學系統,其中該光學系統經組態以使得該第一反射器系統及該第二反射器系統之一主要表面在與該物件相比更接近於該影像之一相交點處與界定於該物件與該影像之間的一軸線相交。
6.如條項5之光學系統,其中該第一反射器系統之該主要表面在與該第二反射器系統之該主要表面實質上相同的相交點處與該軸線相交。
7.如條項1至6中任一項之光學系統,其中該光學系統經組態以使得該第一反射器系統之一縮小率因數與該第二反射器系統之該縮小率因數 實質上相同,該縮小率因數由該物件之一尺寸與該圖像之一對應尺寸之間的一比率界定。
8.如條項1至7中任一項之光學系統,其中該光學系統經組態以按一所要縮小縱橫比成像該物件以便形成該物件之一同構影像或一合成影像。
9.如條項1至8中任一項之光學系統,其中該光學系統經組態以按大於或等於5,視情況大於或等於8,視情況大於或等於10的一縮小率因數縮小該物件之至少一個尺寸。
10.如條項1至9中任一項之光學系統,其中該第一反射器系統相對於該第二反射器系統定向,使得與該第一反射器系統相交之至少一個入射平面垂直於與該第二反射器系統相交之至少一個入射平面。
11.如條項10之光學系統,其中該光學系統經組態使得一第一入射平面與該第一反射器系統之每一反射器相交且一第二入射平面與該第二反射器系統之每一反射器相交,該第一入射平面與該第二入射平面彼此垂直。
12.如條項10之光學系統,其中該光學系統經組態使得一第一入射平面與該第一反射器系統之一初級反射器相交,一第二入射平面與該第二反射器系統之一初級反射器相交,一第三入射平面與該第一反射器系統之一二級反射器相交,且一第四入射平面與該第二反射器系統之一二級反射器相交,該第一入射平面與該第三入射平面彼此不平行,該第二入射平面與該第四入射平面彼此不平行且垂直於該第一入射平面及該第三入射平面。
13.如條項1至12中任一項之光學系統,其中該光學系統經組態以將 該輻射光束聚焦於一基板之該所關注區上以使得關於該所關注區之資訊可自作為以下各者中之至少一者的輻射予以判定:自該所關注區反射、散射及繞射,且視情況其中該基板包含一積體電路或可用於一積體電路之製造中。
14.如條項1至13中任一項之光學系統,其中該光學系統包含一渥特III型反射器系統及以下各者中的一者:一額外渥特III型反射器系統及一渥特I型反射器系統。
15.如條項14之光學系統,其中該渥特III型反射器系統之一初級反射器包含一橢圓圓柱形凸面反射器且該渥特III型反射器系統之一二級反射器包含一橢圓圓柱形凹面反射器。
16.如條項14之光學系統,其中該渥特I型反射器系統之一初級反射器包含一橢圓圓柱形凹面反射器且該渥特I型反射器系統之一二級反射器包含一雙曲線圓柱形凹面反射器。
17.如請求項14或15之光學系統,其中該第一反射器系統包含該渥特III型反射器系統。
18.如條項14、15或17之光學系統,其中該第二反射器系統包含該額外渥特III型反射器系統。
19.如條項18之光學系統,其中該光學系統在該物件與該影像之間按序包含該渥特III型反射器系統,後續接著該額外渥特III型反射器系統。
20.如條項18之光學系統,其中該光學系統在該物件與該影像之間按序包含該渥特III型反射器系統之一初級反射器,後續接著該額外渥特III型反射器系統之一初級反射器,後續接著該渥特III型反射器系統之一 二級反射器,後續接著該額外渥特III型反射器系統之一二級反射器。
21.如條項14至17中任一項之光學系統,其中該第二反射器系統包含該渥特I型反射器系統,該光學系統在該物件與該影像之間按序包含該渥特III型反射器系統,後續接著該渥特I型反射器系統。
22.如條項1至21中任一項之光學系統,其中該第一反射器系統及該第二反射器系統中之至少一者包含用於在空間上分隔該輻射光束之經繞射光譜分量的一繞射元件。
23.如條項22之光學系統,其中該繞射元件以該第一反射器系統之一二級反射器之部分之形式提供。
24.如條項1至23中任一項之光學系統,其中該光學系統經組態以使得界定於該物件與該影像之間的一主射線之一路徑長度小於或等於3米,視情況小於或等於1.5米。
25.一種度量衡或檢測裝置,其包含:一基板台,其用於收納並固持處於一可控制位置之一基板;一輻射源,其用於產生一照明輻射光束;及一如條項1至24中任一項之光學系統,其用於將該照明輻射光束聚焦於該基板上之一所關注區處。
26.一種用於判定在一基板上製造之結構的一部分之一所關注特性的度量衡裝置,該度量衡裝置包含如條項1至24中之任一項的光學系統。
27.一種用於檢測一基板上製造之一結構的一部分的檢測裝置,該檢測裝置包含如條項1至24中之任一項的光學系統。
28.一種微影製造單元,其包含如條項25之度量衡或檢測裝置、如條項26之度量衡裝置或如條項27之檢測裝置。
29.一種使用一光學系統用於將一輻射光束聚焦於一度量衡裝置中的一基板之一所關注區上的方法,該輻射光束包含一軟X射線或極紫外線光譜範圍中之輻射,該方法包含:提供包含一第一反射器系統及一第二反射器系統之一光學系統,該第一反射器系統及該第二反射器系統中之每一者包含一有限至有限渥特反射器系統;及在該所關注區上形成包含該輻射光束之一視源的一物件之一縮小影像。
儘管可在本文中特定地參考在IC製造中微影裝置之使用,但應理解,本文中所描述之微影裝置可具有其他應用。可能其他應用包括製造整合式光學系統、用於磁疇記憶體之導引及偵測、平板顯示器、液晶顯示器(LCD)、薄膜磁頭,等等。
儘管可在本文中特定地參考在微影裝置之上下文中之本發明之實施例,但本發明之實施例可用於其他裝置中。本發明之實施例可形成光罩檢測裝置、度量衡裝置或量測或處理諸如晶圓(或其他基板)或光罩(或其他圖案化器件)之物件之任何裝置之部分。此等裝置可一般被稱為微影工具。此微影工具可使用真空條件或環境(非真空)條件。
雖然特別提及「度量衡裝置/工具/系統」或「檢驗裝置/工具/系統」,但此等術語可指相同或類似類型之工具、裝置或系統。例如,包含本發明之實施例的檢測或度量衡裝置可用以判定基板上或晶圓上之結構的特性。例如,包含本發明之一實施例的檢測裝置或度量衡裝置可用以偵測基板之缺陷或基板上或晶圓上之結構的缺陷。在此類實施例中,基板上之結構的所關注之特性可能關於結構中之缺陷、結構之特定部分之不存 在或基板上或晶圓上之非所需的結構之存在。
儘管上文可特定地參考在光學微影之上下文中對本發明之實施例之使用,但應瞭解,本發明在上下文允許之情況下不限於光學微影且可用於其他應用(例如壓印微影)中。
雖然上文已描述本發明之特定實施例,但應瞭解,可以與所描述方式不同之其他方式來實踐本發明。以上描述意欲為說明性,而非限制性的。因此,對於熟習此項技術者將顯而易見,可在不脫離下文所闡明之申請專利範圍之範疇的情況下對所描述之本發明進行修改。
314:參考偵測器
400:光學系統
410:第一反射器系統
412:第二反射器系統
414:縮小影像
416:物件
418:第一方向
420:物件平面
422:影像平面
426:主要表面
430:軸線
432:相交點
B:輻射光束
DP:輻射光束B之剩餘部分
M1:反射器
M2:反射器
M3:反射器
M4:反射器
RP:輻射光束B之部分

Claims (15)

  1. 一種用於將一輻射光束聚焦於一度量衡裝置中之一基板之一所關注區上的光學系統,該輻射光束包含在一軟X射線或極紫外線光譜範圍中的輻射,該光學系統包含: 一第一反射器系統及一第二反射器系統,該第一反射器系統及該第二反射器系統中之每一者包含一有限至有限渥特反射器系統, 該光學系統經組態以在該所關注區上形成包含該輻射光束之一視源的一物件之一縮小影像。
  2. 如請求項1之光學系統,其中每一有限至有限渥特反射器系統包含經組態以在沿著包含該物件之一物件平面所取的一個方向上縮小該物件以在沿著包含該影像之一影像平面所取的一對應方向上形成該物件之一對應縮小影像的一一維有限至有限渥特反射器系統, 且其中視情況,該第一反射器系統經組態以在沿著該物件平面所取的一第一方向上縮小該物件以在沿著該影像平面所取的一對應第一方向上形成該物件之一對應縮小影像且該第二反射器系統經組態以在沿著該物件平面所取的一第二方向上縮小該物件以在沿著該影像平面所取的一對應第二方向上形成該物件之一對應縮小影像,該第二方向垂直於該第一方向。
  3. 如請求項1至2中任一項之光學系統,其中該光學系統經組態以使得界定於該光學系統之一組件與該所關注區之間的一自由工作距離大於或等於10 cm。
  4. 如請求項1至2中任一項之光學系統,其中該光學系統經組態以使得該第一反射器系統及該第二反射器系統之一主要表面在與該物件相比更接近於該影像的一相交點處與界定於該物件與該影像之間的一軸線相交, 且其中視情況,該第一反射器系統之該主要表面在與該第二反射器系統之該主要表面實質上相同的相交點處與該軸線相交。
  5. 如請求項1至2中任一項之光學系統,其中該光學系統經組態以使得該第一反射器系統之一縮小率因數與該第二反射器系統之該縮小率因數實質上相同,該縮小率因數由該物件之一尺寸與該影像之一對應尺寸之間的一比率界定。
  6. 如請求項1至2中任一項之光學系統,其中該第一反射器系統相對於該第二反射器系統定向,使得與該第一反射器系統相交之至少一個入射平面垂直於與該第二反射器系統相交之至少一個入射平面,且其中視情況存在以下各者中之一者: 該光學系統經組態使得一第一入射平面與該第一反射器系統之每一反射器相交且一第二入射平面與該第二反射器系統之每一反射器相交,該第一入射平面與該第二入射平面彼此垂直, 該光學系統經組態使得一第一入射平面與該第一反射器系統之一初級反射器相交,一第二入射平面與該第二反射器系統之一初級反射器相交,一第三入射平面與該第一反射器系統之一二級反射器相交,且一第四入射平面與該第二反射器系統之一二級反射器相交,該第一入射平面與該第三入射平面彼此不平行,該第二入射平面與該第四入射平面彼此不平行且垂直於該第一入射平面及該第三入射平面。
  7. 如請求項1至2中任一項之光學系統,其中該光學系統包含一渥特III型反射器系統及以下各者中的一者:一額外渥特III型反射器系統及一渥特I型反射器系統, 且其中,視情況存在以下各者中之至少一者 該渥特III型反射器系統之一初級反射器包含一橢圓圓柱形凸面反射器且該渥特III型反射器系統之一二級反射器包含一橢圓圓柱形凹面反射器, 該渥特I型反射器系統之一初級反射器包含一橢圓圓柱形凹面反射器且該渥特I型反射器系統之一二級反射器包含一雙曲線圓柱形凹面反射器。
  8. 如請求項7之光學系統,其中該第一反射器系統包含該渥特III型反射器系統。
  9. 如請求項7之光學系統,其中該第二反射器系統包含該額外渥特III型反射器系統。
  10. 如請求項9之光學系統, 其中存在以下各者中的一者: 該光學系統在該物件與該影像之間按序包含該渥特III型反射器系統,後續接著該額外渥特III型反射器系統, 該光學系統在該物件與該影像之間按序包含該渥特III型反射器系統之一初級反射器,後續接著該額外渥特III型反射器系統之一初級反射器,後續接著該渥特III型反射器系統之一二級反射器,後續接著該額外渥特III型反射器系統之一二級反射器。
  11. 如請求項1至2中任一項之光學系統,其中該第一反射器系統及該第二反射器系統中之至少一者包含用於在空間上分隔該輻射光束之經繞射光譜分量的一繞射元件,且其中視情況,該繞射元件以該第一反射器系統之一二級反射器之部分之形式提供。
  12. 如請求項1至2中任一項之光學系統,其中該光學系統經組態以使得界定於該物件與該影像之間的一主射線之一路徑長度小於或等於3米,視情況小於或等於1.5米。
  13. 一種用於判定在一基板上製造的結構之一部分之一所關注特性的度量衡裝置,該度量衡裝置包含如請求項1至12中任一項之光學系統。
  14. 一種微影製造單元,其包含如請求項13之度量衡裝置。
  15. 一種使用一光學系統用於將一輻射光束聚焦於一度量衡裝置中的一基板之一所關注區上的方法,該輻射光束包含一軟X射線或極紫外線光譜範圍中之輻射,該方法包含: 提供包含一第一反射器系統及一第二反射器系統之一光學系統,該第一反射器系統及該第二反射器系統中之每一者包含一有限至有限渥特反射器系統;及 在該所關注區上形成包含該輻射光束之一視源的一物件之一縮小影像。
TW108131612A 2018-09-20 2019-09-03 光學系統、度量衡裝置及相關方法 TWI773923B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
EP18195638.4 2018-09-20
EP18195638.4A EP3627226A1 (en) 2018-09-20 2018-09-20 Optical system, metrology apparatus and associated method

Publications (2)

Publication Number Publication Date
TW202024801A TW202024801A (zh) 2020-07-01
TWI773923B true TWI773923B (zh) 2022-08-11

Family

ID=63667742

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108131612A TWI773923B (zh) 2018-09-20 2019-09-03 光學系統、度量衡裝置及相關方法

Country Status (8)

Country Link
US (1) US11129266B2 (zh)
EP (1) EP3627226A1 (zh)
JP (1) JP2022501584A (zh)
KR (1) KR20210044289A (zh)
CN (1) CN112740108A (zh)
IL (1) IL281300A (zh)
TW (1) TWI773923B (zh)
WO (1) WO2020057870A1 (zh)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2022020938A (ja) * 2020-07-21 2022-02-02 株式会社日本製鋼所 レーザアニール装置、レーザアニール方法、及び半導体装置の製造方法
JP7458935B2 (ja) * 2020-08-26 2024-04-01 キオクシア株式会社 計測装置、及び、計測方法
US12013355B2 (en) * 2020-12-17 2024-06-18 Kla Corporation Methods and systems for compact, small spot size soft x-ray scatterometry
CN113030139B (zh) * 2021-05-31 2021-08-13 中国工程物理研究院激光聚变研究中心 一种新型晶体及紧凑型成像装置

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20150194287A1 (en) * 2013-12-05 2015-07-09 Sigray, Inc. X-ray illuminators with high flux and high flux density
US20160178540A1 (en) * 2014-02-28 2016-06-23 Sigray, Inc. X-ray surface analysis and measurement apparatus
EP3355315A1 (en) * 2015-09-25 2018-08-01 Osaka University X-ray microscope

Family Cites Families (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05126769A (ja) * 1991-11-01 1993-05-21 Hitachi Ltd 表面分析方法および装置
US7084412B2 (en) * 2002-03-28 2006-08-01 Carl Zeiss Smt Ag Collector unit with a reflective element for illumination systems with a wavelength of smaller than 193 nm
TWI232357B (en) 2002-11-12 2005-05-11 Asml Netherlands Bv Lithographic apparatus and device manufacturing method
US7791727B2 (en) 2004-08-16 2010-09-07 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US7372058B2 (en) * 2005-09-27 2008-05-13 Asml Netherlands B.V. Ex-situ removal of deposition on an optical element
US7481579B2 (en) 2006-03-27 2009-01-27 Jordan Valley Applied Radiation Ltd. Overlay metrology using X-rays
US7791724B2 (en) 2006-06-13 2010-09-07 Asml Netherlands B.V. Characterization of transmission losses in an optical system
US7701577B2 (en) 2007-02-21 2010-04-20 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
IL194839A0 (en) 2007-10-25 2009-08-03 Asml Netherlands Bv Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
NL1036123A1 (nl) 2007-11-13 2009-05-14 Asml Netherlands Bv Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method.
NL1036245A1 (nl) 2007-12-17 2009-06-18 Asml Netherlands Bv Diffraction based overlay metrology tool and method of diffraction based overlay metrology.
NL1036684A1 (nl) 2008-03-20 2009-09-22 Asml Netherlands Bv Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method.
NL1036685A1 (nl) 2008-03-24 2009-09-25 Asml Netherlands Bv Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method.
NL1036734A1 (nl) 2008-04-09 2009-10-12 Asml Netherlands Bv A method of assessing a model, an inspection apparatus and a lithographic apparatus.
NL1036857A1 (nl) 2008-04-21 2009-10-22 Asml Netherlands Bv Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method.
JP2011525713A (ja) 2008-06-26 2011-09-22 エーエスエムエル ネザーランズ ビー.ブイ. オーバレイ測定装置、リソグラフィ装置、及びそのようなオーバレイ測定装置を用いたデバイス製造方法
WO2010040696A1 (en) 2008-10-06 2010-04-15 Asml Netherlands B.V. Lithographic focus and dose measurement using a 2-d target
NL2003588A (en) * 2008-12-15 2010-06-16 Asml Holding Nv Reticle inspection systems and method.
CN102498441B (zh) 2009-07-31 2015-09-16 Asml荷兰有限公司 量测方法和设备、光刻系统以及光刻处理单元
JP2013506149A (ja) * 2009-09-24 2013-02-21 エーエスエムエル ホールディング エヌ.ブイ. 時間差レチクル検査
WO2011091877A1 (en) * 2010-01-27 2011-08-04 Asml Holding N.V. Holographic mask inspection system with spatial filter
NL2006229A (en) 2010-03-18 2011-09-20 Asml Netherlands Bv Inspection method and apparatus, and associated computer readable product.
WO2011160867A1 (en) * 2010-06-23 2011-12-29 Asml Holding N.V. Pneumatic bearing with bonded polymer film wear surface and production method thereof
NL2007176A (en) 2010-08-18 2012-02-21 Asml Netherlands Bv Substrate for use in metrology, metrology method and device manufacturing method.
NL2009004A (en) 2011-07-20 2013-01-22 Asml Netherlands Bv Inspection method and apparatus, and lithographic apparatus.
EP2579100A3 (en) * 2011-10-03 2017-12-06 ASML Holding N.V. Inspection apparatus, lithographic apparatus, and device manufacturing method
US10801975B2 (en) 2012-05-08 2020-10-13 Kla-Tencor Corporation Metrology tool with combined X-ray and optical scatterometers
NL2010717A (en) 2012-05-21 2013-11-25 Asml Netherlands Bv Determining a structural parameter and correcting an asymmetry property.
JP5942190B2 (ja) * 2012-06-27 2016-06-29 株式会社ジェイテック 二重反射型x線ミラーを用いた斜入射x線結像光学装置
US10013518B2 (en) 2012-07-10 2018-07-03 Kla-Tencor Corporation Model building and analysis engine for combined X-ray and optical metrology
DE102013223935A1 (de) * 2013-11-22 2015-05-28 Carl Zeiss Smt Gmbh Beleuchtungssystem für die EUV-Belichtungslithographie
KR102355347B1 (ko) 2014-11-26 2022-01-24 에이에스엠엘 네델란즈 비.브이. 계측 방법, 컴퓨터 제품 및 시스템
JP6602388B6 (ja) 2015-03-25 2020-01-15 エーエスエムエル ネザーランズ ビー.ブイ. メトロロジ方法、メトロロジ装置、及びデバイス製造装置
KR102162234B1 (ko) 2015-06-17 2020-10-07 에이에스엠엘 네델란즈 비.브이. 레시피간 일치도에 기초한 레시피 선택
CN108431692B (zh) 2015-12-23 2021-06-18 Asml荷兰有限公司 量测方法、量测设备和器件制造方法

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20150194287A1 (en) * 2013-12-05 2015-07-09 Sigray, Inc. X-ray illuminators with high flux and high flux density
US20160178540A1 (en) * 2014-02-28 2016-06-23 Sigray, Inc. X-ray surface analysis and measurement apparatus
EP3355315A1 (en) * 2015-09-25 2018-08-01 Osaka University X-ray microscope

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
MATSUYAMA S. ET AL, "Development of a one-dimensional Wolter mirror for achromatic full-field X-ray microscopy", Advances in X-Ray/EUV Optics and Components VI, SPIE, 1000 20TH ST. BELLINGHAM WA 98225-6705 USA, Volume 8139, no 1, 8 September 2011 *

Also Published As

Publication number Publication date
TW202024801A (zh) 2020-07-01
IL281300A (en) 2021-04-29
KR20210044289A (ko) 2021-04-22
US20200100350A1 (en) 2020-03-26
JP2022501584A (ja) 2022-01-06
US11129266B2 (en) 2021-09-21
EP3627226A1 (en) 2020-03-25
CN112740108A (zh) 2021-04-30
WO2020057870A1 (en) 2020-03-26

Similar Documents

Publication Publication Date Title
CN110799903B (zh) 确定边缘粗糙度参数
CN111542783A (zh) 用于确定衬底上的结构的感兴趣的特性的量测设备与方法
TWI773923B (zh) 光學系統、度量衡裝置及相關方法
TWI739103B (zh) 照明源裝置、其檢測方法、微影裝置及度量衡裝置
TWI744997B (zh) 高階諧波產生輻射源
US11353796B2 (en) Method and apparatus for determining a radiation beam intensity profile
TW201805730A (zh) 基於通過波長相似性之度量衡堅固性
US20220397834A1 (en) Measuring method and measuring apparatus
TWI781389B (zh) 製造反射式繞射光柵
TWI840628B (zh) 用於量測基板之參數的設備和方法及包含所述設備之度量衡設備
EP3869270A1 (en) Assemblies and methods for guiding radiation
NL2021670A (en) Optical system, metrology apparatus and associated method

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees