JP2022501584A - 光学系、メトロロジ装置、及び関連の方法 - Google Patents

光学系、メトロロジ装置、及び関連の方法 Download PDF

Info

Publication number
JP2022501584A
JP2022501584A JP2021513774A JP2021513774A JP2022501584A JP 2022501584 A JP2022501584 A JP 2022501584A JP 2021513774 A JP2021513774 A JP 2021513774A JP 2021513774 A JP2021513774 A JP 2021513774A JP 2022501584 A JP2022501584 A JP 2022501584A
Authority
JP
Japan
Prior art keywords
reflector
optical system
walter
reflector system
image
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2021513774A
Other languages
English (en)
Inventor
フォールスト,ピーター,ダニー ヴァン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASML Netherlands BV
Original Assignee
ASML Netherlands BV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASML Netherlands BV filed Critical ASML Netherlands BV
Publication of JP2022501584A publication Critical patent/JP2022501584A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05GX-RAY TECHNIQUE
    • H05G2/00Apparatus or processes specially adapted for producing X-rays, not involving X-ray tubes, e.g. involving generation of a plasma
    • H05G2/001X-ray radiation generated from plasma
    • H05G2/008X-ray radiation generated from plasma involving a beam of energy, e.g. laser or electron beam in the process of exciting the plasma
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/9501Semiconductor wafers
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/956Inspecting patterns on the surface of objects
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70908Hygiene, e.g. preventing apparatus pollution, mitigating effect of pollution or removing pollutants from apparatus
    • G03F7/70925Cleaning, i.e. actively freeing apparatus from pollutants, e.g. using plasma cleaning
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05GX-RAY TECHNIQUE
    • H05G2/00Apparatus or processes specially adapted for producing X-rays, not involving X-ray tubes, e.g. involving generation of a plasma
    • H05G2/001X-ray radiation generated from plasma
    • H05G2/003X-ray radiation generated from plasma being produced from a liquid or gas
    • H05G2/005X-ray radiation generated from plasma being produced from a liquid or gas containing a metal as principal radiation generating component

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Health & Medical Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Optics & Photonics (AREA)
  • Plasma & Fusion (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Pathology (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Biochemistry (AREA)
  • General Health & Medical Sciences (AREA)
  • Immunology (AREA)
  • Atmospheric Sciences (AREA)
  • Environmental & Geological Engineering (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Length-Measuring Devices Using Wave Or Particle Radiation (AREA)
  • Inspection Of Paper Currency And Valuable Securities (AREA)
  • Prostheses (AREA)
  • Holo Graphy (AREA)

Abstract

メトロロジ装置内で基板の関心対象領域上に放射ビーム(B)をフォーカスさせる光学系(400)が記載される。放射ビームは、軟X線又は極端紫外線スペクトル範囲内の放射を含む。光学系は、第1のリフレクタシステム(410)及び第2のリフレクタシステム(412)を含む。第1及び第2のリフレクタシステム(410、412)のそれぞれが、有限−有限ウォルターリフレクタシステムを含む。光学系(400)は、放射ビーム(B)の見掛け放射源を含む物体(416)の縮小像(414)を関心対象領域上に形成するように構成される。【選択図】図5a

Description

関連出願の相互参照
[0001] 本出願は、参照によって完全な形で本明細書に組み込まれている、2018年9月20日に出願された欧州特許出願第18195638.4号の優先権を主張するものである。
[0002] 本発明は、メトロロジ装置(但し、これに限定されない)用の光学系、及び関連の方法に関する。
[0003] リソグラフィ装置は、所望のパターンを基板に施すように構築された機械である。リソグラフィ装置は、例えば、集積回路(IC)の製造に使用可能である。リソグラフィ装置は、例えば、パターニングデバイス(例えば、マスク)にあるパターン(「デザインレイアウト」又は「デザイン」と呼ばれることも多い)を、基板(例えば、ウェーハ)上に設けられた放射感応性材料(レジスト)層に投影し得る。
[0004] リソグラフィ装置は、基板にパターンを投影するために電磁放射を使用し得る。この放射の波長により、基板上に形成できるフィーチャの最小サイズが決まる。現在使用されている典型的な波長は、365nm(i線)、248nm、193nm及び13.5nmである。波長が4〜100nmの範囲、例えば6.7nm又は13.5nmである極端紫外線(EUV)の放射を使用するリソグラフィ装置であれば、例えば、波長が193nmである放射を使用するリソグラフィ装置よりも小さいフィーチャを基板上に形成することが可能である。
[0005] リソグラフィ装置の古典的な解像限界より小さい寸法を有するフィーチャをプロセスするために、低kリソグラフィが用いられ得る。そのようなプロセスでは、解像度の式は、CD=k×λ/NAで表され得、ここで、λは、使用される放射線の波長であり、NAは、リソグラフィ装置の投影光学系の開口数であり、CDは、「クリティカルディメンジョン」であり(一般には印刷される最小フィーチャサイズであるが、この場合にはハーフピッチ)、kは、経験的な解像度ファクタである。一般に、kが小さいほど、特定の電気的な機能性及び性能を達成するために回路設計者が計画した形状及び寸法に似せたパターンを基板上に複写することが困難になる。このような困難を克服するために、高度な微調整ステップがリソグラフィ投影装置及び/又はデザインレイアウトに適用され得る。そのようなステップとして、例えば、NAの最適化、照明方式のカスタマイズ、位相シフトパターニング装置の使用、デザインレイアウトの様々な最適化、例えば、デザインレイアウトにおける光近接効果補正(OPC(「光学及びプロセス補正」と呼ばれることもある))又は他の一般的に「解像度向上技術」(RET)と定義される方法があるが、これらに限定されない。代わりに、低kでのパターン複写を改善するために、リソグラフィ装置の安定性を管理する厳格管理ループが用いられ得る。
[0006] リソグラフィ装置が使用される集積回路の製造プロセス中に、プロセスの管理及び検証のために、基板上にプリントされた構造に関する測定が行われ得る。構造に関する測定を行うための1つの選択肢は、低kリソグラフィを用いて作成され得るような寸法を有するプリント構造の特性を解像することが可能な、軟X線(SXR)又はEUVの放射ビームを用いるメトロロジ装置又は検査装置を使用することであり得る。SXR又はEUVの放射ビームを発生させる様々な放射源が利用可能であるが、特定の基準を満たすやり方で放射ビームを操作することに関連する様々な課題がある。
[0007] 本発明の目的は、既知のシステムの欠点及び/又はメトロロジ装置(但し、これに限定されない)で使用される、放射ビームを操作するための既知のシステムに関連する課題を克服することである。
[0008] 本発明の一態様によれば、メトロロジ装置内で基板の関心対象領域上に放射ビームをフォーカスさせる光学系が提供される。放射ビームは、軟X線又は極端紫外線スペクトル範囲内の放射を含み得る。光学系は、第1のリフレクタシステム及び第2のリフレクタシステムを含み得る。第1及び第2のリフレクタシステムのそれぞれが、有限−有限ウォルターリフレクタシステムを含み得る。光学系は、物体の縮小像を関心対象領域上に形成するように構成され得る。物体は、放射ビームの見掛け放射源を含み得る。
[0009] 光学系は、関心対象領域の構造に対して測定が行われることを可能にするために特定の基準を満たすやり方で、放射ビームを操作可能にし得る。有限−有限ウォルターリフレクタシステムによって、関心対象領域から有限距離離れた放射ビームの見掛け放射源が、基準を満たす結像性能で結像されることが可能となり得る。この有限−有限結像能力によって、光学系が、比較的コンパクトな体積内に収容されることが可能となり得る。さらに、放射ビーム源は、放射源及び光学系を含むコンパクトなパッケージを提供するために、光学系に近接して設けられ得る。ウォルターリフレクタシステムは、光学系の軸に対して最適にアライメントされていない物体を結像する観点から、及び/又は結像されている物体が非点状である場合に、特定の利点を提供し得る。ウォルターリフレクタシステムは、SXR又はEUVの放射ビームを結像させるための特定の他のリフレクタシステムを用いて達成可能な度合いよりも高い度合いにまで、上記非最適アライメント及び/又は非最適物体サイズを許容し得る。つまり、ウォルターリフレクタシステムは、見掛け放射源が、物体面の有限(非ゼロ)フィールドをもたらす、オフアクシス及び/又は非点状である場合でも、基準を満たし得る。ウォルターリフレクタシステムの使用は、特定の他の光学系と比較して、厳しいアライメント要件を緩和し、及び/又は使用若しくは輸送から生じる光学系の不安定性又は移動に対する、より大きな公差を提供し得る。従って、ウォルターリフレクタシステムは、特定の他のリフレクタシステムと比較して、より大きな安定性で、アライメント及び製造が行われ得る。ウォルターリフレクタシステムの結像性能は、ウォルターリフレクタシステムによって生じる収差が、特定の他のリフレクタシステムによって生じる収差よりも少ないようなものとなり得る。ウォルターリフレクタシステムは、物体を縮小する観点から、特定の他のリフレクタシステムと比較して、より大きな度合いの柔軟性を提供し得る。各ウォルターリフレクタシステムによって提供される縮小は、各ウォルターリフレクタシステムが所望の縮小率を個々に提供し得るように、互いに切り離され得る。一例では、ウォルターリフレクタシステムは、物体の同形結像を提供するように構成されてもよく、これは、特定の他のタイプのリフレクタシステムを用いた場合に達成することが不可能な場合がある。
[00010] 各有限−有限ウォルターリフレクタシステムが、物体を含む物体面に沿った一方向に物体を縮小し、物体の対応する縮小像を、像を含む像面に沿った対応する方向に形成するように構成された1次元有限−有限ウォルターリフレクタシステムを含み得る。1次元ウォルターリフレクタシステムで使用されるリフレクタのタイプは、一方向に湾曲されてもよい。このようなリフレクタは、基準を満たす結像性能を光学系が提供することを可能にする、表面品質を有して及び/又は公差レベルにまで製造可能となり得る。このような1次元ウォルターリフレクタシステムは、現在利用可能な、二方向に湾曲されたリフレクタを含む2次元ウォルターリフレクタシステムと比較して、より低いコストレベル及び/又はより満足のいく結像性能を提供し得る。
[00011] 第1のリフレクタシステムは、物体面に沿った第1の方向に物体を縮小し、像面に沿った対応する第1の方向において、物体の対応する縮小像を形成するように構成され得る。第2のリフレクタシステムは、物体面に沿った第2の方向であって、第1の方向に垂直な第2の方向に物体を縮小し、像面に沿った対応する第2の方向において、物体の対応する縮小像を形成するように構成され得る。
[00012] 光学系のコンポーネントと関心対象領域との間に規定される自由作動距離が10cm以上であるように、光学系が構成され得る。自由作動距離は、他のコンポーネント及び/又は放射ビームとの体積コンフリクトを生じさせることなく、リフレクタが収容されることを可能にするようなものとなり得る。
[00013] 第1のリフレクタシステム及び第2のリフレクタシステムの主面が、物体よりも像に近い交点で、物体と像との間に規定される軸と交差するように、光学系が構成され得る。物体よりも像に近い交点との主面の交差は、主面と物体(s)との間の距離と、主面と像(s)との間の距離の比率(比率は、s/s(s÷s)に等しい)によって規定され得る縮小率を提供し得る。
[00014] 第1のリフレクタシステムの主面が、第2のリフレクタシステムの主面と実質的に同じ交点で軸と交差し得る。これは、第1及び第2のリフレクタシステムの双方に同じ縮小率を提供し、これによって、光学系が、物体の同形縮小像を形成することが可能となり得る。
[00015] 第1のリフレクタシステムの縮小率が、第2のリフレクタシステムの縮小率と実質的に同じであるように、光学系が構成され得る。縮小率は、物体の寸法と、像の対応する寸法の比率によって規定され得る。
[00016] 光学系は、物体の同形像又はアナモルフィック像を形成するために、所望の縮小アスペクト比を用いて物体を結像するように構成され得る。
[00017] 光学系は、5以上、任意選択で8以上、任意選択で10以上の縮小率で、物体の少なくとも1つの寸法を縮小するように構成され得る。ウォルターリフレクタシステムは、依然として、基準を満たす結像性能を提供しながら、比較的高い縮小率を達成することが可能となり得る。高い縮小率を提供するために、比較的高い曲率のリフレクタが使用され得る。ウォルターリフレクタシステム構成は、高い曲率のリフレクタに対しても、許容可能な結像性能を提供し得る。
[00018] 第1のリフレクタシステムと交差する、少なくとも1つの入射面が、第2のリフレクタシステムと交差する、少なくとも1つの入射面に垂直であるように、第1のリフレクタシステムが、第2のリフレクタシステムに対して方位付けられ得る。
[00019] 第1の入射面が、第1のリフレクタシステムの各リフレクタと交差し、第2の入射面が、第2のリフレクタシステムの各リフレクタと交差し、第1の入射面及び第2の入射面が、互いに垂直であるように、光学系が構成され得る。
[00020] 第1の入射面が、第1のリフレクタシステムの一次リフレクタと交差し、第2の入射面が、第2のリフレクタシステムの一次リフレクタと交差し、第3の入射面が、第1のリフレクタシステムの二次リフレクタと交差し、及び第4の入射面が、第2のリフレクタシステムの二次リフレクタと交差し、第1の入射面及び第3の入射面が、互いに非平行であり、第2の入射面及び第4の入射面が、互いに非平行であり、且つ第1の入射面及び第3の入射面に対して垂直であるように、光学系が構成され得る。
[00021] 基板の関心対象領域に関する情報が、関心対象領域から反射、散乱、及び回折の少なくとも1つが行われた放射から決定可能であるように、放射ビームを関心対象領域上にフォーカスさせるように光学系が構成されてもよく、任意選択で、基板が集積回路を含んでもよく、又は集積回路の製造において使用可能である。
[00022] 光学系が、ウォルターIII型リフレクタシステムと、さらなるウォルターIII型リフレクタシステム及びウォルターI型リフレクタシステムの一方とを含み得る。光学系の一部として設けられるウォルター型リフレクタシステムの特定の構成は、回折素子を含むリフレクタから回折された放射の一部を測定するための、任意選択の基準検出器などの、リフレクタの近くの他のコンポーネントのための空間を提供するようなものでもよい。
[00023] ウォルターIII型リフレクタシステムの一次リフレクタは、楕円柱凸リフレクタを含み得る。ウォルターIII型リフレクタシステムの二次リフレクタは、楕円柱凹リフレクタを含み得る。
[00024] ウォルターI型リフレクタシステムの一次リフレクタは、楕円柱凹リフレクタを含み得る。ウォルターI型リフレクタシステムの二次リフレクタは、双曲柱凹リフレクタを含み得る。
[00025] 第1のリフレクタシステムは、ウォルターIII型リフレクタシステムを含み得る。
[00026] 第2のリフレクタシステムは、さらなるウォルターIII型リフレクタシステムを含み得る。
[00027] 光学系は、物体と像との間で順に、ウォルターIII型リフレクタシステム、その後にさらなるウォルターIII型リフレクタシステムを含み得る。
[00028] 光学系は、物体と像との間で順に、ウォルターIII型リフレクタシステムの一次リフレクタ、その後にさらなるウォルターIII型リフレクタシステムの一次リフレクタ、その後にウォルターIII型リフレクタシステムの二次リフレクタ、その後にさらなるウォルターIII型リフレクタシステムの二次リフレクタを含み得る。
[00029] 第2のリフレクタシステムは、ウォルターI型リフレクタシステムを含み得る。光学系は、物体と像との間で順に、ウォルターIII型リフレクタシステム、その後にウォルターI型リフレクタシステムを含み得る。
[00030] 第1のリフレクタシステム及び第2のリフレクタシステムの少なくとも一方が、放射ビームの回折スペクトル成分を空間的に分離するための回折素子を含み得る。回折素子は、放射源の性能がモニタリングされ、及び/又はメトロロジ測定のためにビームのスペクトル成分を分析するために使用されることを可能にし得る。
[00031] 回折素子は、第1のリフレクタシステムの二次リフレクタの一部として設けられ得る。
[00032] 物体と像との間で規定される主光線の経路長が、3メートル以下、任意選択で1.5メートル以下であるように、光学系が構成され得る。特定の他の光学系と比べて比較的短い経路長によって、比較的コンパクトな体積及び/又は実装面積内に光学系が収容されることが可能となり得る。
[00033] 本発明の一態様によれば、メトロロジ装置又は検査装置が提供される。メトロロジ装置又は検査装置は、基板を受け、及び制御可能な位置に保持するための基板テーブルを含み得る。メトロロジ装置又は検査装置は、照明放射ビームを発生させるための放射源を含み得る。メトロロジ装置又は検査装置は、基板上の関心対象領域に照明放射ビームをフォーカスさせるための、何れかの態様又は実施形態による光学系を含み得る。
[00034] 本発明の一態様によれば、メトロロジ装置内で基板の関心対象領域上に放射ビームをフォーカスさせる光学系を使用する方法が提供される。放射ビームは、軟X線又は極端紫外線スペクトル範囲内の放射を含み得る。この方法は、第1のリフレクタシステム及び第2のリフレクタシステムを含む光学系を設けることを含み得る。第1のリフレクタシステム及び第2のリフレクタシステムのそれぞれは、有限−有限ウォルターリフレクタシステムを含み得る。この方法は、物体の縮小像を関心対象領域上に形成することを含み得る。物体は、放射ビームの見掛け放射源を含み得る。
[00035] 本発明の一態様によれば、基板上に製造された構造の一部の関心対象特性を決定するためのメトロロジ装置が提供される。メトロロジ装置は、本明細書に記載される何れかの態様又は実施形態による光学系を含み得る。
[00036] 本発明の一態様によれば、基板上に製造された構造の一部を検査するための検査装置が提供される。検査装置は、本明細書に記載される何れかの態様又は実施形態による光学系を含み得る。
[00037] 本発明の一態様によれば、リソセルが提供される。リソセルは、本明細書に記載される何れかの態様又は実施形態によるメトロロジ装置又は検査装置を含み得る。
[00038] 本明細書に記載される何れかの態様又は実施形態の少なくとも1つのフィーチャは、本明細書に記載される何れかの態様又は実施形態の何れかの対応するフィーチャを置き換え得る。本明細書に記載される何れかの態様又は実施形態の少なくとも1つのフィーチャは、本明細書に記載されるその他の態様又は実施形態と組み合わせられてもよい。
[00039] 以下では、添付の概略図面を参照して、本発明の実施形態をあくまで例として説明する。
リソグラフィ装置の概略的概要を示す。 リソグラフィセルの概略的概要を示す。 ホリスティックリソグラフィの概略図を示し、半導体製造を最適化するための重要な3つの技術間の協調を表す。 メトロロジ装置を概略的に表した図である。 一実施形態による光学系を概略的に表した図である。 一実施形態による光学系を概略的に表した図である。 別の実施形態による光学系を概略的に表した図である。 別の実施形態による光学系を概略的に表した図である。 別の実施形態による光学系を概略的に表した図である。 別の実施形態による光学系を概略的に表した図である。
[00040] 本文書では、「放射」及び「ビーム」という用語は、あらゆるタイプの電磁放射を包含するように使用され、そのような電磁放射には、紫外線(例えば、365、248、193、157又は126nmの波長を有する)及びEUV(例えば、約5〜100nmの範囲の波長を有する極端紫外線)及びSXR(例えば、約5〜100nmの範囲の波長を有する軟X線放射)が含まれる。
[00041] 本明細書で使用される「レチクル」、「マスク」又は「パターニングデバイス」という用語は、基板のターゲット部分に作成されるべきパターンに対応するパターン化された断面を、入射する放射ビームに提供するために使用可能な一般的なパターニングデバイスを意味するものとして広義に解釈され得る。これに関連して「ライトバルブ」という用語も使用される場合がある。古典的なマスク(透過型又は反射型のマスク、バイナリマスク、位相シフトマスク、ハイブリッドマスク等)に加えて、他のそのようなパターニングデバイスの例として、プログラマブルミラーアレイ及びプログラマブルLCDアレイがある。
[00042] 図1は、リソグラフィ装置LAを概略的に示す。リソグラフィ装置LAは、放射ビームB(例えば、UV放射、DUV放射又はEUV放射)を調節するように構成された(イルミネータとも呼ばれる)照明システムILと、パターニングデバイス(例えば、マスク)MAを支持するように構築されて、特定のパラメータに従ってパターニングデバイスMAを正確に位置決めするように構成された第1のポジショナPMに接続されたマスク支持部(例えば、マスクテーブル)MTと、基板(例えば、レジストコートウェーハ)Wを保持するように構築されて、特定のパラメータに従って基板支持部を正確に位置決めするように構成された第2のポジショナPWに接続された基板支持部(例えば、ウェーハテーブル)WTと、パターニングデバイスMAによって放射ビームBに付与されたパターンを基板Wの(例えば、1つ以上のダイを含む)ターゲット部分Cに投影するように構成された投影システム(例えば、屈折投影レンズシステム)PSとを含む。
[00043] 稼働中、照明システムILは、放射源SOから(例えば、ビーム送達システムBDを介して)放射ビームを受ける。照明システムILは、放射の誘導、整形及び/又は制御のために様々なタイプの光学コンポーネントを含み得、例えば屈折型、反射型、磁気型、電磁型、静電型及び/又は他のタイプの光学コンポーネント又はこれらの任意の組み合わせを含み得る。イルミネータILは、放射ビームBがパターニングデバイスMAの面において所望の空間強度分布及び角度強度分布をその断面に有するように、放射ビームBを調節するために使用され得る。
[00044] 本明細書で使用される「投影システム」PSという用語は、様々なタイプの投影システムを包含するものとして広義に解釈されたい。そのようなシステムには、使用されている露光放射の必要に応じて及び/又は他の要因(例えば、液浸液の使用又は真空の使用)の必要に応じて、屈折型、反射型、反射屈折型、アナモルフィック型、磁気型、電磁型及び/又は静電光学型のシステム又はこれらの任意の組み合わせが含まれ得る。本明細書で「投影レンズ」という用語が使用されている場合、それらは、全てより一般的な用語である「投影システム」PSと同義であると見なされ得る。
[00045] リソグラフィ装置LAは、投影システムPSと基板Wとの間の空間を埋めるように、基板の少なくとも一部分が、屈折率が比較的高い液体(例えば、水)で覆われ得るタイプであり得、これは、液浸リソグラフィとも呼ばれる。液浸技術の詳細については、参照によって本明細書に組み込まれる米国特許第6952253号に示されている。
[00046] リソグラフィ装置LAは、基板支持部WTが2つ以上あるタイプ(「デュアルステージ」とも呼ばれる)であってもよい。そのような「複数ステージ」マシンでは、それらの基板支持部WTは並行して使用されてよく、及び/又は、それらの基板支持部WTの一方に載っている基板Wが、その基板Wにパターンを露光することに使用されている間に、他方の基板支持部WTに載っている別の基板Wに対して、その別の基板Wのその後の露光の準備の手順が実施されてよい。
[00047] 基板支持部WTに加えて、リソグラフィ装置LAは測定ステージを含んでよい。測定ステージは、センサ及び/又はクリーニング装置を保持するように構成されている。センサは、投影システムPSの特性、又は放射ビームBの特性を測定するように構成されてよい。測定ステージは複数のセンサを保持してよい。クリーニング装置は、リソグラフィ装置の一部、例えば、投影システムPSの一部、又は液浸液を供給するシステムの一部をクリーニングするように構成されてよい。測定ステージは、基板支持部WTが投影システムPSから離れているときに、投影システムPSの下を動いてよい。
[00048] 稼働中は、放射ビームBが、パターニングデバイス(例えば、マスク支持物MT上に保持されたマスクMA)に入射し、パターニングデバイスMA上にあるパターン(設計レイアウト)によってパターニングされる。放射ビームBは、マスクMAを横断した後、投影システムPSを通り抜け、投影システムPSは、ビームを基板Wのターゲット部分C上にフォーカスさせる。第2のポジショナPW及び位置測定システムIFの支援により、基板支持部WTは正確に動くことが可能であり、例えば、様々なターゲット部分Cが、放射ビームBの経路中のフォーカス及びアライメントされる位置に位置決めされるように正確に動くことが可能である。同様に、パターニングデバイスMAを放射ビームBの経路に対して正確に位置決めする為に、第1のポジショナPMと、場合によっては別の位置センサ(これは図1に明示されていない)とが使用されてよい。パターニングデバイスMA及び基板Wは、マスクアライメントマークM1、M2、及び基板アライメントマークP1、P2を使用してアライメントされてよい。基板アライメントマークP1、P2は、図示されたように専用ターゲット部分を占有するが、ターゲット部分間の空間に配置されてよい。基板アライメントマークP1、P2は、ターゲット部分C間に配置される場合には、スクライブラインアライメントマークと呼ばれる。
[00049] 図2に示されるように、リソグラフィ装置LAは、リソグラフィセルLC(リソセル又は(リソ)クラスタと呼ばれることもある)の一部をなし得、リソグラフィセルLCは、基板Wに対して露光前プロセス及び露光後プロセスを実施するための装置も含むことが多い。従来、そのような装置として、レジスト層を堆積させるスピンコータSC、露光したレジストを現像するデベロッパDE、冷却プレートCH及びベークプレートBK(これらは、例えば、基板Wの温度を調節するものであり、それは、例えば、レジスト層中の溶剤を調節するために行われる)がある。基板ハンドラ(即ちロボット)ROが基板Wを入出力ポートI/O1、I/O2からピックアップし、それらの基板Wを様々なプロセス装置間で動かし、それらの基板Wをリソグラフィ装置LAのローディングベイLBまで送達する。リソセル内のデバイスは、まとめてトラックと呼ばれることも多く、典型的にはトラック制御ユニットTCUの管理下にあり、トラック制御ユニットTCU自体は、監視制御システムSCSによって制御され得、監視制御システムSCSは、リソグラフィ装置LAも(例えば、リソグラフィ制御ユニットLACUを介して)制御し得る。
[00050] リソグラフィ装置LAによって露光される基板Wが正確且つ確実に露光されるために、基板を検査して、パターン形成された構造の特性、例えば連続する層間のオーバーレイエラー、線の太さ、クリティカルディメンジョン(CD)等を測定することが望ましい。そのため、検査ツール(図示せず)がリソセルLCに含まれ得る。エラーが検出された場合、例えば、連続する基板の露光又は基板Wに対して実施されるべき他のプロセスステップに対する調節が行われ得、これは、特に同じバッチ又はロットの他の基板Wが引き続き露光又はプロセスされる前に検査が行われる場合に行われ得る。
[00051] メトロロジ装置と呼ばれることもある検査装置は、基板Wの特性を測定するために使用され、特に異なる複数の基板Wの特性がどのようにばらつくか、又は同じ基板Wの異なる複数の層に関連付けられた特性が層ごとにどのようにばらつくかを測定するために使用される。検査装置は、代わりに、基板W上の欠陥を識別するように構築され得、例えばリソセルLCの一部分であり得るか、又はリソグラフィ装置LAに組み込まれ得るか、又はスタンドアロン装置であり得る。検査装置は、潜像(露光後のレジスト層内の像)に関する特性、又は半潜像(露光後ベーク工程PEB後のレジスト層内の像)に関する特性、又は現像されたレジスト像(レジストの露光部分又は非露光部分が除去されている)に関する特性、又は更に(エッチング等のパターン転写工程後の)エッチングされた像に関する特性を測定し得る。
[00052] 典型的には、リソグラフィ装置LAにおけるパターニングプロセスは、基板W上の構造の寸法決定及び配置に高い精度を必要とする、処理のなかで最もクリティカルなステップの1つである。この高い精度を確保するために、図3に概略的に示されるように、3つのシステムをいわゆる「ホリスティック」管理環境として組み合わせ得る。これらのシステムの1つは、リソグラフィ装置LAであり、これは、メトロロジツールMT(第2のシステム)及びコンピュータシステムCL(第3のシステム)と(仮想的に)接続される。そのような「ホリスティック」環境の鍵は、これらの3つのシステム間の協調を最適化して、プロセスウィンドウ全体を強化し、厳格管理ループを実現することにより、リソグラフィ装置LAによって実施されるパターニングがプロセスウィンドウ内にとどまるようにすることである。プロセスウィンドウは、プロセスパラメータ(例えば、ドーズ、フォーカス、オーバーレイ)の範囲を規定し、この範囲内で特定の製造プロセスが規定の結果(例えば、機能する半導体デバイス)を産出し、典型的には、この範囲内でリソグラフィプロセス又はパターニングプロセスのプロセスパラメータが変動し得る。
[00053] コンピュータシステムCLは、パターニングされるデザインレイアウト(の一部)を使用することにより、何れの解像度向上技術を使用すべきかを予測することが可能であり、且つ計算機リソグラフィのシミュレーション及び計算を実施して、パターニングプロセスのプロセスウィンドウ全体の最大化を達成するマスクレイアウト及びリソグラフィ装置設定を決定することが可能である(図3において第1のスケールSC1の両方向矢印で示されている)。典型的には、解像度向上技術は、リソグラフィ装置LAのパターニング可能性に適合するように用意される。コンピュータシステムCLは、プロセスウィンドウ内の何れの箇所でリソグラフィ装置LAが現在動作しているかを(例えば、メトロロジツールMTからの入力を使用して)検出することにより、(例えば、準最適な処理のために)欠陥が存在する可能性があるかどうかを予測することが更に可能である(図3において第2のスケールSC2の「0」を指す矢印で示されている)。
[00054] メトロロジツールMTは、正確なシミュレーション及び予測を可能にする入力をコンピュータシステムCLに与えることが可能であり、(例えば、リソグラフィ装置LAの較正ステータスにおいて)起こり得るドリフトを識別するフィードバックをリソグラフィ装置LAに与えることが可能である(図3において第3のスケールSC3の複数の矢印で示されている)。
[00055] リソグラフィプロセスでは、作成された構造を(例えば、プロセスの管理及び検証のために)頻繁に測定することが望ましい。そのような測定を行うツールは、一般にメトロロジツールMTと呼ばれる。そのような測定を行うメトロロジツールMTとして様々なタイプが知られており、例えば走査電子顕微鏡又は様々な形式のスキャトロメータメトロロジツールMTがある。スキャトロメータは、リソグラフィプロセスのパラメータの測定を可能にする多目的計器であり、測定は、スキャトロメータの対物レンズの瞳若しくは瞳に対する共役面にセンサを有すること(通常、瞳ベースの測定と呼ばれる測定)により、又は像面若しくは像面に対する共役面にセンサを有すること(この場合、通常、像ベース若しくはフィールドベースの測定と呼ばれる測定)により行われる。そのようなスキャトロメータ及び関連する測定技術については、参照によって全体として本明細書に組み込まれる米国特許出願公開第20100328655号、同第2011102753A1号、同第20120044470A号、同第20110249244号、同第20110026032号又は欧州特許出願公開第1,628,164A号に詳述されている。上述のスキャトロメータは、軟X線及び可視波長〜近赤外波長の範囲の光を使用して格子を測定することが可能である。
[00056] 第1の実施形態では、スキャトロメータMTは、角度分解スキャトロメータである。そのようなスキャトロメータでは、格子の特性を再構築又は計算する再構築方法が測定信号に適用され得る。そのような再構築は、例えば、散乱する放射線とターゲット構造の数学モデルとの相互作用をシミュレーションし、シミュレーション結果を測定結果と比較することの結果であり得る。数学モデルのパラメータは、相互作用のシミュレーションにより、実際のターゲットから観察された回折パターンと同様の回折パターンが生成されるまで調節される。
[00057] 第2の実施形態では、スキャトロメータMTは、分光スキャトロメータMTである。そのような分光スキャトロメータMTでは、放射線源から放射された放射線がターゲットに向かい、ターゲットから反射又は散乱した放射線がスペクトロメータ検出器に向かい、スペクトロメータ検出器が、鏡面反射した放射線のスペクトルを測定する(即ち強度を波長の関数として測定する)。このデータから、検出されたスペクトルを引き起こしているターゲットの構造又はプロファイルを再構築することが可能であり、この再構築は、例えば、厳密結合波理論及び非線形回帰により、又はシミュレーションされたスペクトルのライブラリとの比較により可能である。
[00058] 第3の実施形態では、スキャトロメータMTは、エリプソスキャトロメータである。エリプソスキャトロメータは、偏光状態のそれぞれについて、散乱した放射線を測定することによってリソグラフィプロセスのパラメータを決定することを可能にする。そのようなメトロロジ装置は、偏光光(例えば、直線偏光光、円形偏光光又は楕円偏光光)を、例えばメトロロジ装置の照明セクションにおいて適切な偏光フィルタを使用して放射する。メトロロジ装置に好適な源は、偏光放射線も同様に提供可能である。既存のエリプソスキャトロメータの様々な実施形態は、参照によって全体として本明細書に組み込まれる米国特許出願公開第11/451,599号、同第11/708,678号、同第12/256,780号、同第12/486,449号、同第12/920,968号、同第12/922,587号、同第13/000,229号、同第13/033,135号、同第13/533,110号及び同第13/891,410号に記載されている。
[00059] スキャトロメータMTの一実施形態では、スキャトロメータMTは、アライメントがずれた2つの格子又は周期構造のオーバーレイを測定するように適合されており、この測定は、反射スペクトル及び/又は検出構成における、オーバーレイの程度に関連付けられる非対称性を測定することによって行われる。この2つの(典型的には一部が重なり合っている)格子構造は、異なる2つの層(必ずしも連続する層ではない)において施され得、ウェーハ上のほぼ同じ位置に形成され得る。スキャトロメータは、非対称性があれば明確に識別可能であるように(例えば、共有されている欧州特許出願公開第1,628,164A号に記載されているような)対称検出構成を有し得る。これは、格子のアライメントのずれを測定する直接的な方法を提供する。ターゲットとしての及び周期構造の非対称性により測定される周期構造を含む2つの層間のオーバーレイエラーの更なる例は、参照によって全体として本明細書に組み込まれる国際公開第2011/012624号又は米国特許出願公開第20160161863号に記載されている。
[00060] 他の関心対象パラメータとして、フォーカス及びドーズがあり得る。フォーカス及びドーズは、参照によって全体として本明細書に組み込まれる米国特許出願公開第2011−0249244号に記載されているように、スキャトロメトリによって(又は代わりに走査電子顕微鏡によって)同時に特定可能である。フォーカスエネルギマトリックス(FEM(フォーカス露光マトリックスとも呼ばれる))の各点について、クリティカルな寸法測定値及び側壁角度測定値の固有の組み合わせを有する単一構造が使用され得る。これらのクリティカルな寸法及び側壁角度の固有の組み合わせが利用可能であれば、これらの測定値からフォーカス及びドーズの値を一意に特定することが可能である。
[00061] メトロロジターゲットは複合格子の集合体であってよく、これらは、リソグラフィプロセスによって、大抵はレジスト中に形成されるが、例えば、エッチングプロセスの後にも形成される。典型的には、格子の構造のピッチ及び線幅は、メトロロジターゲットから来る次数の回折放射線を捕捉することが可能であるように、測定用光学系(特に光学系のNA)に強く依存する。前述のように、回折信号は、2つの層の間のシフト(「オーバーレイ」とも呼ばれる)を決定する為に使用されてよく、或いは、リソグラフィプロセスによって生成された元の格子の少なくとも一部を再構築する為に使用されてよい。この再構築は、リソグラフィプロセスの品質の指針を与える為に使用されてよく、且つ、リソグラフィプロセスの少なくとも一部を管理する為に使用されてよい。ターゲットは、ターゲットにおける設計レイアウトの機能部分の寸法を模倣するように構成された、より小さい小区分を有してよい。この小区分により、ターゲットの挙動は、設計レイアウトの機能部分によりよく似たものになり、プロセスパラメータの測定値全体が設計レイアウトの機能部分によりよく似る。ターゲットは、充填不足の状態でも過充填の状態でも測定可能である。充填不足の状態では、測定ビームによって生成されるスポットは、ターゲット全体より小さい。過充填の状態では、測定ビームによって生成されるスポットは、ターゲット全体より大きい。そのような過充填の状態では、別々のターゲットを同時に測定することによって、別々のプロセスパラメータを同時に決定することが可能になることもある。
[00062] 特定のターゲットを使用するリソグラフィパラメータの全体的な測定品質は、少なくとも部分的には、このリソグラフィパラメータの測定に使用される測定レシピによって決まる。「基板測定レシピ」という用語は、測定自体の1つ以上のパラメータ、測定された1つ以上のパターンの1つ以上のパラメータ又はその両方を包含し得る。例えば、基板測定レシピで行われる測定が回折ベースの光学的測定であれば、この測定のパラメータの1つ以上は、放射線の波長、放射線の偏光、基板に対する放射線の入射角、基板上のパターンに対する放射線の方位等を含み得る。測定レシピを選択する際の基準の1つは、例えば、何れかの測定パラメータの、プロセス変動に対する感受性であり得る。更なる例は、参照によって全体として本明細書に組み込まれる米国特許出願第2016−0161863号及び公開済みの米国特許出願第2016/0370717A1号に記載されている。
[00063] 光学的メトロロジ方法の代替案として、軟X線又はEUVの放射、例えば、0.1nm〜100nm、又は任意選択で1nm〜50nm、又は任意選択で5〜100nm、又は任意選択で10nm〜20nmの波長範囲の放射を用いることも検討された。上記に提示した波長範囲の1つで機能するメトロロジツールの一例は、透過型小角X線散乱(その内容が、参照によって完全な形で本明細書に組み込まれている米国特許第2007224518A号におけるT−SAXS)である。T−SAXSを用いたプロファイル(CD)測定は、Lemaillet et al, “Intercomparison between optical and X-ray scatterometry measurements of FinFET structures”, Proc. of SPIE, 2013, 8681によって説明されている。基板上の膜及び層のスタックの特性を測定するために、斜入射のX線(GI−XRS)及び極端紫外線(EUV)の放射を用いる反射率測定技術が知られている。反射率測定の一般的な分野内で、三角法技術及び/又は分光技術が適用され得る。三角法では、異なる複数の入射角を有する反射ビームのバリエーションが測定される。一方、分光反射率測定は、(広帯域放射を用いて)所与の角度で反射した波長のスペクトルを測定する。例えば、EUVリソグラフィにおいて使用するためのレチクル(パターニングデバイス)の製造に先立って、EUV反射率測定が、マスクブランクの検査に使用されている。
[00064] 用途の範囲が、軟X線又はEUV領域の波長の使用を不十分なものにすることが起こり得る。従って、米国特許出願公開第20130304424A1号及び米国特許出願公開第2014019097A1号(Bakemanら/KLA)は、X線を使用して行われる測定、及び120nm〜2000nmの範囲内の波長を用いた光学的測定が組み合わせられることによって、CDなどのパラメータの測定を得るハイブリッドメトロロジ技術を記載している。CD測定は、1つ又は複数の共通を用いて、結合及びX線数学モデル及び光学的数学モデルによって取得される。前述の米国特許出願の内容は、参照によって完全な形で本明細書に組み込まれている。
[00065] 本文書では、HHG又はHHG源という用語が導入される。HHGは、高調波発生を指し、又は高次調波発生と呼ばれることもある。HHGは、ターゲット(例えば、ガス、プラズマ、又は固体サンプル)が集中的なレーザパルスによって照明される非線形プロセスである。続いて、ターゲットは、レーザパルスの放射の周波数の倍数である周波数を有する放射を放出し得る。このような周波数(すなわち倍数)は、レーザパルスの放射の調波と呼ばれる。発生したHHG放射は、第5調波を超える調波であると定義することができ、これらの調波は、高調波と呼ばれる。HHGプロセスの基礎を成す物理的プロセスは、より低調波(一般的に、第2〜第5調波)の放射の発生に関連する物理的プロセスとは異なる。より低調波の放射の発生は、摂動理論に関係する。ターゲットにおける原子の(束縛)電子の軌道は、ホストイオンのクーロンポテンシャルによって実質的に決定される。HHGでは、HHGプロセスに寄与する電子の軌道は、入射するレーザ光の電場によって実質的に決定される。HHGを説明する、いわゆる「三段階モデル」では、電子が、クーロン障壁をトンネルし(この瞬間にクーロン障壁は、レーザ場によって実質的に抑制される)(ステップ1)、レーザ場によって決定された軌道をたどり(ステップ2)、放射の形態で運動エネルギー及びイオン化エネルギーを放出する間、ある確率で再結合する(ステップ3)。HHGと、より低調波の放射の発生との違いを表現する別の方法は、ターゲット原子のイオン化エネルギーを超える光子エネルギーを有する全ての放射を「高調波」放射(例えば、HHG発生放射)と定義し、イオン化エネルギーを下回る光子エネルギーを有する全ての放射を非HHG発生放射と定義することである。ネオンがガスターゲットとして使用される場合、62nmより短い波長を有する全ての放射(20.18eVを超える光子エネルギーを有する)が、HHGプロセスによって発生する。ガスターゲットとしてのアルゴンの場合、約15.8eVを超える光子エネルギーを有する全ての放射が、HHGプロセスによって発生する。
[00066] 図4は、0.1nm〜100nmの波長範囲の放射が、基板上の構造のパラメータを測定するために使用され得るメトロロジ装置302を概略的に表した図である。図4に示されるメトロロジ装置302は、軟X線又はEUV範囲に適する。
[00067] 図4は、単なる例として、斜入射のEUV及び/又はSXR放射を用いる分光スキャトロメータを含むメトロロジ装置302の概略的物理構成を示す。検査装置の代替形態は、より長い波長で動作する従来のスキャトロメータに類似した法線入射又は近法線入射の放射を用いる、角度分解スキャトロメータの形態で提供され得る。
[00068] 検査装置302は、放射源310、照明システム312、基板支持部316、検出システム318、398、及びメトロロジ処理ユニット(MPU)320を含む。
[00069] この例の放射源310は、高調波発生(HHG)技術に基づく、EUV又は軟X線放射のジェネレータを含む。このような放射源は、例えば、米国コロラド州BoulderにあるKMLabsから入手可能である(http://www.kmlabs.com/)。放射源の主なコンポーネントは、ドライブレーザ330及びHHGガスセル332である。ガス供給334は、適切なガスをガスセルに供給し、そこでガスは、任意選択で、電源336によってイオン化される。ドライブレーザ300は、例えば、必要に応じて、最大数メガヘルツのパルス繰り返し率を有する、例えば1パルス当たり1ns(1ナノ秒)未満持続し得る赤外線のパルスを生成する、光増幅器を備えたファイバベースのレーザでもよい。赤外線の波長は、例えば、1μm(1ミクロン)の領域内でもよい。レーザパルスは、第1の放射ビーム340として、HHGガスセル332に送られ、そこで、ガス中で、放射の一部が、所望の1つ又は複数の波長のコヒーレントな第2の放射を含むビーム342へと、第1の放射よりも高い周波数に変換される。
[00070] 第2の放射は、複数の波長を含み得る。万一放射が単色であった場合、測定計算(例えば、再構築)が単純化され得るが、HHGを用いれば、幾つかの波長を有する放射を生成することがより簡単である。ガスセル332内のガスの体積は、HHG空間を規定する(とはいえ、この空間は、完全に密閉されている必要はなく、静的な体積の代わりに、ガスのフローが用いられ得る)。ガスは、例えば、ネオン(Ne)又はアルゴン(Ar)などの希ガスでもよい。N2、O2、He、Ar、Kr、Xeガスが、全て検討され得る。これらは、設計上の選択の問題であり、同じ装置内で選択可能な選択肢であってもよい。異なる波長は、例えば、異なる材料の構造を結像する際に、異なるレベルのコントラストを提供する。例えば、金属構造又はシリコン構造の検査のために、(炭素系)レジストのフィーチャを結像するため、又はこのような異なる材料の汚染を検出するために使用されるものとは異なる波長が選択され得る。1つ又は複数のフィルタリングデバイス344が設けられてもよい。例えば、アルミニウム(Al)の薄膜などのフィルタが、基本的な赤外放射が検査装置302の内部にさらに入ることを減らすように機能し得る。ガスセルで発生した調波の波長の中から、1つ又は複数の特定の調波の波長を選択するために、格子(図示されない)が設けられてもよい。空中を進むときにSXR放射が吸収されることを念頭に置き、ビーム経路の一部又は全てが、真空環境内に含まれてもよい。放射源310の様々なコンポーネント及び照明光学系312は、同じ装置内の異なる複数のメトロロジ「レシピ」を実施するために調節可能となり得る。例えば、異なる複数の波長及び/又は偏光が選択可能にされ得る。
[00071] 検査下の構造の材料に応じて、異なる複数の波長が、下側の層への所望のレベルの侵入を提供し得る。最小のデバイスフィーチャ及び最小のデバイスフィーチャの中の欠陥を解像するために、短波長が好まれる可能性が高い。例えば、1〜20nmの範囲内、又は任意選択で1〜10nmの範囲内、又は任意選択で10〜20nmの範囲内の1つ又は複数の波長が選ばれ得る。5nmより短い波長は、一般的に半導体製造において関心対象材料に反射するときに、非常に低い臨界角に苦慮する。従って、5nmを超える波長を選ぶことは、より高い入射角で、より強い信号を提供する。一方、検査タスクが、ある特定の材料の存在を検出すること(例えば、汚染を検出すること)である場合には、最大で50nmまでの波長が有用となり得る。
[00072] フィルタリングされたビーム342は、放射源310から検査チャンバ350に入り、そこで、関心対象構造を含む基板Wが、検査のために、基板支持部316によって、測定位置に保持される。関心対象構造は、Tと表示される。EUVの放射が、過度の減衰なく大気中を通過できるように、検査チャンバ350内の大気が、真空ポンプ352によって、ほぼ真空に維持される。照明システム312は、フォーカスビーム356へと放射をフォーカスさせる機能を有し、例えば、上述の米国特許出願公開第2017/0184981A1号(その内容は、参照によって完全な形で本明細書に組み込まれている)に記載されるような2次元湾曲ミラー、又は一連の1次元湾曲ミラーを含み得る。フォーカシングは、関心対象構造上に投影されたときに、直径10μm未満の円形又は楕円形のスポットSを得るように行われる。基板支持部316は、例えば、X−Y平行移動ステージ及び回転ステージを含み、これによって、基板Wのどの部分も、所望の方位となるように、ビームの焦点に合わせることができる。従って、放射スポットSが、関心対象構造上に形成される。代替的又は追加的に、基板支持部316は、例えば、(例えば、関心対象領域にある)関心対象構造T上で、フォーカスビームの入射角を制御するために、ある特定の角度に基板Wを傾斜させることができる傾斜ステージを含む。
[00073] 任意選択で、照明システム312は、フィルタリングされたビーム342の異なる複数の波長のスペクトル及び/又は強度を測定するように構成され得る基準検出器314に基準放射ビームを提供する。基準検出器314は、プロセッサ310に提供される信号315を発生させるように構成されてもよく、フィルタは、フィルタリングされたビーム342のスペクトル、及び/又はフィルタリングされたビームの異なる複数の波長の強度に関する情報を含んでもよい。
[00074] 反射放射360は、検出器318によって捕捉され、ターゲット構造Tの特性の計算に使用するために、プロセッサ320へとスペクトルが提供される。従って、照明システム312及び検出システム318は、検査装置を成す。この検査装置は、その内容が参照によって完全な形で本明細書に組み込まれている米国特許出願公開第2016282282A1号に記載される種類の軟X線及び/又はEUV分光反射率計を含んでもよい。
[00075] ターゲットTが、ある特定の周期性を有する場合、フォーカスビーム356の放射も、部分的に回折され得る。回折放射397は、入射角に対して明確に規定された角度で、反射放射360と異なる別の経路をたどる。図4では、図示された回折放射397は、概略的に示されており、回折放射397は、図示された経路と異なる多くの他の経路をたどり得る。また、検査装置302は、回折放射397の少なくとも一部の検出及び/又は結像を行うさらなる検出システム398を含んでもよい。図4では、単一のさらなる検出システム398が図示されているが、検査装置302の実施形態は、複数の回折方向の回折放射397の検出及び/又は結像を行うために、異なる複数の位置に配置された、2つ以上のさらなる検出システム398も含み得る。つまり、ターゲットTに当たる、(より高い)回折次数のフォーカスされた放射ビームの検出及び/又は結像が、1つ又は複数のさらなる検出システム398によって行われる。1つ又は複数の検出システム398は、メトロロジプロセッサ320に提供される信号399を発生させる。信号399は、回折光397の情報を含んでもよく、及び/又は回折光397から取得された像を含んでもよい。
[00076] 所望の製品構造に対するスポットSのアライメント及びフォーカシングを支援するために、検査装置302は、メトロロジプロセッサ320の制御下で、補助放射を用いる補助光学系も提供し得る。メトロロジプロセッサ320は、平行移動ステージ、回転及び/又は傾斜ステージを動作させる位置コントローラ372と通信することもできる。プロセッサ320は、センサを介して、基板の位置及び方位に関する非常に正確なフィードバックを受け取る。センサ374は、例えば、ピコメートルの範囲内で精度を提供し得る干渉計を含んでもよい。検査装置302の動作において、検出システム318によって捕捉されたスペクトルデータ382が、メトロロジ処理ユニット320へと送られる。
[00077] 上述の通り、検査装置の代替形態は、例えば、非対称性の回折ベースの測定を行うために、法線入射又は近法線入射の軟X線及び/又はEUVの放射を用いる。ハイブリッドメトロロジシステムにおいて、両方のタイプの検査装置が設けられてもよい。測定される性能パラメータには、オーバーレイ(OVL)、クリティカルディメンジョン(CD)、コヒーレント回折結像(CDI)、及び解像度オーバーレイ(ARO(at-resolution overlay))メトロロジが含まれ得る。軟X線及び/又はEUVの放射は、例えば5〜30nmの範囲内、又は任意選択で10nm〜20nmの範囲内の放射を用いて、例えば100nm未満の波長を有し得る。放射は、性質的に、狭帯域又は広帯域のものでもよい。放射は、ある特定の波長帯域内で離散ピークを有してもよく、又はより連続的な性質を有してもよい。
[00078] 現在の製造設備で使用される光学スキャトロメータのように、リソセル内で処理されたレジスト材料内の構造を測定するために(現像後検査又はADI)、及び/又は構造がより硬い材料中に形成された後に、それらを測定するために(エッチング後検査又はAEI)、検査装置302が使用され得る。例えば、基板は、現像装置、エッチング装置、アニーリング装置、及び/又は他の装置によって処理された後に、検査装置302を用いて検査されてもよい。
[00079] 本開示の実施形態は、図4に示される照明システム312の機能を提供する光学系に関する。メトロロジ装置302は、メトロロジ装置302のある特定の用途に対して必要とされる仕様に適合するように設計される。例えば、メトロロジ装置302は、リソグラフィ装置LAによって基板に作成された、パターン形成された構造の特性を測定するために、これらの基板を検査するように構成されてもよい。パターン形成された構造の特定の特性は、メトロロジ装置302が、その特定の用途に対して必要とされる仕様に適合する場合にのみ、決定することができる。従って、光学系は、メトロロジ装置302が必要とされる仕様に適合することを可能にする特定の基準を満たすように構成され得る。例えば、ビーム356の関心対象構造との相互作用によって、その関心対象構造に関する情報が、仕様に従ったメトロロジ装置302を用いて決定されることが可能となるように、フォーカスビーム356に1つ又は複数の特性を与えるように設計されてもよい。光学系設計の自由度は、1つ又は複数の境界条件に依存する。従って、特定の基準を満たす光学系の能力は、1つ又は複数の境界条件に依存する。
[00080] 光学系は、基板の関心対象領域から反射、散乱、及び回折の少なくとも1つが行われた放射から、関心対象領域に関する情報が決定可能であるように、関心対象領域上に放射ビームをフォーカスさせるように構成されてもよい。従って、メトロロジ装置302は、集積回路の関心対象領域に関する情報を決定するために適切に選択された、メトロロジ装置302のパラメータを用いて、このような情報を決定するように構成されてもよい。
[00081] 境界条件の例には、フィルタリングされたビーム342のスペクトル成分、(例えば、光学系によって結像されている物体を規定するビーム342の見掛け放射源における)ビーム342の寸法及び/又はアスペクト比、ビーム342の品質(例えば、M二乗値)、ビーム342の空間変動及び/又はスペクトル変動、ビーム342のエネルギー及び/又はパワー、放射源310のその他の動作特性、光学系の透過率、光学系のコンポーネントの利用可能な空間、並びに基準検出器314などのメトロロジ装置302のコンポーネント間の体積コンフリクト、及び/又はそのようなコンポーネントの放射ビームとのコンフリクトが含まれるが、これらに限定されない。加えて、1つ又は複数の境界条件は、特定の用途に応じて、使用中に変化し得る。例えば、メトロロジ装置302の1つ又は複数のコンポーネントは、使用中に移動され、又は置き換えられる場合があり、これは、光学系のコンポーネントの利用可能な空間に影響を与え得る。別の例は、放射源310が、例えば、ある特定のスペクトル成分を持つビーム342を提供するように、異なる複数の動作レジームで構成されてもよいこと、及び/又はビームの別の動作特性が異なり得ることである。
[00082] 1つ又は複数の境界条件に応じてメトロロジ装置302が必要とされる仕様に適合するように、光学系の1つ又は複数の実施形態が構成されてもよい。ある例示的な仕様では、ビーム356は、関心対象構造上に投影されたときに、直径10μm未満の円形又は楕円形のスポットSを得るために、光学系によってフォーカスされる。この例では、境界条件は、フォーカスビーム356の見掛け放射源の少なくとも1つの寸法(例えば、半径、直径など)によって規定されてもよい。遠視野から観察されるときに、見掛け放射源は、ビーム356の放射源が由来するように見える物体を規定し得る。従って、光学系は、関心対象構造上にその物体の像を投影し、関心対象構造上では、その像の対応する少なくとも1つの寸法が、(i)物体の少なくとも1つの寸法、(ii)光学系の結像特性、及び(iii)光学系によって結像されているビーム342の品質の1つ又は複数によって決まり得る。
[00083] ある例では、物体の少なくとも1つの寸法は、物体の寸法(d)と、対応する像の寸法(d)の比率によって規定される縮小率(縮小率=d/d)で、物体の少なくとも1つの寸法を縮小することによって、光学系が特定の基準を満たすようなものでもよい。ガウスビームの場合、寸法は、物体又は像の最大強度の1/e(すなわち、13.5%)で、物体又は像の包囲半径に応じて規定され得る。光学系は、1を超える、又は任意選択で2、5、8、10、15、20若しくは25以上の縮小率で、物体の少なくとも1つの寸法を縮小するように構成されてもよい。
[00084] 一例では、関心対象領域のスポットSの寸法は、像の最大強度の1/e(すなわち、13.5%)で、像の包囲半径に応じて規定され、スポット半径は、5、4、3、2、1.5、1.25、1、0.75、0.66、及び0.5ミクロンの少なくとも1つ以下でもよい。スポットSの寸法が、全ての方向において等しくない場合があり(例えば、ビームスポットが非円形の場合)、従って、上記で規定されたビームスポット半径は、ビームスポットの最大半径を指し得ることが理解されるだろう。
[00085] 一例では、物体の少なくとも1つの寸法は、物体の同形像又はアナモルフィック像を形成するために、所望の縮小アスペクト比を用いて物体を結像することによって、光学系がある基準を満たすようなものでもよい。物体又は像のアスペクト比は、物体又は像の第1の寸法と、物体又は像の第2の寸法の比率によって規定され得る。物体の第1の寸法は、物体を含む物体面に沿った第1の方向で測定され得る。物体の第2の寸法は、物体面に沿った第2の方向であって、第1の方向に垂直な第2の方向で測定され得る。同様に、像の第1の寸法は、像を含む像面に沿った第1の方向で測定することができ、像の第1の方向は、物体の第1の方向に一致する。さらに、像の第2の寸法は、像面に沿った第2の方向で測定することができ、像の第2の方向は、物体の第2の方向に一致する。
[00086] 従って、物体が、物体面に沿った第1の方向において縮小され、像面に沿った対応する第1の方向において、物体の対応する縮小像を形成するように、光学系が構成されてもよい。加えて、物体が、物体面に沿った第2の方向において縮小され、像面に沿った対応する第2の方向において、物体の対応する縮小像を形成するように、光学系が構成されてもよい。
[00087] 第1の方向及び第2の方向における縮小率は、物体の形を成す像のアスペクト比に影響を与え得る。縮小率が、第1の方向及び第2の方向で同じであれば、像は、物体と同じアスペクト比を有する同形像となり得る。一方、縮小率が、第1の方向及び第2の方向で異なる場合、像は、結像されている物体とは異なるアスペクト比を有するアナモルフィック像となり得る。物体面における物体の形状は、円形又は非円形(例えば、楕円形)でもよい。満たされるべき基準に応じて、円形又は非円形物体が縮小されることにより、その円形又は非円形物体の円形像及び非円形像の何れかが形成され得るように、光学系が構成されてもよい。
[00088] 一例では、境界条件は、光学系と基板Wの潜在的な体積コンフリクトによって規定され得る。例えば、光学系のあるコンポーネントと、基板Wの関心対象領域の間で、そのコンポーネントと、基板及び/又はフォーカスビーム356の体積コンフリクトを防止するために、自由作動距離が規定され得る。一例では、光学系のコンポーネントは、光学系のその他のリフレクタよりも関心対象領域に近いリフレクタを含み得る。この例では、自由作動距離は、リフレクタの表面と、関心対象領域との間、又はリフレクタに関連するコンポーネント(取付けコンポーネントなど)の表面と、関心対象領域との間で規定され得る。光学系のコンポーネントと、基板Wの関心対象領域との間の自由作動距離は、10cm、15cm、又は20cm以上でもよい。自由作動距離は、関心対象領域と、関心対象領域に最も近いコンポーネントの表面との間で測定され得る。
[00089] 一例では、境界条件は、物体と像との間で規定された主光線の経路長によって規定され得る。本明細書に記載される1つ又は複数の光学系は、光学系の他の例と比較して、物体と像との間で比較的短い経路長を有して構成されてもよい。物体と像との間の比較的短い経路長は、比較的コンパクトな体積若しくは実装面積内に光学系が設けられることを可能にすることができ、又は利用可能な空間内に光学系が収容されることを可能にするようなものとなり得る。物体と像との間の比較的短い経路長を有する、本明細書に記載される1つ又は複数の光学系は、3、2.5、2、1.5、1.25、1.1、又は1メートル以下の経路長を有し得る。光学系のコンポーネントと関心対象領域との間で規定される比較的大きな(10cm以上の場合がある)自由作動距離を得ることは、物体と像との間の上記の短い経路長では難しいことが認識された。以下の実施形態が、この比較的大きな作動距離を提供できることに本発明者らは気付いた。
[00090] 一例では、境界条件は、関心対象領域にフォーカスされた放射ビームに対する光学系の開口数(NA)によって規定され得る。この開口数は、0.005、0.01、0.05、及び0.1の少なくとも1つ以上であってもよい。光学系のある特定の開口数範囲が、特定の基準を満たす場合、この開口数範囲は、光学系の経路長の利用可能範囲及び物体の少なくとも1つの寸法などの他の境界条件に影響を与え、又はそれらの他の境界条件によって影響を受け得る。
[00091] 一例では、境界条件は、光学系の1つ又は複数のリフレクタによって許容され得る斜入射角(GAOI)の範囲によって規定され得る。本明細書に記載される何れかの光学系の少なくとも1つのリフレクタは、放射ビームの主光線が、1、2、3、4、5、6、7、8、9、及び10度の少なくとも1つ以上のリフレクタに対するGAOIを有するように、方位付けられてもよい。さらに別の例では、許容可能なGAOIは、1〜20度の範囲内でもよい。さらに別の例では、許容可能なGAOIは、10度を超えてもよい(例えば10〜20度の範囲内)。GAOIは、リフレクタの表面と入射光線との間の角度と規定され得る。斜入射光学系は、例えば、EUV、SXR、及び/又はX線の波長を含む放射ビームの全外部反射を提供するために使用され得る。
[00092] 一例では、境界条件は、物体における放射ビームの発散度によって規定され得る。光学系の少なくとも1つのリフレクタは、放射ビーム(放射ビームは、1、2、3、5、10、15、20及び25ミリラジアンの少なくとも1つ以上の発散半角を有する)を受けるように構成され得る。ガウスビームの場合、放射ビームBの発散半角は、式θ≒λ/(πnw)(式中、λは、波長であり、nは、屈折率であり、wは、ビームウエスト半径である)によって規定され得る。発散度は、光学系の開口数又は受け入れ開口数に関係する。開口数は、約2×期待される(ガウス)ビーム発散半角θでもよい。一例では、放射ビームのエネルギーの99.99%が、関心対象領域の結像スポット内に存在し得るように、光学系が設計されてもよい。より一般的な用語では、発散度又は収束は、1/e(13.5%)の強度レベルでビームコーンの頂角の半分と規定され得る。ビームは、物体面に沿って規定された垂直方向でもよい第1の方向及び第2の方向において、同じ又は異なる発散半角を有し得る。第1の方向及び第2の方向に関する、発散半角又は開口数の値は異なり得るが、それらは、依然として、類似の桁のものとなり得る。基準を満たすために、ある特定の発散半角又は開口数範囲が使用される場合、この発散半角又は開口数範囲は、光学系の透過率、体積コンフリクトなどの他の境界条件に影響を与え、又はそれらの他の境界条件によって影響を受け得る。
[00093] 本開示を通して、適切であれば、「発散度」という用語は、上記で規定された発散半角を指し得る。しかし、適切であれば、光学系自体の特性を指す場合には、「開口数」という用語がより適切な場合がある。開口数NAは、光学系を通って伝搬し得る最大半角を用いて計算され得る。発散半角が、1/eの強度レベルで規定されるので、結像基準に応じて、最大半角は、例えば、放射ビームのエネルギーの99.99%が、関心対象領域の結像スポット内に存在し得るように、発散半角よりも大きくてもよい。一般的に、系を通って伝搬し得る最大半角は、発散半角の約2倍よりも僅かに大きいと仮定され得る。しかし、最大半角と発散半角の比率は、異なってもよい(例えば、2より小さくても、又は2より大きくてもよい)。
[00094] 一例では、境界条件は、光学系の透過率によって規定され得る。光学系の透過率は、0.1、0.2、0.3、又は0.4以上でもよい。基準を満たすために、ある特定の透過率範囲が使用される場合、この透過率範囲は、光学系のビーム発散度の利用可能範囲などの他の境界条件に影響を与え、又はこれらの他の境界条件によって影響を受け得る。
[00095] 一例では、境界条件は、光学系の1つ又は複数のリフレクタの寸法によって規定され得る。リフレクタの寸法は、これらのリフレクタと他のリフレクタ又は他のコンポーネントの体積コンフリクトが存在しないならば、実質的に放射ビーム全体が反射される(例えば、ビームのエネルギーの50%、60%、70%、80%、90%、95%、99%の少なくとも1つ以上が反射される)ようなものであってもよい。
[00096] 一例では、境界条件は、光学系の1つ又は複数のリフレクタの製造可能性によって規定され得る。特定の基準を満たすために、特定の設計及び/又は公差の考慮内で、1つ又は複数のリフレクタが製造され得る。特定の基準を満たすために、特定のリフレクタ設計が望ましい場合があるが、これらのリフレクタ設計の製造可能性が、1つ又は複数の境界条件から外れる場合には、これらの設計は、許容されない場合がある。例えば、その他の場合では、特定の基準を満たすために望ましいかもしれない特定の設計を有するリフレクタを製造することができないかもしれない。従って、特定の用途に応じて、光学系用の特定のリフレクタを製造する可用性/自由は、満たされるべき基準によって決まり得る。
[00097] 1つ又は複数の境界条件は、少なくとも1つの他の境界条件に影響を与える場合があり、その結果、基準が満たされる場合又は満たされない場合がある。加えて、特定の境界条件は、メトロロジ装置の特定の用途に応じて可変となり得る。従って、光学系の特定の構成は、規定された境界条件内で基準を満たし得る。しかし、境界条件の1つ又は複数が変更される場合には、基準を満たすために、光学系の構成も変更され得る。
[00098] 以下にさらに詳細に記載されるように、本発明者らは、1つ又は複数の境界条件の特定の基準を満たす幾つかの光学系を特定した。本明細書に記載される各光学系は、メトロロジ装置内で基板の関心対象領域上に放射ビームをフォーカスさせるように構成される。放射ビームは、軟X線(SXR)及び/又は極端紫外線(EUV)スペクトル範囲内の放射を含む。
[00099] 本明細書に記載される1つ又は複数の光学系は、以下の例の1つ又は複数に従って構成される場合に、特定の基準を満たし得る。一例では、放射ビームが、斜入射角で、光学系の1つ又は複数のリフレクタに入射し得るように、光学系が構成されてもよい。従って、光学系は、広いスペクトル範囲にわたり(例えば、10〜20nmのスペクトル帯域を持つ放射ビームに対して)、許容可能な結像性能を提供し得る。一例では、光学系は、物体と像の(例えば、10のオーダーの)比較的高い縮小率を提供するように構成されてもよい。一例では、光学系は、(例えば、0.1のオーダー(例えば、0.05)の)比較的大きい開口数で、関心対象領域上に放射ビームをフォーカスさせるように構成されてもよい。一例では、光学系は、物体と像との間で(例えば、1.5メートルのオーダーの)比較的短い経路長を用いて構成されてもよい。従って、光学系は、比較的コンパクトな体積又は実装面積内に収容され得る。一例では、光学系は、比較的短い経路長及び/又は比較的高い開口数を提供するために、強い曲率を有するリフレクタを含み得る。光学系で使用される特定のタイプのリフレクタによって、特定の基準を満たす結像性能も提供しながら、比較的短い経路長及び/又は比較的高い開口数が提供されることが可能となり得る。一例では、光学系のリフレクタの表面プロファイルは、光学系が特定の基準を満たす結像性能を提供し得るように、製造可能な形状及び/又は表面品質を有し得る。一例では、光学系のコンポーネントは、最適アライメントからのある特定のずれが、依然として、特定の基準を満たす結像性能をもたらすように、安定した構成でアライメントされるように構成されてもよい。従って、この光学系は、本明細書に記載される特定の他の基準を別の方法で満たし得る他の光学系と比較して、比較的緩いアライメント公差を有し得る。一例では、見掛け放射源が点放射源でない場合、及び/又は見掛け放射源がオフアクシスである場合、光学系が物体のオフアクシス部分及びオンアクシス部分を正確に結像することにより、光学系は、依然として、特定の基準を満たす結像性能を提供し得る(すなわち、見掛け放射源が正確な点ではない場合、及び/又は見掛け放射源の少なくとも一部がオフアクシスである場合でも、光学系は、依然として、アッベの正弦条件を満たし得る)。すなわち、他の光学系と比較して、本明細書に記載される光学系は、見掛け放射源が、上記他の光学系が正弦条件を満たさない物体面の有限(非ゼロ)フィールドをある程度有する場合でも、依然としてアッベの正弦条件を満たす点で、結像性能の向上をもたらし得る。
[000100] 図5a〜5bは、それぞれ、1つ又は複数の境界条件に関する特定の基準を満たす光学系400を概略的に表した図である。図5aは、第1の方向における光学系400の光路の投影である。図5bは、第1の方向に垂直な第2の方向における光学系400の光路の投影である。従って、図5a及び5bは、それぞれ、光学系400の直交する図を表す。
[000101] 光学系400は、第1のリフレクタシステム410及び第2のリフレクタシステム412を含む。第1のリフレクタシステム410及び第2のリフレクタシステム412のそれぞれは、有限−有限ウォルターリフレクタシステムを含む。光学系400は、ターゲットT(図4を参照)上の関心対象領域上に、放射ビームBの見掛け放射源を含む物体416の縮小像414を形成するように構成される。有限−有限ウォルターリフレクタシステムのそれぞれは、像414が物体416から有限距離離れて位置する、有限−有限共役距離関係を有する。ウォルターリフレクタシステムは、1つ又は複数の境界条件に基づいて、他のリフレクタ構成が満たすことのできない特定の基準を満たし得る。例えば、ウォルターリフレクタシステムは、基準を満たす結像性能を提供するために、見掛け放射源が、ある程度、正確な点ではない場合、及び/又は見掛け放射源の少なくとも一部がオフアクシスである場合でも、アッベの正弦条件を満たし得る。
[000102] 第1及び第2のリフレクタシステム410、412は、それぞれ、1次元有限−有限ウォルターリフレクタシステムを含む。図5aによって示されるように、第1のリフレクタシステム410は、物体416を含む物体面420に沿った第1の方向418において、物体416を縮小し、像414を含む像面422に沿った対応する第1の方向418において、物体416の対応する縮小像414を形成するように構成される。図5bによって示されるように、第2のリフレクタシステム412は、物体面420に沿った第2の方向424において、物体414を縮小し(第2の方向424は、第1の方向418に垂直である)、像面422に沿った対応する第2の方向424において、物体416の対応する縮小像414を形成するように構成される。1次元ウォルターリフレクタシステムは、所望の公差レベル内で製造されることにより、基準を満たす結像性能を提供することができる。対照的に、2次元ウォルターリフレクタシステム(すなわち、二重湾曲リフレクタ面を有する)は、二重湾曲リフレクタ面が、製造可能でない場合があり、及び/又は基準を満たす結像性能を提供するために許容可能な表面品質を持たない場合があるので、基準を満たす結像性能を提供しない場合がある。
[000103] 第1のリフレクタシステム410の主面426及び第2のリフレクタシステム412の主面428が、物体416よりも像414に近い交点432において、物体416と像424との間に規定される軸430と交差するように、光学系400が構成される。物体416よりも像414に近い、主面426,428のそれぞれの位置は、縮小構成にある光学系400をもたらす。第1のリフレクタシステム410の主面426が、第2のリフレクタシステム412の主面428と実質的に同じ交点432で軸430と交差するように、ウォルターリフレクタシステムが構成され得る。
[000104] 第1の方向418及び第2の方向424における縮小率は、交点432が、第1のリフレクタシステム410及び第2のリフレクタシステム412に関して同じであることを確実にすることによって、実質的に同じ、又は同じにされ得る。有利には、第1の方向418及び第2の方向424の両方で同じ縮小率を提供することにより、光学系400が、物体416の同形像414を形成することが可能となり得る。代替的に、もし好ましければ、(例えば、主面426及び428の両方を含む単一の交点432がもはや存在しないように)物体のアナモルフィック像を形成するために、第1の方向418及び第2の方向424に対して異なる縮小率が提供されてもよい。
[000105] 縮小率は、第1の方向418又は第2の方向424に沿った物体416の寸法と、対応する第1の方向418又は第2の方向424に沿った、対応する像414の寸法の比率によって規定され得る。例えば、縮小率は、第1の方向418に沿った物体416の最大強度の1/e(すなわち、13.5%)における物体416の包囲半径(r)と、対応する第1の方向418に沿った像414の最大強度の1/e(すなわち、13.5%)における像414の包囲半径(r)の比率によって規定されてもよい。従って、第1の方向418における縮小率は、r/r(r÷r)に等しい。類似の関係が、第2の方向424における縮小率に当てはまる。
[000106] これより、光学系400の各コンポーネントの方位をより詳細に説明する。第1のリフレクタシステム410と交差する、少なくとも1つの入射面が、第2のリフレクタシステム412と交差する、少なくとも1つの入射面に垂直であるように、第1のリフレクタシステム410が、第2のリフレクタシステム412に対して方位付けられる。リフレクタの入射面は、リフレクタによって反射された放射ビームBの入射及び反射主光線を含む。図5a及び5bを比較することによって分かるように、第1の入射面が、第1のリフレクタシステム410の各リフレクタM1、M2と交差するように、光学系400が構成される。第1の入射面は、第1の方向418及び軸430と平行である。加えて、第2の入射面は、第2のリフレクタシステム412の各リフレクタM3、M4と交差する。第2の入射面は、第2の方向424及び軸430と平行である。第1のリフレクタシステム410のリフレクタM1、M2が、第2のリフレクタシステム412のリフレクタM3、M4に対して垂直関係に方位付けられるため、第1の入射面及び第2の入射面も互いに垂直であることが分かる。
[000107] これより、光学系400で使用される、特定のタイプのウォルターリフレクタシステムをより詳細に説明する。光学系400は、第1のリフレクタシステム410として提供されるウォルターIII型リフレクタシステム、及び第2の(後続の)リフレクタシステム412として提供されるウォルターI型リフレクタシステムを含む。前述の通り、これらのウォルターリフレクタシステムのそれぞれは、有限−有限構成で構成される。加えて、各リフレクタは、各リフレクタの表面が単一の方向にのみ湾曲している(すなわち、これらのリフレクタは、二重湾曲リフレクタ面を含まない)点で、1次元リフレクタと呼ばれる。
[000108] 有限−有限構成では、ウォルターIII型リフレクタシステムの一次リフレクタは、楕円柱凸リフレクタを含み、ウォルターIII型リフレクタシステムの二次リフレクタは、楕円柱凹リフレクタを含む。有限−有限構成では、ウォルターI型リフレクタシステムの一次リフレクタは、楕円柱凹リフレクタを含み、ウォルターI型リフレクタシステムの二次リフレクタは、双曲柱凹リフレクタを含む。
[000109] 従って、物体416から像414までの光学系400に設けられるリフレクタの順序は、以下の通りである。光学系400の第1のリフレクタM1(すなわち、第1のリフレクタシステム410の一次リフレクタ)は、楕円柱凸リフレクタを含む。光学系400の第2のリフレクタM2(すなわち、第1のリフレクタシステム410の二次リフレクタ)は、楕円柱凹リフレクタを含む。光学系400の第3のリフレクタM3(すなわち、第2のリフレクタシステム412の一次リフレクタ)は、楕円柱凸リフレクタを含む。光学系400の第4のリフレクタM4(すなわち、第2のリフレクタシステム412の二次リフレクタ)は、双曲柱凹リフレクタを含む。
[000110] 任意選択で、光学系400は、光路から離れた、放射ビームBの空間的に分離したスペクトル成分を生成するために、放射ビームBの少なくとも一部を回折させるための回折素子(図示されない)を含む。図5aによって示される例では、回折素子は、第1のリフレクタシステム410の第2のリフレクタM2として設けられる。他の例では、回折素子及び対応する検出器は、例えば、1つ又は複数のリフレクタの一部として、光学系の別の部分に設けられてもよい。回折素子は、光学系400のリフレクタ上に、又は光学系400のリフレクタの一部として設けられた別個の素子でもよい。代替的に、回折素子は、リフレクタの表面の一部として形成されてもよい。この代替構成では、回折素子は、光学系400の残りの部分を通して投影するために、放射ビームBの部分RPを鏡面的に反射するように構成される。回折素子は、放射ビームBの回折部分のスペクトル成分を分析するために、放射ビームBの残りの部分DPを基準検出器314(図4を参照)に向けて回折するようにさらに構成される。
[000111] 図6a〜6bは、それぞれ、1つ又は複数の境界条件の特定の基準を満たす光学系500を概略的に表した図を示す。図6aは、第1の方向の光学系500の光路の投影である。図6bは、第1の方向に垂直な第2の方向の光学系500の光路の投影である。従って、図6a及び6bは、それぞれ、光学系500の直交する図を表す。
[000112] 光学系400と同様に、光学系500は、以下により詳細に説明されるような異なる構成ではあるが、2つのウォルターリフレクタシステムを含む。光学系400のフィーチャに対応する光学系500のフィーチャは、100ずつ増えた参照番号を用いて表される。従って、光学系500は、光学系400に類似し、特定の基準を満たす結像性能を提供し得る。
[000113] 光学系500は、第1のリフレクタシステム510及び第2のリフレクタシステム512を含む。第1のリフレクタシステム510及び第2のリフレクタシステム512のそれぞれは、1次元有限−有限ウォルターリフレクタシステムを含む。しかし、図5a〜5bの光学系400とは対照的に、光学系500は、2つのウォルターIII型リフレクタシステムを含む(すなわち、1つのウォルターIII型リフレクタシステムの後に、さらなるウォルターIII型リフレクタシステムが続く)。従って、物体516から像514までの光学系500に設けられるリフレクタの順序は、以下の通りである。光学系500の第1のリフレクタM1’(すなわち、第1のリフレクタシステム510の一次リフレクタ)は、楕円柱凸リフレクタを含む。光学系500の第2のリフレクタM2’(すなわち、第1のリフレクタシステム510の二次リフレクタ)は、楕円柱凹リフレクタを含む。光学系500の第3のリフレクタM3’(すなわち、第2のリフレクタシステム512の一次リフレクタ)は、楕円柱凸リフレクタを含む。光学系500の第4のリフレクタM4’(すなわち、第2のリフレクタシステム512の二次リフレクタ)は、楕円柱凹リフレクタを含む。図5a〜5bの光学系400と比較して、第2のリフレクタシステム512が、ウォルターI型リフレクタシステムの代わりにウォルターIII型リフレクタシステムを含むため、光学系500の第4のリフレクタM4’は、関心対象領域からさらに離れて位置し得る。従って、光学系500の構成は、光学系400と比較して、第4のリフレクタM4’と関心対象領域との間に、より大きな自由作動距離を提供し得る。このような構成は、光学系400と比較して、少なくとも1つの境界条件(例えば、自由作動距離)の緩和を表し得る。しかし、少なくとも1つの境界条件が緩和され得る一方で、この特定の構成は、少なくとも1つの他の境界条件に影響を与え得る。
[000114] 図6aによって示されるように、第1のリフレクタシステム510は、物体516を含む物体面520に沿った第1の方向518において、物体516を縮小し、像514を含む像面522に沿った対応する第1の方向518において、物体516の対応する縮小像514を形成するように構成される。図6bによって示されるように、第2のリフレクタシステム512は、物体面520に沿った第2の方向524において、物体514を縮小し(第2の方向524は、第1の方向518に垂直である)、像面522に沿った対応する第2の方向524において、物体516の対応する縮小像514を形成するように構成される。
[000115] 第1のリフレクタシステム510の主面526及び第2のリフレクタシステム512の主面528が、物体516よりも像514に近い交点532において、物体516と像524との間に規定される軸530と交差するように、光学系500が構成され得る。この例では、第1のリフレクタシステム510の主面526が、第2のリフレクタシステム512の主面528と実質的に同じ交点532で軸530と交差するように、ウォルターリフレクタシステムが構成され得る。
[000116] 任意選択で、光学系500は、放射ビームBの回折スペクトル成分を空間的に分離するための回折素子(図示されない)を含む。図6aによって示される例では、回折素子は、第1のリフレクタシステム510の第2のリフレクタM2’として設けられる。
[000117] 図7a〜7bは、それぞれ、1つ又は複数の境界条件の特定の基準を満たす光学系600を概略的に表した図を示す。図7aは、第1の方向の光学系600の光路の投影である。図7bは、第1の方向に垂直な第2の方向の光学系600の光路の投影である。従って、図7a及び7bは、それぞれ、光学系600の直交する図を表す。
[000118] 光学系400及び500と同様に、光学系600は、以下により詳細に説明されるような異なる構成ではあるが、2つのウォルターリフレクタシステムを含む。光学系500のフィーチャに対応する光学系600のフィーチャは、100ずつ増えた参照番号を用いて表される。光学系600は、光学系500に類似し、特定の基準を満たす結像性能を提供し得る。
[000119] 光学系600は、第1のリフレクタシステム610及び第2のリフレクタシステム612を含む。第1のリフレクタシステム610及び第2のリフレクタシステム612のそれぞれは、1次元有限−有限ウォルターリフレクタシステムを含む。図6a〜6bの光学系500に類似して、光学系600は、2つのウォルターIII型リフレクタシステムを含む。光学系600は、光学系500によって提供される技術的利点に類似した技術的利点を有し得る。光学系500に設けられるリフレクタの順序とは対照的に、光学系600の2つのウォルターIII型リフレクタシステムのリフレクタは、物体616と像614との間で以下の順序で説明されるように結び付けられる。
[000120] 光学系600の第1のリフレクタM1’’(すなわち、第1のリフレクタシステム610の一次リフレクタ)は、楕円柱凸リフレクタを含む。第1のリフレクタM’’の後に、楕円柱凸リフレクタを含む光学系600の第2のリフレクタM2’’(すなわち、第2のリフレクタシステム612の一次リフレクタ)が続く。第2のリフレクタM’’の後に、楕円柱凹リフレクタを含む光学系600の第3のリフレクタM3’’(すなわち、第1のリフレクタシステム610の二次リフレクタ)が続く。第3のリフレクタM3’’の後に、楕円柱凹リフレクタを含む光学系600の第4のリフレクタM4’’(すなわち、第2のリフレクタシステム612の二次リフレクタ)が続く。
[000121] 図7aによって示されるように、第1のリフレクタシステム610は、物体616を含む物体面620に沿った第1の方向618において、物体616を縮小し、像614を含む像面622に沿った対応する第1の方向618において、物体616の対応する縮小像614を形成するように構成される。図7bによって示されるように、第2のリフレクタシステム612は、物体面620に沿った第2の方向624において、物体614を縮小し(第2の方向624は、第1の方向618に垂直である)、像面522に沿った対応する第2の方向624において、物体616の対応する縮小像614を形成するように構成される。
[000122] 図7a及び7bを参照して、光学系600は、
− 第1の入射面が、第1のリフレクタシステム610の一次リフレクタM1’’と交差し、
− 第2の入射面が、第2のリフレクタシステム612の一次リフレクタM2’’と交差し、
− 第3の入射面が、第1のリフレクタシステム610の二次リフレクタM3’’と交差し、及び
− 第4の入射面が、第2のリフレクタシステム612の二次リフレクタM4’’と交差する、
ように構成されることが分かる。第1の入射面及び第3の入射面は、互い非平行である(図7bを参照)。第2の入射面及び第4の入射面も、互い非平行であるが(図7aを参照)、第1の入射面及び第3の入射面に対して垂直である(図7a及び7bの両方を参照)。
[000123] 本明細書に記載される実施形態は、例えば、最大強度の1/eの放射ビームに対して規定された特定の境界条件を識別するが、境界条件は、代替的又は追加的に、最大強度の0.5(すなわち、半値全幅、つまりFWHM強度)、又は最大強度の0.1、0.01、0.001、若しくは0.0001に対して規定されてもよい。特定の実施形態では、放射ビームのエネルギーの99.99%が、関心対象領域の結像スポット内に存在し得るように、光学系が設計され得る。しかし、放射ビームのエネルギーの90%、95%、97.5%、99%、99.9%以上が、関心対象領域の結像スポット内に存在し得るように、光学系が設計されてもよい。
[000124] 以下の番号付けされた各条項において、さらなる実施形態を提供する。
1.メトロロジ装置内で、基板の関心対象領域上に放射ビームをフォーカスさせる光学系であって、放射ビームが、軟X線又は極端紫外線スペクトル範囲内の放射を含み、光学系が、
第1のリフレクタシステム及び第2のリフレクタシステムであって、第1のリフレクタシステム及び第2のリフレクタシステムのそれぞれが、有限−有限ウォルターリフレクタシステムを含む、第1のリフレクタシステム及び第2のリフレクタシステムを含み、
光学系が、放射ビームの見掛け放射源を含む物体の縮小像を関心対象領域上に形成するように構成された、光学系。
2.各有限−有限ウォルターリフレクタシステムが、物体を含む物体面に沿った一方向に物体を縮小し、像を含む像面に沿った対応する方向に、物体の対応する縮小像を形成するように構成された1次元有限−有限ウォルターリフレクタシステムを含む、条項1に記載の光学系。
3.第1のリフレクタシステムが、物体面に沿った第1の方向に物体を縮小し、像面に沿った対応する第1の方向において、物体の対応する縮小像を形成するように構成され、第2のリフレクタシステムが、物体面に沿った第2の方向であって、第1の方向に垂直な第2の方向に物体を縮小し、像面に沿った対応する第2の方向において、物体の対応する縮小像を形成するように構成される、条項2に記載の光学系。
4.光学系のコンポーネントと関心対象領域との間に規定される自由作動距離が、10cm以上であるように、光学系が構成される、条項1〜3の何れか一項に記載の光学系。
5.第1のリフレクタシステム及び第2のリフレクタシステムの主面が、物体よりも像に近い交点で、物体と像との間に規定される軸と交差するように、光学系が構成される、条項1〜4の何れか一項に記載の光学系。
6.第1のリフレクタシステムの主面が、第2のリフレクタシステムの主面と実質的に同じ交点で軸と交差する、条項5に記載の光学系。
7.第1のリフレクタシステムの縮小率が、第2のリフレクタシステムの縮小率と実質的に同じであり、縮小率が、物体の寸法と、像の対応する寸法の比率によって規定されるように、光学系が構成される、条項1〜6の何れか一項に記載の光学系。
8.光学系が、物体の同形像又はアナモルフィック像を形成するために、所望の縮小アスペクト比を用いて物体を結像するように構成される、条項1〜7の何れか一項に記載の光学系。
9.光学系が、5以上、任意選択で8以上、任意選択で10以上の縮小率で、物体の少なくとも1つの寸法を縮小するように構成される、条項1〜8の何れか一項に記載の光学系。
10.第1のリフレクタシステムと交差する、少なくとも1つの入射面が、第2のリフレクタシステムと交差する、少なくとも1つの入射面に垂直であるように、第1のリフレクタシステムが、第2のリフレクタシステムに対して方位付けられる、条項1〜9の何れか一項に記載の光学系。
11.第1の入射面が、第1のリフレクタシステムの各リフレクタと交差し、第2の入射面が、第2のリフレクタシステムの各リフレクタと交差し、第1の入射面及び第2の入射面が、互いに垂直であるように、光学系が構成される、条項10に記載の光学系。
12.第1の入射面が、第1のリフレクタシステムの一次リフレクタと交差し、第2の入射面が、第2のリフレクタシステムの一次リフレクタと交差し、第3の入射面が、第1のリフレクタシステムの二次リフレクタと交差し、及び第4の入射面が、第2のリフレクタシステムの二次リフレクタと交差し、第1の入射面及び第3の入射面が、互いに非平行であり、第2の入射面及び第4の入射面が、互いに非平行であり、並びに第1の入射面及び第3の入射面に対して垂直であるように、光学系が構成される、条項10に記載の光学系。
13.基板の関心対象領域に関する情報が、関心対象領域から反射、散乱、及び回折の少なくとも1つが行われた放射から決定可能であるように、放射ビームを関心対象領域上にフォーカスさせるように光学系が構成され、任意選択で、基板が、集積回路を含み、又は集積回路の製造において使用可能である、条項1〜12の何れか一項に記載の光学系。
14.光学系が、ウォルターIII型リフレクタシステムと、さらなるウォルターIII型リフレクタシステム及びウォルターI型リフレクタシステムの一方とを含む、条項1〜13の何れか一項に記載の光学系。
15.ウォルターIII型リフレクタシステムの一次リフレクタは、楕円柱凸リフレクタを含み、及びウォルターIII型リフレクタシステムの二次リフレクタは、楕円柱凹リフレクタを含む、条項14に記載の光学系。
16.ウォルターI型リフレクタシステムの一次リフレクタは、楕円柱凹リフレクタを含み、及びウォルターI型リフレクタシステムの二次リフレクタは、双曲柱凹リフレクタを含む、条項14に記載の光学系。
17.第1のリフレクタシステムが、ウォルターIII型リフレクタシステムを含む、条項14又は15に記載の光学系。
18.第2のリフレクタシステムが、さらなるウォルターIII型リフレクタシステムを含む、条項14、15、又は17に記載の光学系。
19.光学系が、物体と像との間で順に、ウォルターIII型リフレクタシステム、その後にさらなるウォルターIII型リフレクタシステムを含む、条項18に記載の光学系。
20.光学系が、物体と像との間で順に、ウォルターIII型リフレクタシステムの一次リフレクタ、その後にさらなるウォルターIII型リフレクタシステムの一次リフレクタ、その後にウォルターIII型リフレクタシステムの二次リフレクタ、その後にさらなるウォルターIII型リフレクタシステムの二次リフレクタを含む、条項18に記載の光学系。
21.第2のリフレクタシステムが、ウォルターI型リフレクタシステムを含み、光学系が、物体と像との間で順に、ウォルターIII型リフレクタシステム、その後にウォルターI型リフレクタシステムを含む、条項14〜17の何れか一項に記載の光学系。
22.第1のリフレクタシステム及び第2のリフレクタシステムの少なくとも一方が、放射ビームの回折スペクトル成分を空間的に分離するための回折素子を含む、条項1〜21の何れか一項に記載の光学系。
23.回折素子は、第1のリフレクタシステムの二次リフレクタの一部として設けられる、条項22に記載の光学系。
24.物体と像との間で規定される主光線の経路長が、3メートル以下、任意選択で1.5メートル以下であるように、光学系が構成される、条項1〜23の何れか一項に記載の光学系。
25.基板を受け、及び制御可能な位置に保持するための基板テーブルと、
照明放射ビームを発生させるための放射源と、
基板上の関心対象領域に照明放射ビームをフォーカスさせるための、条項1〜24の何れか一項に記載の光学系と、
を含む、メトロロジ装置又は検査装置。
26.基板上に製造された構造の一部の関心対象特性を決定するためのメトロロジ装置であって、メトロロジ装置が、条項1〜24の何れか一項に記載の光学系を含む、メトロロジ装置。
27.基板上に製造された構造の一部を検査するための検査装置であって、検査装置が、条項1〜24の何れか一項に記載の光学系を含む、検査装置。
28.条項25に記載のメトロロジ装置若しくは検査装置、条項26に記載のメトロロジ装置、又は条項27に記載の検査装置を含む、リソセル。
29.メトロロジ装置内で基板の関心対象領域上に放射ビームをフォーカスさせる光学系を使用する方法であって、放射ビームが、軟X線又は極端紫外線スペクトル範囲内の放射を含み、方法が、
第1のリフレクタシステム及び第2のリフレクタシステムを含む光学系を設けることであって、第1のリフレクタシステム及び第2のリフレクタシステムのそれぞれが、有限−有限ウォルターリフレクタシステムを含む、設けることと、
放射ビームの見掛け放射源を含む物体の縮小像を関心対象領域上に形成することと、
を含む、方法。
[000125] 本明細書では、リソグラフィ装置をICの製造で使用することが具体的に参照されているが、本明細書に記載のリソグラフィ装置は、他の用途を有し得ることが理解されるべきである。可能な他の用途として、一体型光学系、磁区メモリのガイダンスパターン及び検出パターン、平面パネルディスプレイ、液晶ディスプレイ(LCD)、薄膜磁気ヘッド等の製造がある。
[000126] 本明細書では、本発明の実施形態をリソグラフィ装置に関連して具体的に参照している場合があるが、本発明の実施形態は、他の装置で使用され得る。本発明の実施形態は、マスク検査装置、メトロロジ装置或いはウェーハ(若しくは他の基板)又はマスク(若しくは他のパターニングデバイス)等の物体を測定又はプロセスする任意の装置の一部をなし得る。これらの装置は、まとめてリソグラフィツールと呼ばれ得る。そのようなリソグラフィツールは、真空条件又は周囲(非真空)条件を用い得る。
[000127] 「メトロロジ装置/ツール/システム」又は「検査装置/ツール/システム」に対して具体的な言及がなされるが、これらの用語は、同じ又は類似のタイプのツール、装置、又はシステムを指し得る。例えば、本発明のある実施形態を構成する検査装置又はメトロロジ装置は、基板上又はウェーハ上の構造の特性を決定するために使用され得る。例えば、本発明のある実施形態を構成する検査装置又はメトロロジ装置は、基板の欠陥、又は基板上若しくはウェーハ上の構造の欠陥を検出するために使用され得る。このような実施形態では、基板上の構造の関心対象特性が、構造の欠陥、構造の特定の部分の欠如、又は基板上若しくはウェーハ上の不要な構造の存在に関係し得る。
[000128] 本発明の実施形態を光リソグラフィに関連して使用することをここまで具体的に参照してきたが、本発明は、文脈が許す限り、光リソグラフィに限定されず、他の用途で使用され得、例えばインプリントリソグラフィで使用され得ることが理解される。
[000129] ここまで本発明の特定の実施形態について説明してきたが、当然のことながら、本発明は、説明された以外の方法で実施され得る。上述の説明は、限定的ではなく、例示的であるものとする。従って、当業者であれば明らかなように、以下に示される特許請求項の範囲から逸脱しない限り、記載された本発明に対する修正形態がなされ得る。

Claims (15)

  1. メトロロジ装置内で基板の関心対象領域上に放射ビームをフォーカスさせる光学系であって、前記放射ビームが、軟X線又は極端紫外線スペクトル範囲内の放射を含み、前記光学系が、
    第1のリフレクタシステム及び第2のリフレクタシステムであって、前記第1のリフレクタシステム及び前記第2のリフレクタシステムのそれぞれが、有限−有限ウォルターリフレクタシステムを含む、第1のリフレクタシステム及び第2のリフレクタシステムを含み、
    前記光学系が、前記放射ビームの見掛け放射源を含む物体の縮小像を前記関心対象領域上に形成するように構成された、光学系。
  2. 各有限−有限ウォルターリフレクタシステムが、前記物体を含む物体面に沿った一方向に前記物体を縮小し、前記物体の対応する縮小像を、前記像を含む像面に沿った対応する方向に形成するように構成された1次元有限−有限ウォルターリフレクタシステムを含み、
    任意選択で、前記第1のリフレクタシステムが、前記物体面に沿った第1の方向に前記物体を縮小し、前記像面に沿った対応する第1の方向において、前記物体の対応する縮小像を形成するように構成され、及び前記第2のリフレクタシステムが、前記物体面に沿った第2の方向であって、前記第1の方向に垂直な第2の方向に前記物体を縮小し、前記像面に沿った対応する第2の方向において、前記物体の対応する縮小像を形成するように構成される、請求項1に記載の光学系。
  3. 前記光学系のコンポーネントと前記関心対象領域との間に規定される自由作動距離が10cm以上であるように、前記光学系が構成される。請求項1又は2に記載の光学系。
  4. 前記第1のリフレクタシステム及び前記第2のリフレクタシステムの主面が、前記物体よりも前記像に近い交点で、前記物体と前記像との間に規定される軸と交差するように、前記光学系が構成され、
    任意選択で、前記第1のリフレクタシステムの前記主面が、前記第2のリフレクタシステムの前記主面と実質的に同じ交点で前記軸と交差する、請求項1〜3の何れか一項に記載の光学系。
  5. 前記第1のリフレクタシステムの縮小率が、前記第2のリフレクタシステムの前記縮小率と実質的に同じであり、前記縮小率が、前記物体の寸法と、前記像の対応する寸法の比率によって規定されるように、前記光学系が構成される、請求項1〜4の何れか一項に記載の光学系。
  6. 前記第1のリフレクタシステムと交差する、少なくとも1つの入射面が、前記第2のリフレクタシステムと交差する、少なくとも1つの入射面に垂直であるように、前記第1のリフレクタシステムが、前記第2のリフレクタシステムに対して方位付けられ、任意選択で、
    第1の入射面が、前記第1のリフレクタシステムの各リフレクタと交差し、及び第2の入射面が、前記第2のリフレクタシステムの各リフレクタと交差し、前記第1の入射面及び前記第2の入射面が、互いに垂直であるように、前記光学系が構成されることと、
    第1の入射面が、前記第1のリフレクタシステムの一次リフレクタと交差し、第2の入射面が、前記第2のリフレクタシステムの一次リフレクタと交差し、第3の入射面が、前記第1のリフレクタシステムの二次リフレクタと交差し、及び第4の入射面が、前記第2のリフレクタシステムの二次リフレクタと交差し、前記第1の入射面及び前記第3の入射面が、互いに非平行であり、前記第2の入射面及び前記第4の入射面が、互いに非平行であり、且つ前記第1の入射面及び前記第3の入射面に対して垂直であるように、前記光学系が構成されることと、
    のいずれか1つである、請求項1〜5の何れか一項に記載の光学系。
  7. 前記光学系が、ウォルターIII型リフレクタシステムと、さらなるウォルターIII型リフレクタシステム及びウォルターI型リフレクタシステムの一方とを含み、
    任意選択で、
    前記ウォルターIII型リフレクタシステムの一次リフレクタが、楕円柱凸リフレクタを含み、及び前記ウォルターIII型リフレクタシステムの二次リフレクタが、楕円柱凹リフレクタを含むことと、
    前記ウォルターI型リフレクタシステムの一次リフレクタが、楕円柱凹リフレクタを含み、及び前記ウォルターI型リフレクタシステムの二次リフレクタが、双曲柱凹リフレクタを含むことと、
    の少なくとも一方である、請求項1〜6の何れか一項に記載の光学系。
  8. 前記第1のリフレクタシステムが、前記ウォルターIII型リフレクタシステムを含む、請求項7に記載の光学系。
  9. 前記第2のリフレクタシステムが、前記さらなるウォルターIII型リフレクタシステムを含む、請求項7又は8に記載の光学系。
  10. 前記光学系が、前記物体と前記像との間で順に、前記ウォルターIII型リフレクタシステム、その後に前記さらなるウォルターIII型リフレクタシステムを含むことと、
    前記光学系が、前記物体と前記像との間で順に、前記ウォルターIII型リフレクタシステムの一次リフレクタ、その後に前記さらなるウォルターIII型リフレクタシステムの一次リフレクタ、その後に前記ウォルターIII型リフレクタシステムの二次リフレクタ、その後に前記さらなるウォルターIII型リフレクタシステムの二次リフレクタを含むことと、
    のいずれか1つである、請求項9に記載の光学系。
  11. 前記第1のリフレクタシステム及び前記第2のリフレクタシステムの少なくとも一方が、前記放射ビームの回折スペクトル成分を空間的に分離するための回折素子を含み、任意選択で、前記回折素子が、前記第1のリフレクタシステムの二次リフレクタの一部として設けられる、請求項1〜10の何れか一項に記載の光学系。
  12. 前記物体と前記像との間で規定される主光線の経路長が、3メートル以下、任意選択で1.5メートル以下であるように、前記光学系が構成される、請求項1〜11の何れか一項に記載の光学系。
  13. 基板上に製造された構造の一部の関心対象特性を決定するためのメトロロジ装置であって、前記メトロロジ装置が、請求項1〜12の何れか一項に記載の前記光学系を含む、メトロロジ装置。
  14. 請求項13に記載のメトロロジ装置を含む、リソセル。
  15. メトロロジ装置内で基板の関心対象領域上に放射ビームをフォーカスさせる光学系を使用する方法であって、前記放射ビームが、軟X線又は極端紫外線スペクトル範囲内の放射を含み、前記方法が、
    第1のリフレクタシステム及び第2のリフレクタシステムを含む光学系を設けることであって、前記第1のリフレクタシステム及び前記第2のリフレクタシステムのそれぞれが、有限−有限ウォルターリフレクタシステムを含む、設けることと、
    前記放射ビームの見掛け放射源を含む物体の縮小像を前記関心対象領域上に形成することと、
    を含む、方法。
JP2021513774A 2018-09-20 2019-08-14 光学系、メトロロジ装置、及び関連の方法 Pending JP2022501584A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
EP18195638.4 2018-09-20
EP18195638.4A EP3627226A1 (en) 2018-09-20 2018-09-20 Optical system, metrology apparatus and associated method
PCT/EP2019/071830 WO2020057870A1 (en) 2018-09-20 2019-08-14 Optical system, metrology apparatus and associated method

Publications (1)

Publication Number Publication Date
JP2022501584A true JP2022501584A (ja) 2022-01-06

Family

ID=63667742

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2021513774A Pending JP2022501584A (ja) 2018-09-20 2019-08-14 光学系、メトロロジ装置、及び関連の方法

Country Status (8)

Country Link
US (1) US11129266B2 (ja)
EP (1) EP3627226A1 (ja)
JP (1) JP2022501584A (ja)
KR (1) KR20210044289A (ja)
CN (1) CN112740108A (ja)
IL (1) IL281300A (ja)
TW (1) TWI773923B (ja)
WO (1) WO2020057870A1 (ja)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2022020938A (ja) * 2020-07-21 2022-02-02 株式会社日本製鋼所 レーザアニール装置、レーザアニール方法、及び半導体装置の製造方法
JP7458935B2 (ja) * 2020-08-26 2024-04-01 キオクシア株式会社 計測装置、及び、計測方法
US12013355B2 (en) * 2020-12-17 2024-06-18 Kla Corporation Methods and systems for compact, small spot size soft x-ray scatterometry
CN113030139B (zh) * 2021-05-31 2021-08-13 中国工程物理研究院激光聚变研究中心 一种新型晶体及紧凑型成像装置

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05126769A (ja) * 1991-11-01 1993-05-21 Hitachi Ltd 表面分析方法および装置
US20050094764A1 (en) * 2002-03-28 2005-05-05 Carl Zeiss Smt Ag Collector unit with a reflective element for illumination systems with a wavelength of smaller than 193 nm
JP2013080921A (ja) * 2011-10-03 2013-05-02 Asml Holding Nv 検査装置、リソグラフィ装置、およびデバイス製造方法
JP2014006457A (ja) * 2012-06-27 2014-01-16 Jtec Corp 二重反射型x線ミラー及びそれを用いた斜入射x線結像光学装置
JP2016537681A (ja) * 2013-11-22 2016-12-01 カール・ツァイス・エスエムティー・ゲーエムベーハー Euv投影リソグラフィのための照明系
WO2017051890A1 (ja) * 2015-09-25 2017-03-30 国立大学法人大阪大学 X線顕微鏡

Family Cites Families (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI232357B (en) 2002-11-12 2005-05-11 Asml Netherlands Bv Lithographic apparatus and device manufacturing method
US7791727B2 (en) 2004-08-16 2010-09-07 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US7372058B2 (en) * 2005-09-27 2008-05-13 Asml Netherlands B.V. Ex-situ removal of deposition on an optical element
US7481579B2 (en) 2006-03-27 2009-01-27 Jordan Valley Applied Radiation Ltd. Overlay metrology using X-rays
US7791724B2 (en) 2006-06-13 2010-09-07 Asml Netherlands B.V. Characterization of transmission losses in an optical system
US7701577B2 (en) 2007-02-21 2010-04-20 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
IL194839A0 (en) 2007-10-25 2009-08-03 Asml Netherlands Bv Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
NL1036123A1 (nl) 2007-11-13 2009-05-14 Asml Netherlands Bv Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method.
NL1036245A1 (nl) 2007-12-17 2009-06-18 Asml Netherlands Bv Diffraction based overlay metrology tool and method of diffraction based overlay metrology.
NL1036684A1 (nl) 2008-03-20 2009-09-22 Asml Netherlands Bv Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method.
NL1036685A1 (nl) 2008-03-24 2009-09-25 Asml Netherlands Bv Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method.
NL1036734A1 (nl) 2008-04-09 2009-10-12 Asml Netherlands Bv A method of assessing a model, an inspection apparatus and a lithographic apparatus.
NL1036857A1 (nl) 2008-04-21 2009-10-22 Asml Netherlands Bv Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method.
JP2011525713A (ja) 2008-06-26 2011-09-22 エーエスエムエル ネザーランズ ビー.ブイ. オーバレイ測定装置、リソグラフィ装置、及びそのようなオーバレイ測定装置を用いたデバイス製造方法
WO2010040696A1 (en) 2008-10-06 2010-04-15 Asml Netherlands B.V. Lithographic focus and dose measurement using a 2-d target
NL2003588A (en) * 2008-12-15 2010-06-16 Asml Holding Nv Reticle inspection systems and method.
CN102498441B (zh) 2009-07-31 2015-09-16 Asml荷兰有限公司 量测方法和设备、光刻系统以及光刻处理单元
JP2013506149A (ja) * 2009-09-24 2013-02-21 エーエスエムエル ホールディング エヌ.ブイ. 時間差レチクル検査
WO2011091877A1 (en) * 2010-01-27 2011-08-04 Asml Holding N.V. Holographic mask inspection system with spatial filter
NL2006229A (en) 2010-03-18 2011-09-20 Asml Netherlands Bv Inspection method and apparatus, and associated computer readable product.
WO2011160867A1 (en) * 2010-06-23 2011-12-29 Asml Holding N.V. Pneumatic bearing with bonded polymer film wear surface and production method thereof
NL2007176A (en) 2010-08-18 2012-02-21 Asml Netherlands Bv Substrate for use in metrology, metrology method and device manufacturing method.
NL2009004A (en) 2011-07-20 2013-01-22 Asml Netherlands Bv Inspection method and apparatus, and lithographic apparatus.
US10801975B2 (en) 2012-05-08 2020-10-13 Kla-Tencor Corporation Metrology tool with combined X-ray and optical scatterometers
NL2010717A (en) 2012-05-21 2013-11-25 Asml Netherlands Bv Determining a structural parameter and correcting an asymmetry property.
US10013518B2 (en) 2012-07-10 2018-07-03 Kla-Tencor Corporation Model building and analysis engine for combined X-ray and optical metrology
US9449781B2 (en) * 2013-12-05 2016-09-20 Sigray, Inc. X-ray illuminators with high flux and high flux density
US9823203B2 (en) * 2014-02-28 2017-11-21 Sigray, Inc. X-ray surface analysis and measurement apparatus
KR102355347B1 (ko) 2014-11-26 2022-01-24 에이에스엠엘 네델란즈 비.브이. 계측 방법, 컴퓨터 제품 및 시스템
JP6602388B6 (ja) 2015-03-25 2020-01-15 エーエスエムエル ネザーランズ ビー.ブイ. メトロロジ方法、メトロロジ装置、及びデバイス製造装置
KR102162234B1 (ko) 2015-06-17 2020-10-07 에이에스엠엘 네델란즈 비.브이. 레시피간 일치도에 기초한 레시피 선택
CN108431692B (zh) 2015-12-23 2021-06-18 Asml荷兰有限公司 量测方法、量测设备和器件制造方法

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05126769A (ja) * 1991-11-01 1993-05-21 Hitachi Ltd 表面分析方法および装置
US20050094764A1 (en) * 2002-03-28 2005-05-05 Carl Zeiss Smt Ag Collector unit with a reflective element for illumination systems with a wavelength of smaller than 193 nm
JP2013080921A (ja) * 2011-10-03 2013-05-02 Asml Holding Nv 検査装置、リソグラフィ装置、およびデバイス製造方法
JP2014006457A (ja) * 2012-06-27 2014-01-16 Jtec Corp 二重反射型x線ミラー及びそれを用いた斜入射x線結像光学装置
JP2016537681A (ja) * 2013-11-22 2016-12-01 カール・ツァイス・エスエムティー・ゲーエムベーハー Euv投影リソグラフィのための照明系
WO2017051890A1 (ja) * 2015-09-25 2017-03-30 国立大学法人大阪大学 X線顕微鏡

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
JUMPEI YAMADA ET AL.: "Simulation of concave-convex imaging mirror system for development of a compact and achromatic full-", APPLIED OPTICS, vol. 56, no. 4, JPN6022044703, 1 February 2017 (2017-02-01), pages 967 - 974, ISSN: 0005062091 *
SATOSHI MATSUYAMA ET AL.: "50-nm-resolution full-field X-ray microscope without chromatic aberration using total-reflection ima", SCIENTIFIC REPORTS, vol. 7:46358, JPN6022044704, 13 April 2017 (2017-04-13), ISSN: 0005062092 *

Also Published As

Publication number Publication date
TW202024801A (zh) 2020-07-01
IL281300A (en) 2021-04-29
KR20210044289A (ko) 2021-04-22
US20200100350A1 (en) 2020-03-26
US11129266B2 (en) 2021-09-21
EP3627226A1 (en) 2020-03-25
CN112740108A (zh) 2021-04-30
TWI773923B (zh) 2022-08-11
WO2020057870A1 (en) 2020-03-26

Similar Documents

Publication Publication Date Title
CN110799903B (zh) 确定边缘粗糙度参数
KR102507137B1 (ko) 검사 장치용 조명 소스, 검사 장치 및 검사 방법
JP2022501584A (ja) 光学系、メトロロジ装置、及び関連の方法
US10725381B2 (en) Optical systems, metrology apparatus and associated method
TW202212989A (zh) 用於量測曝光圖案之度量衡方法及相關度量衡設備
KR20220066963A (ko) 측정 방법 및 측정 장치
US20230221659A1 (en) Assembly for collimating broadband radiation
JP7353380B2 (ja) 反射回折格子の製造
TWI795975B (zh) 基於從繞射結構產生高階諧波之度量衡設備及度量衡方法
EP3792673A1 (en) Assembly for collimating broadband radiation
NL2021670A (en) Optical system, metrology apparatus and associated method
KR20210121151A (ko) 반사기 제조 방법 및 관련된 반사기

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20210422

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20220425

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20220506

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20220804

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20221024

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20230519