KR20210044289A - 광학 시스템, 계측 장치 및 관련 방법 - Google Patents

광학 시스템, 계측 장치 및 관련 방법 Download PDF

Info

Publication number
KR20210044289A
KR20210044289A KR1020217009388A KR20217009388A KR20210044289A KR 20210044289 A KR20210044289 A KR 20210044289A KR 1020217009388 A KR1020217009388 A KR 1020217009388A KR 20217009388 A KR20217009388 A KR 20217009388A KR 20210044289 A KR20210044289 A KR 20210044289A
Authority
KR
South Korea
Prior art keywords
reflector
optical system
walter
image
reflector system
Prior art date
Application number
KR1020217009388A
Other languages
English (en)
Inventor
부어스트 페터 데니 반
Original Assignee
에이에스엠엘 네델란즈 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠엘 네델란즈 비.브이. filed Critical 에이에스엠엘 네델란즈 비.브이.
Publication of KR20210044289A publication Critical patent/KR20210044289A/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/9501Semiconductor wafers
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/956Inspecting patterns on the surface of objects
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70908Hygiene, e.g. preventing apparatus pollution, mitigating effect of pollution or removing pollutants from apparatus
    • G03F7/70925Cleaning, i.e. actively freeing apparatus from pollutants, e.g. using plasma cleaning
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05GX-RAY TECHNIQUE
    • H05G2/00Apparatus or processes specially adapted for producing X-rays, not involving X-ray tubes, e.g. involving generation of a plasma
    • H05G2/001X-ray radiation generated from plasma
    • H05G2/003X-ray radiation generated from plasma being produced from a liquid or gas
    • H05G2/005X-ray radiation generated from plasma being produced from a liquid or gas containing a metal as principal radiation generating component
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05GX-RAY TECHNIQUE
    • H05G2/00Apparatus or processes specially adapted for producing X-rays, not involving X-ray tubes, e.g. involving generation of a plasma
    • H05G2/001X-ray radiation generated from plasma
    • H05G2/008X-ray radiation generated from plasma involving a beam of energy, e.g. laser or electron beam in the process of exciting the plasma

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Health & Medical Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Optics & Photonics (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Public Health (AREA)
  • Epidemiology (AREA)
  • Biochemistry (AREA)
  • General Health & Medical Sciences (AREA)
  • Immunology (AREA)
  • Analytical Chemistry (AREA)
  • Pathology (AREA)
  • Chemical & Material Sciences (AREA)
  • Atmospheric Sciences (AREA)
  • Environmental & Geological Engineering (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Length-Measuring Devices Using Wave Or Particle Radiation (AREA)
  • Inspection Of Paper Currency And Valuable Securities (AREA)
  • Prostheses (AREA)
  • Holo Graphy (AREA)

Abstract

계측 장치에서 기판의 관심 영역 상에 방사선 빔(B)을 포커싱하기 위한 광학 시스템(400)에 관해 기술되어 있다. 방사선 빔은 연질 X-선 또는 극자외선 스펙트럼 범위의 방사선을 포함한다. 광학 시스템은 제1 반사기 시스템(410) 및 제2 반사기 시스템(412)을 포함한다. 제1 및 제2 반사기 시스템(410, 412)은 각각 유한-대-유한 월터 반사기 시스템을 포함한다. 광학 시스템(400)은, 관심 영역 상에, 방사선 빔(B)의 겉보기 소스를 포함하는 대상물(416)의 축소 이미지(414)를 형성하도록 구성된다.

Description

광학 시스템, 계측 장치 및 관련 방법
본 출원은 2018년 9월 20일자로 출원된 EP 출원 제18195638.4호에 대해 우선권을 주장하며, 이러한 문헌의 내용은 원용에 의해 전체적으로 본 명세서에 포함된다.
본 발명은 계측 장치를 위한 광학 시스템 및 이와 관련된 방법에 관한 것이지만 배타적인 것은 아니다.
리소그래피 장치는 기판 상에 원하는 패턴을 적용하도록 구성된 기기이다. 리소그래피 장치는, 예를 들어 집적 회로(IC)의 제조에 사용될 수 있다. 리소그래피 장치는, 예를 들어, 패터닝 디바이스(예를 들어, 마스크)에 있는 패턴(또는 "설계 레이아웃" 또는 "설계"로도 지칭됨)을 기판(예를 들어, 웨이퍼) 상에 제공된 방사선-감응 재료(레지스트)의 층 상에 투영할 수 있다.
기판 상에 패턴을 투영하기 위해 리소그래피 장치는 전자기 방사선을 사용할 수 있다. 이러한 방사선의 파장은 기판 상에 형성될 수 있는 피처의 최소 크기를 결정한다. 현재 사용되는 전형적인 파장은 365nm(i-line), 248nm, 193nm 및 13.5nm 이다. 4-20 nm 범위, 예를 들어 6.7 nm 또는 13.5 nm 의 파장을 갖는 극자외(EUV) 방사선을 사용하는 리소그래피 장치는, 예컨대 파장 193 nm의 방사선을 사용하는 리소그래피 장치보다 기판 상에 더 작은 피처를 형성하기 위해 사용될 수 있다.
리소그래피 장치의 전통적인 분해능 한계보다 작은 치수를 갖는 피처를 처리하기 위해 로우-k1 리소그래피가 사용될 수 있다. 이러한 프로세스에서, 분해능 식은 CD = k1 × λ/NA로 표현될 수 있으며, 여기서 λ는 채용된 방사선의 파장이고, NA는 리소그래피 장치에서의 투영 광학계의 개구수이고, CD는 "임계 치수"(일반적으로 인쇄되는 최소 피처 크기이지만, 이 경우 1/2 피치)이고, k1은 실험상 분해능 인자이다. 일반적으로, k1이 작을수록, 특별한 전기적 기능 및 성능을 달성하기 위해 회로 설계자가 계획한 형상 및 치수와 유사한 기판 상의 패턴을 재현하는 것이 더 어려워진다. 이러한 어려움을 극복하기 위해, 정교한 미세 조정 단계가 리소그래피 투영 장치 및/또는 설계 레이아웃에 적용될 수 있다. 예를 들어 NA의 최적화, 커스터마이즈 조명 스킴, 위상 시프팅 패터닝 디바이스의 사용, 설계 레이아웃에서의 광학 근접 보정(OPC, 종종 "광학 및 프로세스 보정"이라고도 함)과 같은 설계 레이아웃의 다양한 최적화, 또는 "분해능 향상 기법"(RET)으로 일반적으로 규정되는 기타 다른 방법이 여기에 포함되지만 이에 제한되는 것은 아니다. 대안적으로, 리소그래피 장치의 안정성을 제어하기 위한 엄격한 제어 루프가 낮은 k1에서 패턴의 재현을 개선하기 위해 사용될 수 있다.
리소그래피 장치가 사용되는 집적 회로의 제조 공정 동안, 공정 제어 및 검증 목적을 위해 기판 상에 인쇄된 구조체에 대해 측정이 수행될 수 있다. 구조체에 대한 측정을 수행하기 위한 한 가지 옵션은 로우-k1 리소그래피로 생성될 수 있는 것과 같은 치수를 갖는 인쇄된 구조체의 특성을 분해할 수 있는 연질 X-선(SXR) 또는 EUV 방사선 빔을 채용하는 계측 장치 또는 검사 장치를 사용하는 것이다. SXR 또는 EUV 방사선 빔을 생성하기 위해 다양한 소스가 이용가능하지만, 특정 기준을 충족하는 방식으로 방사선 빔을 조작하는 것과 관련된 다양한 과제가 있다.
본 발명의 목적은 계측 장치에서 사용되는 방사선 빔을 조작하기 위한 공지된 시스템의 단점 및/또는 공지된 시스템과 관련된 문제점을 해소하고자 하는 것이다.
본 발명의 일 양태에 따르면, 계측 장치에서 기판의 관심 영역 상에 방사선 빔을 포커싱하기 위한 광학 시스템이 제공된다. 방사선 빔은 연질 X-선 또는 극자외선 스펙트럼 범위의 방사선을 포함할 수 있다. 광학 시스템은 제1 반사기 시스템 및 제2 반사기 시스템을 포함할 수 있다. 제1 및 제2 반사기 시스템은 각각 유한-대-유한 월터 반사기 시스템을 포함할 수 있다. 광학 시스템은 관심 영역 상에 대상물의 축소 이미지를 형성하도록 구성될 수 있다. 대상물은 방사선 빔의 겉보기 소스를 포함할 수 있다.
광학 시스템은 관심 영역에 있는 구조체에 대해 측정이 수행될 수 있도록 특정 기준을 충족하는 방식으로 방사선 빔을 조작할 수 있다. 유한-대-유한 월터 반사기 시스템은, 관심 영역으로부터 유한한 거리에 있는 방사선 빔의 겉보기 소스가 이러한 기준을 충족하는 이미징 성능으로 이미징되도록 할 수 있다. 이러한 유한-대-유한 이미징 능력은 광학 시스템이 비교적 콤팩트한 볼륨 내에 수용될 수 있도록 한다. 또한, 방사선 빔의 소스는 소스 및 광학 시스템을 포함하는 콤팩트한 패키지를 제공하기 위해 광학 시스템에 매우 가까이 제공될 수 있다. 월터 반사기 시스템은 광학 시스템의 축에 대해 최적으로 정렬되지 않은 대상물의 이미징의 면에서 및/또는 이미징되고 있는 대상물이 포인트 유사 형태가 아닌 경우 특정 이점을 제공할 수 있다. 월터 반사기 시스템은, 이러한 비-최적 정렬 및/또는 비-최적 대상물 크기를, SXR 또는 EUV 방사선 빔을 이미징하기 위해 특정한 다른 반사기 시스템으로 달성할 수 있는 것보다 더 큰 정도로 용인할 수 있다. 다시 말해서, 월터 반사기 시스템은 겉보기 소스가 축을 벗어나고 및/또는 포인트 유사 형태가 아님으로 인해 대상물 평면에 유한한 (0이 아닌) 필드를 생성하는 경우에도 기준을 충족할 수 있다. 월터 반사기 시스템을 이용하면, 특정한 다른 광학 시스템에 비해 엄격한 정렬 요건을 완화하고 및/또는 사용 또는 운송으로 인해 발생하는 광학 시스템의 불안정성 또는 움직임에 대해 더 큰 허용오차를 제공할 수 있다. 따라서, 월터 반사기 시스템은 특정한 다른 반사기 시스템에 비해 더 큰 안정성을 갖고 정렬 및 제조될 수 있다. 월터 반사기 시스템의 이미징 성능은 월터 반사기 시스템에 의해 유발된 수차가 특정한 다른 반사기 시스템에 의해 생성된 수차보다 적을 수도 있다. 월터 반사기 시스템은 대상물을 축소하는 면에서 특정한 다른 반사기 시스템에 비해 더 큰 유연성을 제공할 수 있다. 각각의 월터 반사기 시스템에 의해 제공되는 축소는, 각각의 월터 반사기 시스템이 독립적으로 원하는 축소 계수를 제공할 수 있도록 서로 분리될 수 있다. 일례로서, 월터 반사기 시스템은 대상물의 아이소모픽 이미징을 제공하도록 구성될 수 있으며, 이는 특정한 다른 타입의 반사기 시스템으로는 달성하지 못할 수도 있다.
각각의 유한-대-유한 월터 반사기 시스템은, 상기 대상물을 포함하는 대상물 평면을 따라 취해진 한 방향으로 대상물을 축소하여 상기 이미지를 포함하는 이미지 평면을 따라 취해진 대응하는 방향으로 상기 대상물의 대응하는 축소 이미지를 형성하도록 구성된 1차원 유한-대-유한 월터 반사기 시스템을 포함할 수 있다. 1차원 월터 반사기 시스템에 사용되는 반사기의 타입은 한 방향으로 만곡될 수 있다. 이러한 반사기는 광학 시스템이 기준을 충족하는 이미징 성능을 제공할 수 있도록 허용하는 공차 수준 및/또는 표면 품질로 제조 가능할 수 있다. 이러한 1차원 월터 반사기 시스템은, 두 방향으로 만곡된 반사기를 포함하는 현재 이용가능한 2차원 월터 반사기 시스템에 비하여 더 낮은 비용 수준 및/또는 더 만족스러운 이미징 성능을 제공할 수 있다.
제1 반사기 시스템은 대상물 평면을 따라 취해진 제1 방향으로 대상물을 축소하여 이미지 평면을 따라 취해진 대응하는 제1 방향으로 대상물의 대응하는 축소 이미지를 형성하도록 구성될 수 있다. 제2 반사기 시스템은 대상물 평면을 따라 취해진 제2 방향으로 대상물을 축소하여 이미지 평면을 따라 취해진 대응하는 제2 방향으로 대상물의 대응하는 축소 이미지를 형성하도록 구성될 수 있다.
광학 시스템은 상기 광학 시스템의 컴포넌트와 상기 관심 영역 사이에 규정된 자유 작동 거리가 10cm 이상이 되도록 구성될 수 있다. 자유 작동 거리는, 예를 들어 다른 컴포넌트 및/또는 방사선 빔과 볼륨 컨플릭트를 일으키지 않고 반사기가 수용될 수 있게 하는 것일 수 있다.
광학 시스템은 상기 제1 및 제2 반사기 시스템의 주 표면이 대상물보다 이미지에 더 가까운 교차점에서 대상물과 이미지 사이에 규정된 축과 교차하도록 구성될 수 있다. 주 표면이 대상물보다 이미지에 더 가까운 교차점과 교차하면 주 표면과 대상물(so) 사이의 거리와 주 표면과 이미지(si) 사이의 거리의 비율에 의해 규정될 수 있는 축소 계수를 제공할 수 있고, 이러한 비율은 so/si(so 나누기 si)와 같다.
제1 반사기 시스템의 주 표면은 제2 반사기 시스템의 주 표면과 실질적으로 동일한 교차점에서 상기 축과 교차할 수 있다. 이것은 제1 및 제2 반사기 시스템 모두에 대해 동일한 축소 계수를 제공할 수 있고, 이로써 광학 시스템이 대상물의 아이소모픽 축소 이미지를 형성할 수 있다.
광학 시스템은 제1 반사기 시스템의 축소 계수가 제2 반사기 시스템의 축소 계수와 실질적으로 동일하도록 구성될 수 있다. 축소 계수는 대상물의 치수와 이미지의 대응하는 치수 사이의 비율로 규정될 수 있다.
광학 시스템은 대상물의 아이소모픽 이미지 또는 애너모픽 이미지를 형성하기 위해 요구되는 축소 종횡비로 대상물을 이미징하도록 구성될 수 있다.
광학 시스템은 5 이상, 선택적으로 8 이상, 선택적으로 10 이상의 축소 계수만큼 대상물의 적어도 하나의 치수를 축소하도록 구성될 수 있다. 월터 반사기 시스템은 기준을 충족하는 이미징 성능을 제공하면서도 비교적 높은 축소 계수를 달성할 수 있다. 비교적 높은 곡률 반사기가 높은 축소 계수를 제공하기 위해 이용될 수 있다. 월터 반사기 시스템 구성은 높은 곡률 반사기의 경우에도 허용가능한 이미징 성능을 제공할 수 있다.
제1 반사기 시스템은 제1 반사기 시스템과 교차하는 적어도 하나의 입사 평면이 제2 반사기 시스템과 교차하는 적어도 하나의 입사 평면에 수직하도록 제2 반사기 시스템에 대해 배향될 수 있다.
광학 시스템은 제1 입사 평면이 제1 반사기 시스템의 각 반사기와 교차하고 제2 입사 평면이 제2 반사기 시스템의 각 반사기와 교차하도록 구성되며, 제1 및 제2 입사 평면은 서로 수직일 수 있다.
광학 시스템은, 제1 입사 평면이 제1 반사기 시스템의 1차 반사기와 교차하고, 제2 입사 평면이 제2 반사기 시스템의 1차 반사기와 교차하며, 제3 입사 평면이 제1 반사기 시스템의 2차 반사기와 교차하고, 제4 입사 평면이 제2 반사기 시스템의 2차 반사기와 교차하되, 제1 및 제3 입사 평면은 서로 평행하지 않으며, 제2 및 제4 입사 평면은 서로 평행하지 않고 제1 및 제3 입사 평면에 수직이 되도록 구성될 수 있다.
광학 시스템은 관심 영역에 관한 정보가, 관심 영역으로부터 반사, 산란, 및 회절 중 적어도 하나인 방사선으로부터 결정될 수 있도록 기판의 관심 영역 상에 방사선 빔을 포커싱하도록 구성될 수 있고, 선택적으로 기판은 집적 회로를 포함할 수 있거나 집적 회로의 제조에 사용 가능하다.
광학 시스템은 월터 타입 III 반사기 시스템, 및 추가적인 월터 타입 III 반사기 시스템과 월터 타입 I 반사기 시스템 중 하나를 포함할 수 있다. 광학 시스템의 일부로 제공되는 월터 타입 반사기 시스템의 특정 구성은, 회절 요소를 포함하는 반사기로부터 회절된 방사선의 일부를 측정하기 위한 선택적인 기준 검출기와 같은 반사기 근방의 다른 컴포넌트를 위한 공간을 제공하는 것일 수도 있다.
월터 타입 III 반사기 시스템의 1차 반사기는 타원-원통형 볼록 반사기를 포함할 수 있다. 월터 타입 III 반사기 시스템의 2차 반사기는 타원-원통형 오목 반사기를 포함할 수 있다.
월터 타입 I 반사기 시스템의 1차 반사기는 타원-원통형 오목 반사기를 포함할 수 있다. 월터 타입 I 반사기 시스템의 2차 반사기는 쌍곡-원통형 오목 반사기를 포함할 수 있다.
제1 반사기 시스템은 월터 타입 III 반사기 시스템을 포함할 수 있다.
제2 반사기 시스템은 추가적인 월터 타입 III 반사기 시스템을 포함할 수 있다.
광학 시스템은, 대상물과 이미지 사이에 순차적으로, 월터 타입 III 반사기 시스템, 뒤이어 상기 추가적인 월터 타입 III 반사기 시스템을 포함할 수 있다.
광학 시스템은, 대상물과 이미지 사이에 순차적으로, 월터 타입 III 반사기 시스템의 1차 반사기, 뒤이어 상기 추가적인 월터 타입 III 반사기 시스템의 1차 반사기, 뒤이어 월터 타입 III의 2차 반사기, 뒤이어 상기 추가적인 월터 타입 III 반사기 시스템의 2차 반사기를 포함할 수 있다.
제2 반사기 시스템은 월터 타입 I 반사기 시스템을 포함할 수 있다. 광학 시스템은, 대상물과 이미지 사이에 순차적으로, 월터 타입 III 반사기 시스템, 뒤이어 상기 월터 타입 I 반사기 시스템을 포함할 수 있다.
제1 반사기 시스템 및 제2 반사기 시스템 중 적어도 하나는 방사선 빔의 회절된 스펙트럼 성분을 공간적으로 분리하기 위한 회절 요소를 포함할 수 있다. 회절 요소에 의해, 계측 측정의 목적으로 빔의 스펙트럼 함량을 분석하기 위해 소스의 성능이 모니터링 및/또는 사용될 수 있다.
회절 요소는 제1 반사기 시스템의 2차 반사기의 일부로서 제공될 수 있다.
광학 시스템은, 대상물과 이미지 사이에 규정되는 주 광선(chief ray)의 경로길이가 3미터 이하, 선택적으로 1.5미터 이하가 되도록 구성될 수 있다. 특정한 다른 광학 시스템에 비해 비교적 짧은 경로길이로 인하여, 광학 시스템이 비교적 콤팩트한 볼륨 및/또는 풋프린트 내에 수용될 수 있다.
본 발명의 일 양태에 따르면 계측 또는 검사 장치가 제공된다. 계측 또는 검사 장치는 기판을 수용하고 제어 가능한 위치에 유지하기 위한 기판 테이블을 포함할 수 있다. 계측 또는 검사 장치는 조명 방사선 빔을 생성하기 위한 방사선 소스를 포함할 수 있다. 계측 또는 검사 장치는 기판 상의 관심 영역에 조명 방사선 빔을 포커싱하기 위한 임의의 양태 또는 실시예에 따른 광학 시스템을 포함할 수 있다.
본 발명의 일 양태에 따르면, 계측 장치에서 기판의 관심 영역 상에 방사선 빔을 포커싱하기 위한 광학 시스템을 이용하는 방법이 제공된다. 방사선 빔은 연질 X-선 또는 극자외선 스펙트럼 범위의 방사선을 포함할 수 있다. 방법은 제1 반사기 시스템 및 제2 반사기 시스템을 포함하는 광학 시스템을 제공하는 단계를 포함할 수 있다. 제1 및 제2 반사기 시스템은 각각 유한-대-유한 월터 반사기 시스템을 포함할 수 있다. 방법은 관심 영역 상에 대상물의 축소 이미지를 형성하는 방법을 포함할 수 있다. 대상물은 방사선 빔의 겉보기 소스를 포함할 수 있다.
본 발명의 일 양태에 따르면, 기판 상에 제조된 구조체의 일부의 관심 특성을 결정하기 위한 계측 장치가 제공된다. 계측 장치는 본 명세서에 기술된 임의의 양태 또는 실시예에 따른 광학 시스템을 포함할 수 있다.
본 발명의 일 양태에 따르면 기판 상에 제조된 구조체의 일부를 검사하기 위한 검사 장치가 제공된다. 검사 장치는 본 명세서에 기술된 임의의 양태 또는 실시예에 따른 광학 시스템을 포함할 수 있다.
본 발명의 일 양태에 따르면 리소 셀이 제공된다. 리소 셀은 본 명세서에 기술된 임의의 양태 또는 실시예에 따른 검사 장치를 포함할 수 있다.
본 명세서에 기술된 임의의 양태 또는 실시예의 적어도 하나의 특징은 본 명세서에 기술된 임의의 양태 또는 실시예의 임의의 대응하는 특징을 대체할 수 있다. 본 명세서에 기재된 임의의 양태 또는 실시예의 적어도 하나의 특징은 본 명세서에 기술된 임의의 다른 양태 또는 실시예와 조합될 수 있다.
이제 본 발명의 실시예에 관해, 첨부된 도면을 참조로 하여 단지 예시의 목적으로 설명할 것이다.
- 도 1은 리소그래피 장치의 개략도이다.
- 도 2는 리소그래피 셀의 개략도이다.
- 도 3은 반도체 제조를 최적화하기 위한 세 가지 핵심 기술 간의 협력을 나타내는 홀리스틱 리소그래피의 개략적인 도면을 나타낸다.
- 도 4은 계측 장치의 개략도이다.
- 도 5a 내지 5b는 각각 일 실시예에 따른 광학 시스템의 개략도를 나타낸다.
- 도 6a 내지 6b는 각각 또다른 실시예에 따른 광학 시스템의 개략도를 나타낸다.
- 도 7a 내지 7b는 각각 또다른 실시예에 따른 광학 시스템의 개략도를 나타낸다.
본 개시내용에서, 용어 "방사선" 및 "빔"은 자외선(예를 들어, 365, 248, 193, 157 또는 126 nm의 파장을 갖는 방사선) 및 EUV(예를 들어 약 5-100 nm 범위의 파장을 갖는 극 자외선), 그리고 SXR(예를 들어 약 5-100 nm 범위의 파장을 갖는 연질 X-선)를 포함하는 모든 타입의 전자기 방사선을 포괄하기 위해 사용된다.
본 명세서에 사용되는 용어 "레티클", "마스크" 또는 "패터닝 디바이스"는, 기판의 타겟부에 생성될 패턴에 대응하여, 입사 방사선 빔에 패터닝된 단면을 부여하는 데 사용될 수 있는 일반적인 패터닝 디바이스를 지칭하는 것으로 넓게 해석될 수 있다. "광 밸브"라는 용어 또한 이와 관련하여 사용될 수 있다. 전통적인 마스크(투과형 또는 반사형, 바이너리, 위상 시프팅, 하이브리드 등) 이외에 다른 패터닝 디바이스의 예는, 프로그래밍 가능한 미러 어레이 및 프로그래밍 가능한 LCD 어레이를 포함한다.
도 1은 리소그래피 장치(LA)를 개략적으로 나타낸다. 리소그래피 장치(LA)는 방사선 빔(B)(예를 들어, UV 방사선, DUV 방사선 또는 EUV 방사선)을 컨디셔닝하도록 구성된 조명 시스템(조명기로도 지칭됨)(IL), 패터닝 디바이스(예를 들어, 마스크)(MA)를 지지하도록 구성되고 소정 파라미터들에 따라 패터닝 디바이스(MA)를 정확히 위치설정하도록 구성된 제1 위치 설정기(PM)에 연결되는 마스크 지지체(예를 들어, 마스크 테이블)(MT), 기판(예를 들어, 레지스트 코팅된 웨이퍼)(W)을 유지하도록 구성되고 소정 파라미터들에 따라 기판 지지체를 정확히 위치설정하도록 구성된 제2 위치 설정기(PW)에 연결되는 기판 지지체(예를 들어, 웨이퍼 테이블)(WT); 및 기판(W)의 타겟부(C)(예를 들어, 하나 이상의 다이를 포함함) 상에 패터닝 디바이스(MA)에 의해 방사선 빔(B)에 부여된 패턴을 투영하도록 구성된 투영 시스템(예를 들어, 굴절형 투영 렌즈 시스템)(PS)을 포함한다.
동작 시에 조명 시스템(IL)은, 예컨대 빔 전달 시스템(BD)을 통해 방사선 소스(SO)로부터 방사선 빔을 수광한다. 조명 시스템(IL)은 방사선을 지향, 성형 및/또는 제어하기 위해 굴절형, 반사형, 자기형, 전자기형, 정전형 및/또는 기타 다른 유형의 광학 컴포넌트 또는 이들의 임의의 조합과 같은 다양한 유형의 광학 컴포넌트를 포함할 수 있다. 조명기(IL)는 패터닝 디바이스(MA)의 평면에서 방사선 빔(B)의 단면에 원하는 공간 및 각도 세기 분포를 갖도록 방사선 빔(B)을 컨디셔닝하는 데 사용될 수 있다.
본 명세서에서 사용된 "투영 시스템"(PS)이라는 용어는, 사용되는 노광 방사선에 적합하고 및/또는 액침액의 사용 또는 진공의 사용과 같은 다른 요인들에 대해 적합한 것으로서, 굴절형, 반사형, 반사굴절형, 애너모픽, 자기형, 전자기형 및/또는 정전형 광학 시스템 또는 이들의 임의의 조합을 포함하는 다양한 유형의 투영 시스템을 포괄하는 것으로 폭넓게 해석되어야 한다. 본 명세서에서 "투영 렌즈"라는 용어의 어떠한 사용도 "투영 시스템"(PS)이라는 좀 더 일반적인 용어와 동의어로 간주될 수 있다.
리소그래피 장치(LA)는 투영 시스템(PS)과 기판(W) 사이의 공간을 채우도록, 비교적 높은 굴절률을 갖는 액체, 예를 들어 물로 기판의 적어도 일부가 덮일 수 있는 유형일 수도 있고, 이는 또한 액침 리소그래피라 불린다. 액침 기술에 대한 추가 정보는 US6952253에 제공되어 있으며, 이는 본 명세서에 원용에 의해 통합된다.
리소그래피 장치(LA)는 또한 2개 이상의 기판 지지체(WT)(또한 "이중 스테이지"라고도 함)를 갖는 유형일 수도 있다. 이러한 "다중 스테이지" 기기에서는, 기판 지지체(WT)가 병렬적으로 사용될 수 있고, 및/또는 기판(W)의 후속 노광을 준비하는 단계가 기판 지지체(WT) 중 하나에 위치된 기판(W) 상에서 수행되면서, 나머지 기판 지지대(WT) 상의 또 다른 기판(W)은 이러한 다른 기판(W) 상에 패턴을 노광하기 위해 이용될 수 있다.
기판 지지체(WT) 이외에, 리소그래피 장치(LA)는 측정 스테이지를 포함할 수 있다. 측정 스테이지는 센서 및/또는 세정 디바이스를 유지하도록 배열된다. 센서는 투영 시스템(PS)의 특성 또는 방사선 빔(B)의 특성을 측정하도록 배열될 수 있다. 측정 스테이지는 다수의 센서를 보유할 수 있다. 세정 디바이스는 리소그래피 장치의 일부, 예를 들어 투영 시스템(PS)의 일부 또는 액침액을 제공하는 시스템의 일부를 세정하도록 배열될 수 있다. 기판 지지체(WT)가 투영 시스템(PS)으로부터 떨어져 있을 때 측정 스테이지는 투영 시스템(PS) 아래로 이동할 수 있다.
동작 시에, 방사선 빔(B)은 마스크 지지체(MT) 상에 유지되는 패터닝 디바이스, 예컨대 마스크(MA) 상에 입사되고, 패터닝 디바이스(MA) 상에 존재하는 패턴(설계 레이아웃)에 의해 패터닝된다. 마스크(MA)를 거친 후에, 방사선 빔(B)은 투영 시스템(PS)을 통과하게 되며, 투영 시스템(PS)은 기판(W)의 타겟부(C) 상으로 빔을 포커싱한다. 제2 위치설정기(PW) 및 위치 측정 시스템(IF)의 도움으로, 기판 지지체(WT)는 예를 들어, 방사선 빔(B)의 경로 내에서 포커싱되고 및 정렬된 위치에 다양한 타겟부(C)를 위치설정하기 위해 정확하게 이동될 수 있다. 마찬가지로, 제1 위치 설정기(PM) 및 가능하게는 또 다른 위치 센서(도 1에는 명확히 도시되지는 않음)가 방사선 빔(B)의 경로에 대해 패터닝 디바이스(MA)를 정확히 위치설정하기 위해 사용될 수 있다. 패터닝 디바이스(MA) 및 기판(W)은 마스크 정렬 마크(M1, M2) 및 기판 정렬 마크(P1, P2)를 사용하여 정렬될 수 있다. 도시된 바와 같이 기판 정렬 마크(P1, P2)는 전용화된 타겟부를 점유하지만, 이들은 타겟부 사이의 공간에 위치할 수도 있다. 기판 정렬 마크(P1, P2)는 이들이 타겟부(C) 사이에 위치될 때 스크라이브-레인 정렬 마크로 알려져 있다.
도 2에 도시된 바와 같이, 리소그래피 장치(LA)는 리소그래피 셀(LC)의 일부를 형성할 수 있는데, 이는 때때로 리소셀 또는(리소) 클러스터라고도 하며, 종종 기판(W)에 노광 전 및 노광 후 프로세스를 수행하는 장치를 포함한다. 통상적으로 이들은 레지스트 층을 증착하기 위한 스핀 코터(SC), 노광된 레지스트를 현상하기 위한 현상기(DE), 냉각 플레이트(CH) 및 베이크 플레이트(BK)를 포함하며, 이들은 예를 들어 기판(W)의 온도를 컨디셔닝하기 위한 것이며, 예컨대 레지스트 층에서 용매를 컨디셔닝하기 위한 것이다. 기판 핸들러 또는 로봇(RO)이 입력/출력 포트(I/O1, I/O2)로부터 기판(W)을 픽업하여, 이를 상이한 프로세스 장치 간에 이동시키며, 리소그래피 장치(LA)의 로딩 베이(LB)에 기판(W)을 전달한다. 통칭하여 트랙으로도 지칭되는 리소셀 내의 이들 디바이스는 통상적으로 감독 제어 시스템(SCS)에 의해 제어될 수 있는 트랙 제어 유닛(TCU)의 제어 하에 있게 되며, 감독 제어 시스템은 또한 예컨대 리소그래피 제어 유닛(LACU)을 통해 리소그래피 장치를 제어할 수 있다.
리소그래피 장치(LA)에 의해 노광되는 기판(W)이 정확하고 일관되게 노광되도록, 기판을 검사하여 후속하는 층들 간의 오버레이 오차, 라인 두께, 임계 치수(CD) 등의 패터닝된 구조체의 속성을 측정하는 것이 바람직하다. 이를 위해, 검사 툴(미도시)이 리소 셀(LC)에 포함될 수 있다. 오차가 검출되는 경우, 특히 동일한 배치(batch) 또는 로트의 다른 기판(W)이 노광되거나 처리되기 전에 검사가 수행된다면, 예를 들어 후속 기판의 노광에 대해 또는 기판(W) 상에서 수행될 다른 처리 단계에 대해 조정이 이루어질 수 있다.
검사 장치(계측 장치라고도 칭할 수도 있음)가 기판(W)의 속성을 결정하는 데 사용되며, 특히 동일한 기판(W)의 상이한 층들과 연관되는 속성이 층마다 어떻게 달라지는지 또는 상이한 기판들(W)의 속성이 어떻게 달라지는지를 결정하는 데 사용된다. 검사 장치는 대안적으로 기판(W) 상의 결함을 식별하도록 구성될 수 있고, 예를 들어 리소 셀(LC)의 일부일 수 있거나, 리소그래피 장치(LA)에 통합될 수 있거나, 심지어 독립형 디바이스일 수도 있다. 검사 장치는 잠상(노광 후 레지스트 층의 이미지) 또는 반잠상 이미지(노광후 베이크 단계(PEB) 이후 레지스트 층의 이미지), 또는 현상된 레지스트 이미지(레지스트의 노광된 부분 또는 노광되지 않은 부분이 제거됨), 또는 심지어 에칭된 이미지(에칭 등의 패턴 전사 단계 이후)에 대해 속성을 측정할 수 있다.
일반적으로 리소그래피 장치(LA)에서의 패터닝 프로세스는 기판(W) 상의 구조체의 치수설정 및 배치의 높은 정확도를 요하는 처리에 있어서 가장 중요한 단계 중 하나이다. 이러한 높은 정확도를 보장하기 위해 도 3에 개략적으로 도시된 바와 같이 3개의 시스템이 소위 "홀리스틱" 제어 환경으로 조합될 수 있다. 이들 시스템 중 하나는 계측 툴(MT)(제2 시스템) 및 컴퓨터 시스템(CL)(제3 시스템)에 (가상으로) 연결된 리소그래피 장치(LA)이다. 이러한 "홀리스틱" 환경의 핵심은 이들 3개의 시스템 사이의 협력을 최적화하여 전체적인 프로세스 윈도우를 향상시키고 리소그래피 장치(LA)에 의해 수행된 패터닝이 프로세스 윈도우 내에 유지되도록 엄격한 제어 루프를 제공하는 것이다. 프로세스 윈도우는 특정 제조 프로세스가 규정된 결과(예를 들어, 기능 반도체 디바이스)를 생성하게 되는 프로세스 파라미터(예를 들어, 선량, 포커스, 오버레이)의 범위를 규정한다 - 일반적으로 이러한 윈도우 내에서 리소그래피 프로세스 또는 패터닝 프로세스의 프로세스 파라미터가 변화하도록 허용된다.
컴퓨터 시스템(CL)은, 어떤 분해능 향상 기법을 사용할지를 예측하고 어느 마스크 레이아웃 및 리소그래피 장치 설정이 패터닝 프로세스의 가장 큰 전체 프로세스 윈도우를 달성하는지를 결정하도록 컴퓨테이션 리소그래피 시뮬레이션 및 계산을 수행하기 위해 패터닝될 설계 레이아웃(의 일부)을 사용할 수 있다(도 3에서 첫 번째 스케일 SC1에 양방향 화살표로 표시됨). 전형적으로, 분해능 향상 기법은 리소그래피 장치(LA)의 패터닝 가능성과 매칭되도록 구성된다. 컴퓨터 시스템(CL)은 또한, 예컨대 최적이 아닌 처리로 인해 결함이 존재할 수 있는지를 예측하기 위해, (예를 들어, 계측 툴(MT)으로부터의 입력을 사용하여) 프로세스 윈도우 내의 어느 곳에서 리소그래피 장치(LA)가 현재 작동하는 중인지를 검출하기 위해 사용될 수도 있다(예를 들어, 도 3에서 두 번째 스케일 SC2에 "0"을 가리키는 화살표로 도시됨).
계측 툴(MT)은 정확한 시뮬레이션 및 예측을 가능하게 하도록 컴퓨터 시스템(CL)에 입력을 제공할 수 있고, 예를 들면 리소그래피 장치(LA)의 교정 상태에 있어서, 가능한 드리프트를 식별하기 위해 리소그래피 장치(LA)에 피드백을 제공할 수 있다(도 3에서 세 번째 스케일 SC3에 다수의 화살표로 도시됨).
리소그래피 프로세스에서는, 예를 들어 프로세스 제어 및 검증을 위해 생성된 구조체를 자주 측정하는 것이 바람직하다. 이러한 측정을 수행하는 툴은 일반적으로 계측 툴(MT)이라고 한다. 주사 전자 현미경 또는 다양한 형태의 스케터로미터 계측 툴(MT)을 포함하여, 이러한 측정을 수행하기 위한 다양한 유형의 계측 툴(MT)이 알려져 있다. 스캐터로미터는, 이러한 스캐터로미터의 대물계의 퓨필 평면 또는 퓨필 평면과 공액인 평면에 센서를 구비함으로써(이 경우 측정은 일반적으로 퓨필 기반 측정이라 함) 또는 이미지 평면 또는 이러한 이미지 평면에 공액인 평면에 센서를 구비함으로써(이 경우 측정은 이미지 또는 필드 기반 측정이라 함) 리소그래피 프로세스의 파라미터의 측정을 가능하게 하는 다목적 기구이다. 이러한 스캐터로미터 및 관련 측정 기법은 특허 출원 US20100328655, US2011102753A1, US20120044470A, US2010049470A, US20110249244, US20110026032 또는 EP1,628,164A에 추가로 설명되어 있으며, 이러한 문헌의 내용은 원용에 의해 본 명세서에 포함된다. 전술한 스캐터로미터는 연질 x-선 및 가시광선에서부터 근적외선 파장 범위의 광을 사용하여 격자를 측정할 수 있다.
제1 실시예에서, 스캐터로미터(MT)는 각도 분해 스캐터로미터이다. 이러한 스캐터로미터에서 격자의 특성을 재구성하거나 계산하기 위해 측정된 신호에 재구성 방법이 적용될 수 있다. 이러한 재구성은, 예를 들어 산란된 방사선과 타겟 구조체의 수학적 모델과의 상호 작용을 시뮬레이션하고 그 시뮬레이션 결과와 측정의 결과를 비교한 결과일 수 있다. 수학적 모델의 파라미터는 시뮬레이션된 상호 작용이 실제 타겟으로부터 관측된 것과 유사한 회절 패턴을 생성할 때까지 조정된다.
제2 실시예에서, 스캐터로미터(MT)는 분광 스캐터로미터이다. 이러한 분광 스캐터로미터(MT)에서, 방사선 소스에 의해 방출된 방사선은 타겟으로 지향되고 타겟으로부터 반사 또는 산란된 방사선은 분광계 검출기로 지향되어, 정반사된 방사선의 스펙트럼(즉, 파장의 함수로서의 세기 측정)을 측정하게 된다. 이러한 데이터로부터, 검출된 스펙트럼을 발생시키는 타겟의 구조 또는 프로파일이 예를 들어 엄격 결합파 분석 및 비선형 회귀에 의해 또는 시뮬레이션된 스펙트럼의 라이브러리와의 비교에 의해 재구성될 수 있다.
제3 실시예에서, 스캐터로미터(MT)는 타원계측 스캐터로미터이다. 타원계측 스캐터로미터는 각각의 편광 상태에 대해 산란된 방사선을 측정함으로써 리소그래피 프로세스의 파라미터를 결정할 수 있게 한다. 이러한 계측 장치는 예를 들어 계측 장치의 조명 섹션에서 적절한 편광 필터를 사용함으로써 편광된 광(예를 들어, 선형, 원형 또는 타원형 편광된 광)을 방출한다. 계측 장치에 적합한 소스는 또한 편광된 방사선을 제공할 수 있다. 기존의 타원계측 스캐터로미터의 다양한 실시예가 미국 특허 출원 11/451,599, 11/708,678, 12/256,780, 12/486,449, 12/920,968, 12/922,587, 13/000,229, 13/033,135, 13/533,110 및 13/891,410 등에 개시되어 있으며, 이들 문헌은 원용에 의해 전체로서 본원에 포함된다.
스캐터로미터(MT)의 일 실시예에서, 스캐터로미터(MT)는 반사 스펙트럼 및/또는 검출 구성에서 비대칭을 측정함으로써 2개의 오정렬된 격자 또는 주기적 구조체의 오버레이를 측정하도록 적응되며, 비대칭은 오버레이의 정도와 관련된다. 2개의 (전형적으로 중첩되는) 격자 구조체가 2개의 상이한 층(반드시 연속된 층일 필요는 없음)에 적용될 수 있고, 웨이퍼 상의 실질적으로 동일한 위치에 형성될 수 있다. 스캐터로미터는 예를 들어 공동 특허 출원 EP1,628,164A에 기술된 바와 같은 대칭적인 검출 구성을 가질 수 있고, 따라서 임의의 비대칭이 명확하게 구별될 수 있다. 이는 격자의 오정렬을 측정하는 간단한 방법을 제공하게 된다. 주기적 구조체의 비대칭을 통해 타겟이 측정될 때 주기적 구조체를 함유하는 2개의 층 사이의 오버레이 오차를 측정하기 위한 추가의 예는 PCT 특허 출원 공보 WO 2011/012624 또는 미국 특허 출원 US 20160161863에서 찾을 수 있고, 이러한 문헌은 원용에 의해 전체 내용이 본원에 통합된다.
관심 있는 다른 파라미터는 초점과 선량일 수 있다. 초점 및 선량은 미국 특허 출원 US2011-0249244에 기재된 바와 같이 산란계측에 의해(또는 대안적으로 주사 전자 현미경에 의해) 동시에 결정될 수도 있으며, 이러한 문헌의 내용은 원용에 의해 전체로서 본원에 통합된다. 초점 에너지 매트릭스(FEM - 초점 노출 매트릭스라고도 함)에서 각각의 포인트에 대한 임계 치수 및 측벽 각도 측정치의 고유한 조합을 갖는 단일 구조체가 사용될 수도 있다. 임계 치수와 측벽 각도의 이러한 고유한 조합을 사용할 수 있는 경우 초점 및 선량 값은 이러한 측정치로부터 고유하게 결정될 수 있다.
계측 타겟은, 주로 레지스트에, 하지만 예컨대 에칭 프로세스 이후에도, 리소그래피 프로세스에 의해 형성된 복합 격자의 앙상블일 수 있다. 전형적으로 격자 내의 구조체의 피치 및 라인폭은 계측 타겟으로부터 기인한 회절 차수를 캡처할 수 있도록 하는 측정 광학계(특히 광학계의 NA)에 크게 의존한다. 앞서 언급한 바와 같이, 회절된 신호는 두 개의 층들 사이의 시프트('오버레이'라고도 함)를 결정하는 데 사용되거나 리소그래피 프로세스에 의해 생성된 원래 격자의 적어도 일부를 재구성하는 데 사용될 수 있다. 이러한 재구성은 리소그래피 프로세스의 품질에 대한 안내를 제공하는 데 사용될 수 있으며 리소그래피 프로세스의 적어도 일부를 제어하는 데 사용될 수 있다. 타겟은 더 작은 하위 세그먼트들을 가질 수 있고, 이들은 타겟에서 설계 레이아웃의 기능적 부분의 치수를 모방하도록 구성된다. 이러한 하위 세그먼트화로 인해, 전체 프로세스 파라미터 측정이 설계 레이아웃의 기능적 부분에 더 유사하게 되도록 타겟은 설계 레이아웃의 기능적 부분과 더 유사하게 거동할 것이다. 타겟은 언더필 모드 또는 오버필 모드로 측정될 수 있다. 언더필 모드에서는 측정 빔이 전체 타겟보다 작은 스폿을 생성한다. 오버필 모드에서는 측정 빔이 전체 타겟보다 큰 스폿을 생성한다. 이러한 오버필 모드에서는, 상이한 타겟을 동시에 측정하여 상이한 프로세싱 파라미터를 동시에 결정할 수도 있다.
특정 타겟을 사용하는 리소그래피 파라미터의 전체적인 측정 품질은 이러한 리소그래피 파라미터를 측정하는 데에 사용되는 측정 레시피에 의해 적어도 부분적으로 결정된다. "기판 측정 레시피"라는 용어는 측정 자체의 하나 이상의 파라미터, 측정된 하나 이상의 패턴의 하나 이상의 파라미터, 또는 둘 모두를 포함할 수 있다. 예를 들어, 기판 측정 레시피에 사용된 측정이 회절 기반 광학 측정인 경우, 측정의 하나 이상의 파라미터는 방사선의 파장, 방사선의 편광, 기판에 대한 방사선의 입사각, 기판 상의 패턴에 대한 방사선의 배향 등을 포함할 수 있다. 측정 레시피를 선택하는 기준 중 하나는 예를 들어, 프로세싱 변동에 대한 측정 파라미터 중 하나의 감도일 수 있다. 추가적인 예가 미국 특허 출원 US2016-0161863 및 공개된 미국 출원 US 2016/0370717A1에 기술되어 있으며, 이들은 원용에 의해 전체로서 본원에 포함된다.
광학적 계측 방법의 대안으로서, 예를 들어 0.1nm 내지 100nm, 또는 선택적으로 1nm 내지 50nm, 또는 선택적으로 5 내지 100nm, 또는 선택적으로 10nm 내지 20nm의 파장 범위의 방사선과 같은 연질 X-선 또는 EUV 방사선을 사용하는 것이 고려된 바 있다. 위에 제시된 파장 범위 중 하나에서 기능하는 계측 툴의 일례는 투과형의 작은 각도의 X-선 산란이다(US 2007224518A 에서와 같이 T-SAXS 이며, 이는 전체적으로 본 명세서에 원용에 의해 포함됨). T-SAXS를 사용한 프로파일(CD) 측정은 Lemaillet 등의 "FinFET 구조체의 광학 및 X-선 산란측정 사이의 비교(Intercomparison between optical and X-ray scatterometry measurements of FinFET structures)"(Proc. SPIE, 2013, 8681)에서 논의된다. 기판 상의 필름 및 층 스택의 특성을 측정하기 위해 그레이징 입사로의 X-선(GI-XRS) 및 극자외(EUV) 방사선을 사용한 반사측정 기법이 공지되어 있다. 반사측정법의 일반적인 분야 내에서, 측각(goniometric) 및/또는 분광 기법이 적용될 수 있다. 측각에서는, 상이한 입사각들에 따라 반사된 빔의 변화가 측정된다. 반면, 분광 반사측정법은 주어진 각도에서 반사된 파장의 스펙트럼을 측정한다(광대역 방사선 사용). 예를 들어, EUV 리소그래피에 사용하기 위해 레티클(패터닝 디바이스)을 제조하기 전에 마스크 블랭크의 검사를 위해 EUV 반사 측정법이 사용되어 왔다.
그 적용 범위로 인하여 연질 X-선 또는 EUV 도메인에서 파장의 사용이 충분하지 않을 수 있다. 따라서 공개된 특허 출원 US20130304424A1 및 US2014019097A1(Bakeman 등/KLA)은 x-선을 사용하여 이루어진 측정과 120nm 내지 2000nm 범위 파장의 광학 측정을 조합하여 CD 등의 파라미터의 측정치를 얻는 하이브리드 계측 기법에 관해 설명한다. 하나 이상의 공통된 것을 통해 x-선 수학적 모델과 광학적 수학적 모델을 결합함으로써 CD 측정이 획득된다. 인용된 미국 특허 출원의 내용은 원용에 의해 본원에 통합된다.
이러한 문헌의 맥락에서 HHG 또는 HHG 소스라는 용어가 소개되어 있다. HHG는 고조파 생성 또는 때때로 고차 고조파 생성을 지칭하는 것이다. HHG는 타겟, 예를 들어 가스, 플라즈마 또는 고형 샘플이 집중적인 레이저 펄스에 의해 조명되는 비선형 프로세스이다. 뒤이어 타겟은 레이저 펄스의 방사선의 주파수의 배수인 주파수를 갖는 방사선을 방출할 수 있다. 이러한 주파수, 즉 배수는 레이저 펄스의 방사선의 고조파라고 불린다. 생성된 HHG 방사선은 5차 고조파보다 높은 고조파이고 이들 고조파를 고차 고조파라 지칭하는 것으로 규정할 수 있다. HHG 프로세스의 기초를 형성하는 물리적 프로세스는 저차 고조파, 전형적으로 2차 내지 5차 고조파의 방사선 생성과 관련된 물리적 프로세스와 다르다. 저차 고조파의 방사선 발생은 섭동 이론과 관련이 있다. 타겟 내에서 원자의 (구속된) 전자의 궤적은 실질적으로 호스트 이온의 쿨롱 전위에 의해 결정된다. HHG에서, HHG 프로세스에 기여하는 전자의 궤도는 실질적으로 입사되는 레이저 광의 전기장에 의해 결정된다. HHG를 설명하는 소위 "3 단계 모델"에서, 전자는 그 순간에 레이저 필드에 의해 실질적으로 억제되는 쿨롱 장벽을 통해 터널링하고(단계 1), 레이저 필드에 의해 결정된 궤적을 따르며(단계 2), 운동 에너지 + 이온화 에너지를 방사선 형태로 방출하면서 소정 확률로 재결합한다(단계 3). HHG와 저차 고조파의 방사선 생성 간의 차이를 표현하는 또 다른 방법은, 타겟 원자의 이온화 에너지를 넘어서는 광자 에너지를 가진 모든 방사선을 "고차 고조파" 방사선, 예를 들어 HHG 생성 방사선으로 규정하고 이온화 에너지 미만의 광자 에너지를 갖는 모든 방사선을 비-HHG 생성 방사선으로 규정하는 것이다. 네온을 가스 타겟으로 사용하는 경우 HHG 프로세스에 의해 62nm보다 짧은 파장(광자 에너지가 20.18 eV보다 높음)을 갖는 모든 방사선이 생성된다. 가스 타겟으로서의 아르곤의 경우, 약 15.8 eV보다 높은 광자 에너지를 갖는 모든 방사선이 HHG 프로세스에 의해 생성된다.
도 4는 0.1 nm 내지 100 nm의 파장 범위의 방사선이 기판 상의 구조체의 파라미터를 측정하는 데에 사용될 수 있는 계측 장치(302)의 개략도를 도시한다. 도 4에 제시된 계측 장치(302)는 연질 X-선 또는 EUV 도메인에 적합하다.
도 4는 순전한 예시로서 그레이징 입사의 EUV 및/또는 SXR 방사선을 사용하는 분광 스캐터로미터를 포함하는 계측 장치(302)의 개략적인 물리적 배열을 도시한다. 대안적인 형태의 검사 장치가 더 긴 파장에서 작동하는 종래의 스캐터로미터와 유사한 수직 또는 수직 근방 입사의 방사선을 사용하는 각도 분해 스캐터로미터의 형태로 제공될 수 있다.
검사 장치(302)는 방사선 소스(310), 조명 시스템(312), 기판 지지체(316), 검출 시스템(318, 398) 및 계측 처리 유닛(MPU)(320)을 포함한다.
이러한 예에서 소스(310)는 고차 고조파 생성(HHG) 기법에 기초한 EUV 또는 연질 x-선 방사선의 생성기를 포함한다. 이러한 소스는 예를 들어 미국 콜로라도주 볼더 소재의 KMLabs(http://www.kmlabs.com/)에서 입수할 수 있다. 방사선 소스의 주요 컴포넌트는 구동 레이저(330) 및 HHG 가스 셀(332)이다. 가스 공급부(334)는 가스 셀에 적합한 가스를 공급하며, 여기서 선택적으로 전원(336)에 의해 이온화된다. 구동 레이저(300)는 예를 들어 광학 증폭기를 갖는 광섬유 기반 레이저일 수 있는데, 이는 필요에 따라 수 메가 헤르츠에 이르기까지의 펄스 반복률로, 예를 들어 펄스당 1 ns(1 나노초) 미만으로 지속될 수 있는 적외선 펄스를 생성한다. 적외선의 파장은 예를 들어 1 μm(1 미크론)의 영역일 수 있다. 레이저 펄스는 제1 방사선 빔(340)으로서 HHG 가스 셀(332)로 전달되며, 가스 내에서 방사선의 일부는 제1 방사선보다 더 높은 주파수로, 원하는 파장(들)의 코히어런트 제2 방사선을 포함하는 빔(342)으로 변환된다.
제2 방사선은 다수의 파장을 포함할 수 있다. 방사선이 단색성인 경우 측정 계산(예컨대, 재구성)이 단순화될 수 있지만, HHG를 사용하면 여러 파장의 방사선을 생성하는 것이 더 용이하다. 가스 셀(332) 내의 가스의 부피는 HHG 공간을 규정하지만, 이러한 공간이 완전히 밀폐될 필요는 없고 정적인 부피 대신에 가스의 흐름이 사용될 수도 있다. 가스는 예를 들어 네온(Ne) 또는 아르곤(Ar)과 같은 희가스일 수 있다. N2, O2, He, Ar, Kr, Xe 가스가 모두 고려될 수 있다. 이들은 설계 선택의 문제이며, 동일한 장치 내에서 선택 가능한 옵션일 수도 있다. 예를 들어, 상이한 파장은 상이한 재료의 구조체를 이미징할 때 상이한 레벨의 콘트라스트를 제공할 것이다. 금속 구조체 또는 실리콘 구조체의 검사를 위해, 예를 들어 (탄소계) 레지스트의 피처를 이미징하거나 그러한 상이한 물질의 오염을 검출하기 위해 사용되는 파장과는 다른 파장을 선택할 수도 있다. 하나 이상의 필터링 디바이스(344)가 제공될 수 있다. 예를 들어, 알루미늄(Al)의 박막과 같은 필터는 기본적인 IR 방사선이 검사 장치(302) 내로 추가로 통과되는 것을 차단하는 역할을 할 수 있다. 가스 셀에서 생성된 것 중에서 하나 이상의 특정 고조파 파장을 선택하기 위해 격자(도시되지 않음)가 제공될 수 있다. 빔 경로의 일부 또는 전부가 진공 환경 내에 포함될 수 있으며, SXR 방사선은 공기 중에서 진행할 때 흡수된다는 점에 주의해야 한다. 방사선 소스(310) 및 조명 광학계(312)의 다양한 컴포넌트는 동일한 장치 내에서 상이한 계측 '레시피'를 구현하도록 조정 가능할 수 있다. 예를 들어, 상이한 파장 및/또는 편광이 선택 가능하게 될 수 있다.
검사 중인 구조체의 재료에 따라, 상이한 파장이 더 하부의 층 내로 원하는 수준의 침투를 제공할 수 있다. 최소 디바이스 피처를 분해하고 그 중에서 결함을 분해하기 위해, 짧은 파장이 선호될 가능성이 높다. 예를 들어, 1-20 nm 범위 또는 선택적으로 1-10 nm 범위 또는 선택적으로 10-20 nm 범위에서 하나 이상의 파장이 선택될 수 있다. 5nm 보다 짧은 파장은 반도체 제조에 있어서 일반적으로 관심 대상인 재료를 반사할 때 매우 낮은 임계각을 보인다. 따라서 5nm보다 큰 파장을 선택하면 더 큰 입사각에서 더 강한 신호를 제공할 수 있다. 다른 한편으로는, 검사 작업이 특정 재료의 존재를 검출하는 것, 예를 들어 오염을 검출하기 위한 것인 경우, 최대 50 nm의 파장이 유용할 수도 있다.
방사선 소스(310)로부터, 필터링된 빔(342)은 검사 챔버(350)로 들어가고, 여기서 관심 대상인 구조체를 포함하는 기판(W)은 기판 지지체(316)에 의해 측정 위치에 검사를 위해 유지된다. 관심 대상인 구조체는 T로 표시되어 있다. 검사 챔버(350) 내의 대기는 진공 펌프(352)에 의해 진공에 가깝게 유지되므로, EUV 방사선은 대기를 통한 과도한 감쇠 없이 통과할 수 있다. 조명 시스템(312)은 방사선을 포커싱된 빔(356)으로 포커싱하는 기능을 가지며, 위에서 언급한 미국 출원 공개 US2017/0184981A1에 기재된 바와 같이(그 내용이 원용에 의해 전체로서 본원에 통합됨), 예를 들어 2차원으로 만곡된 미러 또는 일련의 1차원으로 만곡된 미러를 포함할 수 있다. 포커싱은 관심 대상인 구조 상에 투영될 때 직경이 10 μm 미만인 원형 또는 타원형 스폿(S)을 달성하기 위해 수행된다. 기판 지지체(316)는 예를 들어 X-Y 병진 스테이지 및 회전 스테이지를 포함하며, 이에 의해 기판(W) 중 임의의 부분이 원하는 배향으로 빔의 초점으로 이동될 수 있다. 이와 같이 방사선 스폿(S)은 관심 대상인 구조체 상에 형성된다. 대안적으로 또는 추가적으로, 기판 지지체(316)는 예를 들어 관심 구조체(T) 상에서 (예컨대, 관심 영역에) 포커싱된 빔의 입사각을 제어하기 위해 기판(W)을 특정 각도로 기울일 수 있는 틸팅 스테이지를 포함한다.
선택적으로, 조명 시스템(312)은 필터링된 빔(342)에서 상이한 파장들의 스펙트럼 및/또는 세기를 측정하도록 구성될 수 있는 기준 검출기(314)에 기준 방사선 빔을 제공한다. 기준 검출기(314)는 프로세서(310)에 제공되는 신호(315)를 생성하도록 구성될 수 있고, 필터는 필터링된 빔(342)의 스펙트럼 및/또는 필터링된 빔에서 상이한 파장들의 세기에 대한 정보를 포함할 수 있다.
반사된 방사선(360)은 검출기(318)에 의해 캡처되고 타겟 구조체(T)의 특성을 계산하는 데에 사용하기 위해 스펙트럼이 프로세서(320)에 제공된다. 조명 시스템(312) 및 검출 시스템(318)은 이와 같이 검사 장치를 형성한다. 이러한 검사 장치는 US2016282282A1에 기술된 종류의 연질 X-선 및/또는 EUV 분광 반사계를 포함할 수 있으며, 그 내용이 원용에 의해 본원에 포함된다.
타겟(T)이 일정한 주기성을 갖는 경우, 포커싱된 빔(356)의 방사선도 부분적으로 회절될 수 있다. 회절된 방사선(397)은 입사각에 대해 양호하게 규정된 각도로 반사 방사선(360)과는 또 다른 경로를 따른다. 도 4에서, 도시된 회절 방사선(397)은 개략적인 방식으로 도시되어 있으며, 회절 방사선(397)은 도시된 경로와는 다른 많은 경로를 따를 수 있다. 검사 장치(302)는 또한 회절 방사선(397)의 적어도 일부를 검출 및/또는 이미징하는 추가 검출 시스템(398)을 포함할 수 있다. 도 4에는 단일의 추가 검출 시스템(398)이 도시되어 있지만, 검사 장치(302)의 실시예는 또한 복수의 회절 방향에서 회절 방사선(397)을 검출 및/또는 이미징하기 위해 서로 상이한 위치에 배치되는 둘 이상의 추가 검출 시스템(398)을 포함할 수 있다. 즉, 타겟(T)에 충돌하는 포커싱된 방사선 빔의 (더 높은) 회절 차수가 하나 이상의 추가 검출 시스템(398)에 의해 검출 및/또는 이미징된다. 이러한 하나 이상의 검출 시스템(398)은 계측 프로세서(320)에 제공되는 신호(399)를 생성한다. 신호(399)는 회절된 광(397)에 대한 정보를 포함할 수 있고/있거나 회절된 광(397)으로부터 획득된 이미지를 포함할 수 있다.
원하는 제품 구조체와 스폿(S)의 정렬 및 포커싱을 돕기 위해, 검사 장치(302)는 또한 계측 프로세서(320)의 제어 하에 보조 방사선을 사용하는 보조 광학계를 제공할 수 있다. 계측 프로세서(320)는 또한 병진 스테이지 및 회전 및/또는 틸팅 스테이지를 동작시키는 위치 제어기(372)와 통신할 수 있다. 프로세서(320)는 센서를 통해 기판의 위치 및 배향에 대해 매우 정확한 피드백을 수신한다. 센서(374)는 예를 들어, 피코미터 영역에서 정확도를 제공할 수 있는 간섭계를 포함할 수 있다. 검사 장치(302)의 동작에 있어서, 검출 시스템(318)에 의해 캡처된 스펙트럼 데이터(382)는 계측 처리 유닛(320)으로 전달된다.
언급한 바와 같이, 대안적인 형태의 검사 장치는 예를 들어 회절 기반 비대칭 측정을 수행하기 위해 수직 입사 또는 수직 근방 입사로 연질 x-선 및/또는 EUV 방사선을 사용한다. 두 유형의 검사 장치가 하이브리드 계측 시스템으로 제공될 수 있다. 측정될 성능 파라미터는 오버레이(OVL), 임계 치수(CD), 코히어런트 회절 이미징(CDI) 및 분해능상(at-resolution) 오버레이(ARO) 계측을 포함할 수 있다. 연질 x-선 및/또는 EUV 방사선은 예를 들어 100 nm 미만의 파장을 가질 수 있고, 예를 들어 5 내지 30 nm 범위, 선택적으로 10 nm 내지 20 nm 범위의 방사선을 사용할 수 있다. 방사선은 본질적으로 협대역 또는 광대역일 수 있다. 이러한 방사선은 특정 파장 대역에서 불연속적인 피크들을 가질 수 있거나 보다 연속적인 특성을 가질 수도 있다.
오늘날의 생산 설비에서 사용되는 광학 스캐터로미터와 마찬가지로, 검사 장치(302)는 리소 셀 내에서 취급된 레지스트 재료 내의 구조체를 측정하고(현상 후 검사 또는 ADI) 및/또는 더 경질의 재료로 형성된 후에 구조체를 측정하는 데에 사용될 수 있다(에칭 후 검사 또는 AEI). 예를 들어, 기판은 현상 장치, 에칭 장치, 어닐링 장치 및/또는 다른 장치에 의해 처리된 후에 검사 장치(302)를 사용하여 검사될 수 있다.
본 명세서의 실시예들은 도 4에 도시된 조명 시스템(312)의 기능을 제공하기 위한 광학 시스템에 관한 것이다. 계측 장치(302)는 계측 장치(302)의 특정 애플리케이션에 필요한 사양을 충족하도록 설계된다. 예를 들어, 계측 장치(302)는 리소그래피 장치(LA)에 의해 기판에서 생성된 패터닝된 구조체의 특성을 측정하기 위해 이러한 기판을 검사하도록 구성될 수 있다. 패터닝된 구조체의 소정 특성은 계측 장치(302)가 그러한 특정 애플리케이션에 필요한 사양을 충족하는 경우에만 결정될 수 있다. 따라서 광학 시스템은 계측 장치(302)가 필요한 사양을 충족할 수 있도록 하는 특정 기준을 충족하도록 구성될 수 있다. 예를 들어 광학 시스템은, 빔(356)이 관심 구조체와 상호 작용하여 관심 구조체에 관한 정보가 사양에 따라 계측 장치(302)로 결정될 수 있도록 포커싱된 빔(356)에 하나 이상의 속성을 제공하도록 설계될 수 있다. 광학 시스템 설계의 자유도는 하나 이상의 경계 조건에 따라 달라진다. 따라서 특정 기준을 충족하는 광학 시스템의 능력은 하나 이상의 경계 조건에 따라 달라진다.
광학 시스템은 관심 영역에 관한 정보가, 관심 영역으로부터 반사, 산란, 및 회절 중 적어도 하나인 방사선으로부터 결정될 수 있도록 기판의 관심 영역 상에 방사선 빔을 포커싱하도록 구성될 수 있다. 따라서, 계측 장치(302)는 그러한 정보를 결정하기 위해 적절하게 선택된 계측 장치(302)의 파라미터를 사용하여 집적 회로의 관심 영역에 관한 정보를 결정하도록 구성될 수 있다.
경계 조건의 예는 다음을 포함하나 이에 제한되는 것은 아니다: 필터링된 빔(342)의 스펙트럼 함량, (예컨대, 광학 시스템에 의해 이미징되는 대상물을 규정하는 빔(342)의 겉보기 소스에서) 빔(342)의 치수 및/또는 종횡비, 빔(342)의 품질(예컨대, M- 제곱 값), 빔(342)의 공간 및/또는 스펙트럼 변동, 빔(342)의 에너지 및/또는 파워, 방사선 소스(310)의 임의의 기타 동작 특성, 광학 시스템의 투과율, 광학 시스템의 컴포넌트들을 위한 가용 공간, 및 기준 검출기(314)와 같은 계측 장치(302)의 컴포넌트들 간의 볼륨 컨플릭트 및/또는 이러한 컴포넌트들의 방사선 빔과의 컨플릭트. 또한 특정 애플리케이션에 따라 사용 중에 하나 이상의 경계 조건이 변경될 수도 있다. 예를 들어, 계측 장치(302)의 하나 이상의 컴포넌트는 사용 중에 이동되거나 교체될 수 있으며, 이는 광학 시스템의 컴포넌트를 위한 가용 공간에 영향을 미칠 수 있다. 다른 예로서, 방사선 소스(310)가, 예를 들어 빔(342)에 특정한 스펙트럼 함량을 제공하기 위해 상이한 동작 체제(regime)로 구성될 수 있고 및/또는 빔의 또다른 동작 특성이 변경될 수 있다.
광학 시스템의 하나 이상의 실시예는 계측 장치(302)가 하나 이상의 경계 조건에 따라 필요한 사양을 충족하도록 구성될 수 있다. 한 가지 예시적인 사양으로서, 빔(356)은 관심 대상인 구조 상에 투영될 때 직경이 10 μm 미만인 원형 또는 타원형 스폿(S)을 달성하도록 광학 시스템에 의해 포커싱된다. 이러한 예에서, 경계 조건은 포커싱된 빔(356)의 겉보기 소스의 적어도 하나의 치수(예를 들어, 반경, 직경 등)에 의해 규정될 수 있다. 원 시야(far field)에서 관측될 때, 겉보기 소스는 빔(356)의 소스가 유래된 것처럼 보이는 대상물을 규정할 수 있다. 따라서 광학 시스템은 관심 구조체 상에 해당 대상물의 이미지를 투영하는데, 여기서 해당 이미지의 적어도 하나의 대응하는 치수는 (i) 대상물의 적어도 하나의 치수; (ii) 광학 시스템의 이미징 특성; 및 (iii) 광학 시스템에 의해 이미징되는 빔(342)의 품질 중 하나 이상에 의존할 수 있다.
일례로서, 대상물의 적어도 하나의 치수는, 광학 시스템이 대상물의 치수(do)와 이미지의 대응하는 치수(di) 사이의 비율에 의해 규정되는 축소 계수(축소 계수 = do/di)만큼 대상물의 적어도 하나의 치수를 축소함으로써 특정 기준을 충족하도록 될 수 있다. 가우시안 빔의 경우, 대상물 또는 이미지의 최대 세기의 1/e2(즉, 13.5 %)에서 대상물 또는 이미지의 둘러싸는 반경에 따라 치수가 규정될 수 있다. 광학 시스템은 1보다 큰, 또는 선택적으로 2, 5, 8, 10, 15, 20 또는 25 이상의 축소 계수만큼 대상물의 적어도 하나의 치수를 축소하도록 구성될 수 있다.
일례로서, 관심 영역에서의 스폿(S) 치수는 이미지 스폿 반경의 최대 세기의 1/e2(즉, 13.5 %)에서 이미지의 둘러싸는 반경에 따라 규정될 수 있고, 이는 5, 4, 3, 2, 1.5, 1.25, 1, 0.75, 0.66 및 0.5 마이크론 중 적어도 하나보다 작거나 같을 수 있다. 스폿(S) 치수는 모든 방향에서 동일하지 않을 수도 있으며(예를 들어, 빔 스폿이 비-원형인 경우), 따라서 위에서 규정된 빔 스폿 반경은 빔 스폿의 최대 반경을 언급할 수도 있다는 점을 이해할 것이다.
일례로서, 대상물의 적어도 하나의 치수는, 대상물의 아이소모픽 이미지 또는 애너모픽 이미지를 형성하기 위해 요구되는 축소 종횡비로 대상물을 이미징함으로써 광학 시스템이 특정 기준을 충족하는 것일 수 있다. 대상물 또는 이미지의 종횡비는 대상물 또는 이미지의 제1 치수와 대상물 또는 이미지의 제2 치수 사이의 비율에 의해 규정될 수 있다. 대상물의 제1 치수는 대상물을 포함하는 대상물 평면을 따라 취해진 제1 방향으로 측정될 수 있다. 대상물의 제2 치수는 대상물 평면을 따라 취해진 제2 방향으로 측정될 수 있으며, 제2 방향은 제1 방향에 수직이다. 마찬가지로, 이미지의 제1 치수는 이미지를 포함하는 이미지 평면을 따라 취해진 제1 방향으로 측정될 수 있으며, 여기서 이미지의 제1 방향은 대상물의 제1 방향에 대응한다. 또한, 이미지의 제2 치수는 이미지 평면을 따라 취해진 제2 방향으로 측정될 수 있으며, 여기서 이미지의 제2 방향은 대상물의 제2 방향에 대응한다.
따라서 광학 시스템은, 대상물 평면을 따라 취해진 제1 방향으로 대상물이 축소되어 이미지 평면을 따라 취해진 대응하는 제1 방향으로 대상물의 대응하는 축소 이미지를 형성하도록 구성될 수 있다. 나아가 광학 시스템은, 대상물 평면을 따라 취해진 제2 방향으로 대상물이 축소되어 이미지 평면을 따라 취해진 대응하는 제2 방향으로 대상물의 대응하는 축소 이미지를 형성하도록 구성될 수 있다.
제1 및 제2 방향으로의 축소 계수는 대상물의 형성된 이미지의 종횡비에 영향을 미칠 수 있다. 축소 계수가 제1 방향과 제2 방향 모두에서 동일하다면, 이미지는 대상물과 동일한 종횡비를 갖는 아이소모픽 이미지일 수 있다. 한편, 축소 계수가 제1 방향과 제2 방향에서 상이한 경우, 이미지는 이미징되는 대상물에 대해 상이한 종횡비를 갖는 애너모픽 이미지일 수 있다. 대상물 평면에서 대상물의 형상은 원형이거나 비-원형(예컨대, 타원형)일 수 있다. 충족될 기준에 따라, 광학 시스템은 원형 또는 비-원형 대상물이 축소되어 이러한 원형 또는 비-원형 대상물의 원형 및 비-원형 이미지 중 임의의 것을 형성하도록 구성될 수 있다.
일례로서, 경계 조건은 광학 시스템과 기판(W) 사이의 잠재적인 볼륨 컨플릭트에 의해 규정될 수 있다. 예를 들어, 광학 시스템의 컴포넌트와 기판 및/또는 포커싱된 빔(356) 사이의 볼륨 컨플릭트를 방지하기 위해 광학 시스템의 컴포넌트와 기판(W)의 관심 영역 사이에 자유 작동 거리가 규정될 수 있다. 일례로서, 광학 시스템의 컴포넌트는 광학 시스템의 임의의 다른 반사기보다 관심 영역에 더 가까운 반사기를 포함할 수 있다. 이러한 예에서, 자유 작동 거리는 반사기의 표면과 관심 영역 사이 또는 반사기와 관련된 컴포넌트(예컨대, 마운팅 컴포넌트)의 표면과 관심 영역 사이에서 규정될 수 있다. 광학 시스템의 컴포넌트와 기판(W)의 관심 영역 사이의 자유 작동 거리는 10cm, 15cm 또는 20cm 이상일 수 있다. 자유 작동 거리는 관심 영역과 관심 영역에 가장 가까운 컴포넌트의 표면 사이에서 측정될 수 있다.
일례로서 경계 조건은 대상물과 이미지 사이에 규정된 주 광선(chief ray)의 경로길이로 규정될 수 있다. 본 명세서에서 설명한 하나 이상의 광학 시스템은 광학 시스템의 다른 예에 비해 대상물과 이미지 사이의 비교적 짧은 경로길이로 구성될 수 있다. 대상물과 이미지 사이의 비교적 짧은 경로길이에 의해, 광학 시스템이 비교적 콤팩트한 볼륨 또는 풋프린트 내에 제공될 수 있거나 광학 시스템이 가용 공간 내에 수용될 수 있다. 대상물과 이미지 사이의 비교적 짧은 경로길이를 갖는 본 명세서에 설명한 하나 이상의 광학 시스템은 3, 2.5, 2, 1.5, 1.25, 1.1 또는 1 미터 이하의 경로길이를 가질 수 있다. 대상물과 이미지 사이의 경로길이가 이와 같이 짧은 경우 광학 시스템의 컴포넌트와 관심 영역 사이에 규정되는, 10cm보다 이상일 수 있는 비교적 큰 자유 작동 거리를 얻는 것이 어렵다는 점을 인식한 바 있다. 본 발명자들은 이어지는 내용의 실시예가 이러한 비교적 큰 작동 거리를 제공할 수 있다는 점을 인식하였다.
일례로서, 경계 조건은 관심 영역 상에 포커싱된 방사선 빔에 대한 광학 시스템의 개구수(NA)에 의해 규정될 수 있다. 이러한 개구수는 0.005, 0.01, 0.05 및 0.1 중 적어도 하나 이상일 수 있다. 광학 시스템의 특정 개구수 범위가 특정 기준을 충족하는 경우, 이러한 개구수 범위는 다른 경계 조건(예컨대, 광학 시스템을 위해 이용가능한 경로길이 범위 및 대상물의 적어도 하나의 치수)에 영향을 미치거나 그로부터 영향을 받을 수 있다.
일례로서, 경계 조건은 광학 시스템의 하나 이상의 반사기에 의해 수용될 수 있는 그레이징 입사각(GAOI)의 범위에 의해 규정될 수 있다. 본 명세서에 설명한 임의의 광학 시스템의 적어도 하나의 반사기는, 방사선 빔의 주 광선이 1, 2, 3, 4, 5, 6, 7, 8, 9 및 10도 중 적어도 하나보다 크거나 같은 반사기 상에서의 GAOI를 갖도록 배향될 수 있다. 추가적인 예에서, 허용가능한 GAOI는 1 내지 20도 범위일 수 있다. 추가적인 예에서, 허용가능한 GAOI는 10도보다 클 수 있으며, 예를 들어 10도 내지 20도 범위일 수 있다. GAOI는 반사기의 표면과 입사 광선 사이의 각도로 규정될 수 있다. 예를 들어, EUV, SXR 및/또는 X-선 파장을 포함하는 방사선 빔의 외부 전반사를 제공하기 위해 그레이징 입사 광학이 이용될 수 있다.
일례로서, 경계 조건은 대상물에서의 방사선 빔의 발산에 의해 규정될 수 있다. 광학 시스템의 적어도 하나의 반사기는, 방사선 빔이 1, 2, 3, 5, 10, 15, 20 및 25 mrad 중 적어도 하나보다 크거나 같은 발산 반각(divergence half-angle)을 갖는 방사선 빔을 받아들이도록 구성될 수 있다. 가우시안 빔의 경우, 방사선 빔(B)의 발산 반각은 다음 식으로 규정될 수 있다: θ
Figure pct00001
λ0/(πnw0), 여기서 λ0 파장, n은 굴절률, w0는 빔 웨이스트 반경이다. 이러한 발산은 광학 시스템의 개구수 또는 수용 개구수와 관련이 있다. 개구수는 예상된 (가우시안) 빔 발산 반각(θ)의 약 2 배일 수 있다. 일례로서, 광학 시스템은 방사선 빔의 에너지의 99.99 %가 관심 영역에서 이미징된 스폿 내에 있을 수 있도록 설계될 수 있다. 보다 일반적인 용어로 말하면, 발산 또는 수렴은 1/e2(13.5 %) 세기 수준에서 빔 원뿔(beam cone)의 정점 각도의 절반으로 규정될 수 있다. 빔은 제1 및 제2 방향에서 동일하거나 상이한 발산 반각을 가질 수 있으며, 이들 방향은 대상물 평면을 따라 규정되는 서로 수직인 방향일 수 있다. 비록 제1 및 제2 방향에 대한 발산 반각 또는 개구수 값이 다를 수 있지만, 이들 값은 여전히 유사한 크기일 수 있다. 특정 발산 반각 또는 개구수 범위가 기준을 충족하기 위해 사용되는 경우, 이러한 발산 반각 또는 개구수 범위는 광학 시스템의 투과율, 볼륨 컨플릭트 등과 같은 다른 경계 조건에 영향을 미치거나 그로부터 영향을 받을 수 있다.
본 명세서 전반에 걸쳐 적절한 경우, "발산"이라는 용어는 위에서 규정된 발산 반각을 지칭할 수 있다. 그러나 적절한 경우 광학 시스템 자체의 특성을 언급한다면 "개구수"라는 용어가 더 적절할 수도 있다. 개구수(NA)는 광학 시스템을 통해 전파될 수 있는 최대 반각을 사용하여 계산될 수 있다. 발산 반각은 1/e2 세기 레벨에서 규정되기 때문에, 이미징 기준에 따라, 예를 들어 방사선 빔 에너지의 99.99 %가 관심 영역에서 이미징된 스팟 내에 있을 수 있도록 최대 반각은 발산 반각보다 클 수 있다. 일반적으로, 시스템을 통해 전파될 수 있는 최대 반각은 발산 반각의 약 2배보다 약간 더 크다고 가정할 수 있다. 그러나, 최대 반각과 발산 반각의 비율은 상이할 수 있으며, 예를 들어 2보다 작거나 2보다 클 수 있다.
일례로서, 경계 조건은 광학 시스템의 투과율에 의해 규정될 수 있다. 광학 시스템의 투과율은 0.1, 0.2, 0.3 또는 0.4보다 크거나 같을 수 있다. 특정 투과율 범위가 기준을 충족하기 위해 사용되는 경우, 이러한 투과율 범위는 광학 시스템에 대한 가용 빔 발산 범위 등과 같은 다른 경계 조건에 영향을 미치거나 그로부터 영향을 받을 수 있다.
일례로서, 경계 조건은 광학 시스템의 하나 이상의 반사기의 치수에 의해 규정될 수 있다. 반사기 치수는 실질적으로 전체 방사선 빔이 반사되어(예를 들면, 빔 에너지의 50 %, 60 %, 70 %, 80 %, 90 %, 95 %, 99 % 중 적어도 하나보다 크거나 같은 비율이 반사됨) 반사기와 다른 반사기 또는 여타 컴포넌트 사이에 어떠한 볼륨 컨플릭트도 없게 되는 것일 수 있다.
일례로서, 경계 조건은 광학 시스템의 하나 이상의 반사기의 제조가능성에 의해 규정될 수 있다. 특정 기준을 충족하기 위해, 하나 이상의 반사기가 특정 설계 및/또는 허용오차 고려사항 내에서 제조될 수 있다. 특정 기준을 충족하기 위해 특정 반사기 설계가 바람직할 수 있지만, 이러한 반사기 설계의 제조가능성이 하나 이상의 경계 조건을 벗어난다면 이러한 설계가 허용되지 않을 수도 있다. 예를 들어, 그렇지 않으면 특정 기준을 충족하기 위해 바람직할 수도 있는 특정 설계로 반사기를 제조하는 것이 불가능할 수도 있다. 따라서 특정 애플리케이션에 따라, 광학 시스템을 위해 특정 반사기를 제조하는 가용성/자유도는 충족해야 할 기준에 따라 달라질 수 있다.
하나 이상의 경계 조건은 적어도 하나의 다른 경계 조건에 영향을 미칠 수 있으며, 이는 기준이 충족되도록 하거나 충족되지 않도록 할 수 있다. 또한 특정 경계 조건은 계측 장치의 특정 애플리케이션에 따라 가변적일 수도 있다. 따라서 광학 시스템의 특정 구성은 규정된 경계 조건 내에서 기준을 충족할 수 있다. 그러나, 하나 이상의 경계 조건이 변경되는 경우, 기준을 충족하기 위해 광학 시스템의 구성도 변경될 수 있다.
이하 더 자세히 설명하는 바와 같이, 본 발명자들은 하나 이상의 경계 조건에 대한 특정 기준을 충족하는 여러 광학 시스템을 식별한 바 있다. 본 명세서에 기술된 각각의 광학 시스템은 계측 장치에서 기판의 관심 영역 상에 방사선 빔을 포커싱하도록 구성된다. 방사선 빔은 연질 X-선(SXR) 및/또는 극자외선(EUV) 스펙트럼 범위의 방사선을 포함한다.
본 명세서에 기술된 하나 이상의 광학 시스템은 다음 예 중 하나 이상에 따라 구성될 때 특정 기준을 충족할 수 있다. 일례로서, 광학 시스템은 방사선 빔이 그레이징 입사각으로 광학 시스템의 하나 이상의 반사기 상에 입사될 수 있도록 구성될 수 있다. 따라서, 광학 시스템은 넓은 스펙트럼 범위에 걸쳐 허용가능한 이미징 성능을 제공할 수 있다(예를 들어, 스펙트럼 대역이 10 내지 20nm 인 방사선 빔에 대해). 일례로서, 광학 시스템은 대상물과 이미지 사이에 비교적 높은 축소 계수(예를 들어, 10 정도)를 제공하도록 구성될 수 있다. 일례로서, 광학 시스템은 비교적 큰 개구수(예를 들어, 0.1 정도 - 예컨대, 0.05)로 관심 영역 상에 방사선 빔을 포커싱하도록 구성될 수 있다. 일례로서, 광학 시스템은 대상물과 이미지 사이의 비교적 짧은 경로길이(예를 들어, 대략 1.5 미터)로 구성될 수 있다. 따라서, 광학 시스템은 비교적 콤팩트한 볼륨 또는 풋프린트 내에 수용될 수 있다. 일례로서, 광학 시스템은 비교적 짧은 경로길이 및/또는 높은 개구수를 제공하기 위해 강한 곡률을 갖는 반사기를 포함할 수 있다. 광학 시스템에 사용되는 특정 타입의 반사기는 비교적 짧은 경로길이 및/또는 높은 개구수를 제공하는 동시에 특정 기준을 충족하는 이미징 성능을 제공할 수 있다. 일례로서, 광학 시스템의 반사기의 표면 프로파일은 광학 시스템이 특정 기준을 충족하는 이미징 성능을 제공할 수 있도록 제조가능한 형상 및/또는 표면 품질을 가질 수 있다. 일례로서, 광학 시스템의 컴포넌트들은 최적의 정렬로부터 특정 편차가 있어도 여전히 특정 기준을 충족하는 이미징 성능을 유발하도록 안정한 구성으로 정렬되도록 구성될 수 있다. 따라서, 광학 시스템은 본 명세서에 설명된 특정한 다른 기준을 충족할 수 있는 다른 광학 시스템에 비해 상대적으로 완화된 정렬 허용오차를 가질 수 있다. 일례로서, 겉보기 소스가 포인트 소스가 아니고 및/또는 겉보기 소스가 축에서 벗어난 경우, 광학 시스템은 이러한 광학 시스템이 대상물의 축외 부분 및 축상 부분을 올바르게 이미징하는 것으로 인해 특정 기준을 충족하는 이미지 성능을 여전히 제공할 수 있다(즉, 겉보기 소스가 정확한 포인트가 아니고 및/또는 겉보기 소스의 적어도 일부가 축에서 벗어난 경우에도 광학 시스템은 여전히 아베(Abbe)의 사인 조건을 충족할 수 있다). 즉, 다른 광학 시스템에 비하여, 본 명세서에서 설명된 광학 시스템은, 겉보기 소스가 어느 정도 대상물 평면에서 유한한 (0이 아닌) 필드를 가지는 경우에도 아베의 사인 조건을 여전히 충족한다는 면에서 개선된 이미징 성능을 제공할 수 있다(다른 광학 시스템이라면 이러한 사인 조건을 충족하지 못할 수도 있음).
도 5a 내지 5b는 각각 하나 이상의 경계 조건에 대한 특정 기준을 충족하는 광학 시스템(400)의 개략도이다. 도 5a는 제1 방향에서 광학 시스템(400)의 광학 경로의 투영이다. 도 5b는 제1 방향에 수직인 제2 방향에서 광학 시스템(400)의 광학 경로의 투영이다. 따라서, 도 5a 및 5b는 각각 광학 시스템(400)의 서로 직교하는 시야를 나타낸다.
광학 시스템(400)은 제1 반사기 시스템(410) 및 제2 반사기 시스템(412)을 포함한다. 제1 및 제2 반사기 시스템(410, 412)은 각각 유한-대-유한 월터 반사기 시스템을 포함한다. 광학 시스템(400)은, 타겟(T) 상의 관심 영역 상에(도 4 참조), 방사선 빔(B)의 겉보기 소스를 포함하는 대상물(416)의 축소 이미지(414)를 형성하도록 구성된다. 각각의 유한-대-유한 월터 반사기 시스템은 이미지(414)가 대상물(416)로부터 유한한 거리에 위치하는 유한-대-유한 공액 거리 관계를 갖는다. 월터 반사기 시스템은, 다른 반사기 구성이라면 하나 이상의 경계 조건에 기해 충족할 수 없는 특정 기준을 충족할 수 있다. 예를 들어, 월터 반사기 시스템은 겉보기 소스가 어느 정도 정확한 포인트가 아니고 및/또는 겉보기 소스의 적어도 일부가 축을 벗어난 경우에도 기준을 충족하는 이미징 성능을 제공하도록 아베의 사인 조건을 충족할 수 있다.
제1 및 제2 반사기 시스템(410, 412)은 각각 1차원 유한-대-유한 월터 반사기 시스템을 포함한다. 도 5a에 도시된 바와 같이, 제1 반사기 시스템(410)은 대상물(416)을 포함하는 대상물 평면(420)을 따라 취해진 제1 방향(418)으로 대상물(416)을 축소하여 이미지(414)를 포함하는 이미지 평면(422)을 따라 취해진 대응하는 제1 방향(418)으로 대상물(416)의 대응하는 축소 이미지(414)를 형성하도록 구성된다. 도 5b에 도시된 바와 같이, 제2 반사기 시스템(412)은 대상물 평면(420)을 따라 취해진 제2 방향(424)으로(제2 방향(424)은 제1 방향(418)에 수직) 대상물(414)을 축소하여 이미지 평면(422)을 따라 취해진 대응하는 제2 방향(424)으로 대상물(416)의 대응하는 축소 이미지(414)를 형성하도록 구성된다. 1차원 월터 반사기 시스템은 기준을 충족하는 이미징 성능을 제공하기 위해 요구되는 허용오차 수준 내에서 제조될 수 있다. 대조적으로, 2차원 월터 반사기 시스템(즉, 이중으로-만곡된 반사기 표면을 가짐)은 이중으로-만곡된 반사기 표면이 기준을 충족하는 이미징 성능을 제공하기 위해 허용가능한 표면 품질을 가질 수 없고 및/또는 제조가능하지 않을 수 있기 때문에 기준을 충족하는 이미징 성능을 제공하지 못할 수도 있다.
광학 시스템(400)은 제1 반사기 시스템(410)의 주 표면(426) 및 제2 반사기 시스템(412)의 주 표면(428)이 대상물(416)보다 이미지(414)에 더 가까운 교차점(432)에서 대상물(416)과 이미지(424) 사이에 규정된 축(430)과 교차하도록 구성된다. 주 표면(426, 428) 각각의 위치가 대상물(416)보다 이미지(414)에 더 가까운 것에 의해, 광학 시스템(400)은 축소 구성에 있게 된다. 월터 반사 시스템은, 제1 반사기 시스템(410)의 주 표면(426)이 제2 반사기 시스템(412)의 주 표면(428)과 실질적으로 동일한 교차점(432)에서 축(430)과 교차하도록 구성될 수 있다.
제1 및 제2 방향(418, 424)으로의 축소 계수는, 교차점(432)이 제1 및 제2 반사기 시스템(410, 412) 모두에 대해 동일하다는 것을 보장함으로써 실질적으로 동일하거나 동일하게 될 수 있다. 유리하게도, 제1 및 제2 방향(418, 424) 모두에 대해 동일한 축소 계수를 제공하는 것으로 인해, 광학 시스템(400)이 대상물(416)의 아이소모픽 이미지(414)를 형성할 수 있게 된다. 대안적으로 그리고 바람직하다면, 대상물의 애너모픽 이미지를 형성하기 위해 제1 및 제2 방향(418, 424)에 대해 상이한 축소 계수가 제공될 수도 있다(예를 들어, 양자 모두의 주 표면(426, 428)을 포함하는 단일 교차점(432)이 더 이상 존재하지 않게 됨).
축소 계수는 제1 또는 제2 방향(418, 424)을 따라 취해진 대상물(416)의 치수와 대응하는 제1 또는 제2 방향(418, 424)을 따라 취해진 이미지(414)의 대응하는 치수 사이의 비율에 의해 규정될 수 있다. 예를 들어 축소 계수는, 제1 방향(418)을 따른 대상물(416)의 최대 세기의 1/e2(즉, 13.5 %)에서 대상물(416)의 둘러싸는 반경(ro)과 대응하는 제1 방향(418)을 따른 이미지(414)의 최대 세기의 1/e2(즉, 13.5 %)에서 이미지(414)의 둘러싸는 반경(ri) 사이의 비율에 의해 규정될 수 있다. 따라서, 제1 방향(418)으로의 축소 계수는 ro/ri(ro 나누기 ri)와 같다. 유사한 관계가 제2 방향(424)으로의 축소 계수에 적용된다.
광학 시스템(400)의 개개의 컴포넌트들의 배향에 관해 이제 더 상세히 설명할 것이다. 제1 반사기 시스템(410)은 제1 반사기 시스템(410)과 교차하는 적어도 하나의 입사 평면이 제2 반사기 시스템(412)과 교차하는 적어도 하나의 입사 평면에 수직하도록 제2 반사기 시스템(412)에 대해 배향될 수 있다. 반사기의 입사 평면은 반사기에 의해 반사되는 방사선 빔(B)의 입사 및 반사된 주 광선(chief ray)을 포함한다. 도 5a 와 5b를 비교함으로써 알 수 있는 바와 같이, 광학 시스템(400)은 제1 입사 평면이 제1 반사기 시스템(410)의 각각의 반사기(M1, M2)와 교차하도록 구성된다. 제1 입사 평면은 제1 방향(418) 및 축(430)에 평행하다. 또한, 제2 입사 평면은 제2 반사기 시스템(412)의 각 반사기(M3, M4)와 교차한다. 제2 입사 평면은 제2 방향(424) 및 축(430)에 평행하다. 제1 반사기 시스템(410)의 반사기(M1, M2)가 제2 반사기 시스템(412)의 반사기(M3, M4)에 수직 관계로 배향되기 때문에, 제1 및 제2 입사 평면들도 서로 수직임을 알 수 있다.
광학 시스템(400)에 사용되는 특정 타입의 월터 반사기 시스템에 관해 이제 더 상세히 설명할 것이다. 광학 시스템(400)은 제1 반사기 시스템(410)으로서 제공된 월터 타입 III 반사기 시스템 및 제2(후속하는) 반사기 시스템(412)으로서 월터 타입 I 반사기 시스템을 포함한다. 앞서 언급했듯이 이러한 월터 반사기 시스템 각각은 유한-대-유한 구성으로 구성된다. 또한, 각각의 반사기는 각각의 반사기 표면이 단일 방향으로만 만곡된다는 점에서 1차원 반사기라고 지칭된다(즉, 반사기는 이중으로-만곡된 반사기 표면을 포함하지 않는다).
그 유한-대-유한 구성에서, 월터 타입 III 반사기 시스템의 1차 반사기는 타원-원통형 볼록 반사기를 포함하고 월터 타입 III 반사기 시스템의 2차 반사기는 타원-원통형 오목 반사기를 포함한다. 그 유한-대-유한 구성에서, 월터 타입 I 반사기 시스템의 1차 반사기는 타원-원통형 오목 반사기를 포함하고, 월터 타입 I 반사기 시스템의 2차 반사기는 쌍곡-원통형 오목 반사기를 포함한다.
따라서, 대상물(416)로부터 이미지(414)까지 광학 시스템(400)에 제공된 반사기의 순서는 다음과 같다. 광학 시스템(400)의 제1 반사기(M1)(즉, 제1 반사기 시스템(410)의 1차 반사기)는 타원-원통형 볼록 반사기를 포함한다. 광학 시스템(400)의 제2 반사기(M2)(즉, 제1 반사기 시스템(410)의 2차 반사기)는 타원-원통형 오목 반사기를 포함한다. 광학 시스템(400)의 제3 반사기(M3)(즉, 제2 반사기 시스템(412)의 1차 반사기)는 타원-원통형 볼록 반사기를 포함한다. 광학 시스템(400)의 제4 반사기(M4)(즉, 제2 반사기 시스템(412)의 2차 반사기)는 쌍곡-원통형 오목 반사기를 포함한다.
선택적으로, 광학 시스템(400)은 광학 경로로부터 떨어져 방사선 빔(B)의 공간적으로 분리된 스펙트럼 성분을 생성하기 위해 방사선 빔(B)의 적어도 일부를 회절시키기 위한 회절 요소(미도시)를 포함한다. 도 5a에 의해 도시된 예에서, 회절 요소는 제1 반사기 시스템(410)의 제2 반사기(M2)의 일부로서 제공된다. 다른 예로서, 회절 요소 및 대응하는 검출기는 예를 들어 하나 이상의 반사기의 일부로서 광학 시스템의 다른 부분에 제공될 수 있다. 회절 요소는 광학 시스템(400)의 반사기 상에 또는 반사기의 일부로서 제공된 별개의 요소일 수 있다. 대안적으로, 회절 요소는 반사기 표면의 일부로서 형성될 수 있다. 이러한 대안적인 구성에서, 회절 요소는 광학 시스템(400)의 나머지를 통한 투영을 위해 방사선 빔(B)의 일부(RP)를 정반사하도록 구성된다. 회절 요소는 방사선 빔(B)의 회절된 부분의 스펙트럼 함량을 분석하기 위해 방사선 빔(B)의 나머지 부분(DP)을 기준 검출기(314)(도 4 참조)를 향해 회절시키도록 추가로 구성된다.
도 6a 내지 6b는 각각 하나 이상의 경계 조건에 대한 특정 기준을 충족하는 광학 시스템(500)의 개략도이다. 도 6a는 제1 방향에서 광학 시스템(500)의 광학 경로의 투영이다. 도 6b는 제1 방향에 수직인 제2 방향에서 광학 시스템(500)의 광학 경로의 투영이다. 따라서, 도 6a 및 6b는 각각 광학 시스템(500)의 서로 직교하는 시야를 나타낸다.
광학 시스템(400)과 공통적으로, 광학 시스템(500)은 2개의 월터 반사기 시스템을 포함하지만, 이하에서 더 상세히 설명되는 바와 같이 상이한 구성을 갖는다. 광학 시스템(400)의 특징들에 대응하는 광학 시스템(500)의 특징들은 100 씩 증가된 도면 부호로 표현되어 있다. 따라서 광학 시스템(500)은 광학 시스템(400)과 유사하고 특정 기준을 충족하는 이미징 성능을 제공할 수 있다.
광학 시스템(500)은 제1 반사기 시스템(510) 및 제2 반사기 시스템(512)을 포함한다. 제1 및 제2 반사기 시스템(510, 512)은 각각 1차원 유한-대-유한 월터 반사기 시스템을 포함한다. 그러나, 도 5a 내지 5b의 광학 시스템(400)과 대조적으로, 광학 시스템(500)은 2개의 월터 타입 III 반사기 시스템(즉, 월터 타입 III 반사기 시스템에 이어 추가적인 월터 타입 III 반사기 시스템)을 포함한다. 따라서, 대상물(516)로부터 이미지(514)까지 광학 시스템(500)에 제공된 반사기의 순서는 다음과 같다. 광학 시스템(500)의 제1 반사기(M1')(즉, 제1 반사기 시스템(510)의 1차 반사기)는 타원-원통형 볼록 반사기를 포함한다. 광학 시스템(500)의 제2 반사기(M2')(즉, 제1 반사기 시스템(510)의 2차 반사기)는 타원-원통형 오목 반사기를 포함한다. 광학 시스템(500)의 제3 반사기(M3')(즉, 제2 반사기 시스템(512)의 1차 반사기)는 타원-원통형 볼록 반사기를 포함한다. 광학 시스템(500)의 제4 반사기(M4')(즉, 제2 반사기 시스템(512)의 2차 반사기)는 타원-원통형 오목 반사기를 포함한다. 도 5a 내지 5b의 광학 시스템(400)에 비해, 제2 반사기 시스템(512)이 월터 타입 I 반사기 시스템 대신 월터 타입 III 반사기 시스템을 포함하기 때문에 광학 시스템(500)의 제4 반사기(M4')는 관심 영역에서 더 멀리 위치할 수 있다. 따라서, 광학 시스템(500)의 구성은 광학 시스템(400)에 비해 제4 반사기(M4')와 관심 영역 사이에 더 큰 자유 작동 거리를 제공할 수 있다. 이러한 구성은 광학 시스템(400)에 비하여 적어도 하나의 경계 조건(예를 들어, 자유 작동 거리)의 완화를 나타낼 수 있다. 그러나, 적어도 하나의 경계 조건이 완화될 수 있지만, 이러한 특정 구성은 적어도 하나의 다른 경계 조건에 영향을 미칠 수 있다.
도 6a에 도시된 바와 같이, 제1 반사기 시스템(510)은 대상물(516)을 포함하는 대상물 평면(520)을 따라 취해진 제1 방향(518)으로 대상물(516)을 축소하여 이미지(514)를 포함하는 이미지 평면(522)을 따라 취해진 대응하는 제1 방향(518)으로 대상물(516)의 대응하는 축소 이미지(514)를 형성하도록 구성된다. 도 6b에 도시된 바와 같이, 제2 반사기 시스템(512)은 대상물 평면(520)을 따라 취해진 제2 방향(524)으로(제2 방향(524)은 제1 방향(518)에 수직) 대상물(514)을 축소하여 이미지 평면(522)을 따라 취해진 대응하는 제2 방향(524)으로 대상물(516)의 대응하는 축소 이미지(514)를 형성하도록 구성된다.
광학 시스템(500)은 제1 반사기 시스템(510)의 주 표면(526) 및 제2 반사기 시스템(512)의 주 표면(528)이 대상물(516)보다 이미지(514)에 더 가까운 교차점(532)에서 대상물(516)과 이미지(524) 사이에 규정된 축(530)과 교차하도록 구성될 수 있다. 이러한 예에서, 월터 반사 시스템은, 제1 반사기 시스템(510)의 주 표면(526)이 제2 반사기 시스템(512)의 주 표면(528)과 실질적으로 동일한 교차점(532)에서 축(530)과 교차하도록 구성된다.
선택적으로, 광학 시스템(500)은 방사선 빔(B)의 회절된 스펙트럼 성분을 공간적으로 분리하기 위한 회절 요소(미도시)를 포함한다. 도 6a에 의해 도시된 예에서, 회절 요소는 제1 반사기 시스템(510)의 제2 반사기(M2')의 일부로서 제공된다.
도 7a 내지 7b는 각각 하나 이상의 경계 조건에 대한 특정 기준을 충족하는 광학 시스템(600)의 개략도이다. 도 7a는 제1 방향에서 광학 시스템(600)의 광학 경로의 투영이다. 도 7b는 제1 방향에 수직인 제2 방향에서 광학 시스템(600)의 광학 경로의 투영이다. 따라서, 도 7a 및 7b는 각각 광학 시스템(600)의 서로 직교하는 시야를 나타낸다.
광학 시스템(400, 500)과 공통적으로, 광학 시스템(600)은 2개의 월터 반사기 시스템을 포함하지만, 이하에서 더 상세히 설명되는 바와 같이 상이한 구성을 갖는다. 광학 시스템(500)의 특징들에 대응하는 광학 시스템(600)의 특징들은 100 씩 증가된 도면 부호로 표현되어 있다. 광학 시스템(600)은 광학 시스템(500)과 유사하고 특정 기준을 충족하는 이미징 성능을 제공할 수 있다.
광학 시스템(600)은 제1 반사기 시스템(610) 및 제2 반사기 시스템(612)을 포함한다. 제1 및 제2 반사기 시스템(610, 612)은 각각 1차원 유한-대-유한 월터 반사기 시스템을 포함한다. 도 6a 내지 6b의 광학 시스템(500)과 유사하게, 광학 시스템(600)은 2개의 월터 타입 III 반사기 시스템을 포함한다. 광학 시스템(600)은 광학 시스템(500)에 의해 제공되는 것과 유사한 기술적 이점을 가질 수 있다. 광학 시스템(500)에 제공된 반사기들의 순서와 대조적으로, 광학 시스템(600)의 2개의 월터 타입 III 반사기 시스템의 반사기들은 대상물(616)과 이미지(614) 사이에 다음 순서로 설명되는 바와 같이 얽혀있다.
광학 시스템(600)의 제1 반사기(M1")(즉, 제1 반사기 시스템(610)의 1차 반사기)는 타원-원통형 볼록 반사기를 포함한다. 제1 반사기(M1") 다음으로, 타원-원통형 볼록 반사기를 포함하는 광학 시스템(600)의 제2 반사기(M1")(즉, 제2 반사기 시스템(612)의 1차 반사기)가 이어진다. 제2 반사기(M2") 다음으로, 타원-원통형 오목 반사기를 포함하는 광학 시스템(600)의 제3 반사기(M3")(즉, 제1 반사기 시스템(610)의 2차 반사기)가 이어진다. 제3 반사기(M3") 다음으로, 타원-원통형 오목 반사기를 포함하는 광학 시스템(600)의 제4 반사기(M4")(즉, 제2 반사기 시스템(612)의 2차 반사기)가 이어진다.
도 7a에 도시된 바와 같이, 제1 반사기 시스템(610)은 대상물(616)을 포함하는 대상물 평면(620)을 따라 취해진 제1 방향(618)으로 대상물(616)을 축소하여 이미지(614)를 포함하는 이미지 평면(622)을 따라 취해진 대응하는 제1 방향(618)으로 대상물(616)의 대응하는 축소 이미지(614)를 형성하도록 구성된다. 도 7b에 도시된 바와 같이, 제2 반사기 시스템(612)은 대상물 평면(620)을 따라 취해진 제2 방향(624)으로(제2 방향(624)은 제1 방향(618)에 수직) 대상물(614)을 축소하여 이미지 평면(522)을 따라 취해진 대응하는 제2 방향(624)으로 대상물(616)의 대응하는 축소 이미지(614)를 형성하도록 구성된다.
도 7a 및 7b를 참조하면, 광학 시스템(600)은 다음과 같이 구성됨을 알 수 있다:
- 제1 입사 평면은 제1 반사기 시스템(610)의 1차 반사기(M1")와 교차한다.
- 제2 입사 평면은 제2 반사기 시스템(612)의 1차 반사기(M2")와 교차한다.
- 제3 입사 평면은 제1 반사기 시스템(610)의 2차 반사기(M3")와 교차한다.
- 제4 입사 평면은 제2 반사기 시스템(612)의 2차 반사기(M4")와 교차한다. 제1 입사 평면과 제3 입사 평면은 서로 평행하지 않다(도 7b 참조). 제2 입사 평면과 제4 입사 평면 또한 서로 평행하지 않지만(도 7a 참조) 제1 입사 평면과 제3 입사 평면에 수직이다(도 7a 및 7b 참조).
본 명세서에 설명된 실시예는 예를 들어 최대 세기의 1/e2 에서 방사선 빔에 대해 규정된 특정 경계 조건을 식별하지만, 이러한 경계 조건은 대안적으로 또는 추가적으로 최대 세기의 0.5(즉, 반치전폭 또는 FWHM 세기) 또는 최대 세기의 0.1, 0.01, 0.001 또는 0.0001에 대해 규정될 수 있다. 특정 실시예로서, 광학 시스템은 방사선 빔의 에너지의 99.99 %가 관심 영역에서 이미징된 스폿 내에 있을 수 있도록 설계될 수 있다. 하지만, 광학 시스템은 방사선 빔의 에너지의 90%, 95%, 97.5%, 99%, 99.9% 이상이 관심 영역에서 이미징된 스폿 내에 있을 수 있도록 설계될 수도 있다.
추가의 실시예는 다음의 번호가 매겨진 조항으로 제공된다:
1. 계측 장치에서 기판의 관심 영역 상에 방사선 빔을 포커싱하기 위한 광학 시스템으로서, 방사선 빔은 연질 X-선 또는 극자외선 스펙트럼 범위의 방사선을 포함하며, 광학 시스템은:
제1 반사기 시스템 및 제2 반사기 시스템을 포함하되, 제1 및 제2 반사기 시스템은 각각 유한-대-유한 월터(Wolter) 반사기 시스템을 포함하고,
광학 시스템은, 관심 영역 상에, 방사선 빔의 겉보기 소스를 포함하는 대상물의 축소 이미지를 형성하도록 구성되는, 광학 시스템.
2. 제1조항에 있어서, 각각의 유한-대-유한 월터 반사기 시스템은, 상기 대상물을 포함하는 대상물 평면을 따라 취해진 한 방향으로 대상물을 축소하여 상기 이미지를 포함하는 이미지 평면을 따라 취해진 대응하는 방향으로 상기 대상물의 대응하는 축소 이미지를 형성하도록 구성된 1차원 유한-대-유한 월터 반사기 시스템을 포함하는, 광학 시스템.
3. 제2조항에 있어서, 제1 반사기 시스템은 대상물 평면을 따라 취해진 제1 방향으로 대상물을 축소하여 이미지 평면을 따라 취해진 대응하는 제1 방향으로 대상물의 대응하는 축소 이미지를 형성하도록 구성되고, 제2 반사기 시스템은 대상물 평면을 따라 취해진 제2 방향으로 대상물을 축소하여 이미지 평면을 따라 취해진 대응하는 제2 방향으로 대상물의 대응하는 축소 이미지를 형성하도록 구성되고, 제2 방향은 제1 방향에 수직인, 광학 시스템.
4. 제1조항 또는 제3조항에 있어서, 상기 광학 시스템은 상기 광학 시스템의 컴포넌트와 상기 관심 영역 사이에 규정된 자유 작동 거리가 10cm 이상이 되도록 구성되는, 광학 시스템.
5. 제1조항 내지 제4조항 중 어느 한 조항에 있어서, 상기 광학 시스템은 상기 제1 및 제2 반사기 시스템의 주 표면이 대상물보다 이미지에 더 가까운 교차점에서 대상물과 이미지 사이에 규정된 축과 교차하도록 구성되는, 광학 시스템.
6. 제5조항에 있어서, 제1 반사기 시스템의 주 표면은 제2 반사기 시스템의 주 표면과 실질적으로 동일한 교차점에서 상기 축과 교차하는, 광학 시스템.
7. 제1조항 내지 제6조항 중 어느 한 조항에 있어서, 상기 광학 시스템은 제1 반사기 시스템의 축소 계수가 제2 반사기 시스템의 축소 계수와 실질적으로 동일하도록 구성되고, 축소 계수는 대상물의 치수와 이미지의 대응하는 치수 사이의 비율에 의해 규정되는 것인, 광학 시스템.
8. 제1조항 내지 제7조항 중 어느 한 조항에 있어서, 광학 시스템은 대상물의 아이소모픽 이미지 또는 애너모픽 이미지를 형성하기 위해 요구되는 축소 종횡비로 대상물을 이미징하도록 구성되는, 광학 시스템.
9. 제1조항 내지 제8조항 중 어느 한 조항에 있어서, 광학 시스템은 5 이상, 선택적으로 8 이상, 선택적으로 10 이상의 축소 계수만큼 대상물의 적어도 하나의 치수를 축소하도록 구성되는, 광학 시스템.
10. 제1조항 내지 제9조항 중 어느 한 조항에 있어서, 상기 제1 반사기 시스템은 상기 제1 반사기 시스템과 교차하는 적어도 하나의 입사 평면이 상기 제2 반사기 시스템과 교차하는 적어도 하나의 입사 평면에 수직하도록 제2 반사기 시스템에 대해 배향되는, 광학 시스템.
11. 제10조항에 있어서, 광학 시스템은 제1 입사 평면이 제1 반사기 시스템의 각 반사기와 교차하고 제2 입사 평면이 제2 반사기 시스템의 각 반사기와 교차하도록 구성되며, 제1 및 제2 입사 평면은 서로 수직인, 광학 시스템.
12. 제10조항에 있어서, 광학 시스템은, 제1 입사 평면이 제1 반사기 시스템의 1차 반사기와 교차하고, 제2 입사 평면이 제2 반사기 시스템의 1차 반사기와 교차하며, 제3 입사 평면이 제1 반사기 시스템의 2차 반사기와 교차하고, 제4 입사 평면이 제2 반사기 시스템의 2차 반사기와 교차하되, 제1 및 제3 입사 평면은 서로 평행하지 않으며, 제2 및 제4 입사 평면은 서로 평행하지 않고 제1 및 제3 입사 평면에 수직이 되도록 구성되는, 광학 시스템.
13. 제1조항 내지 제12조항 중 어느 한 조항에 있어서, 상기 광학 시스템은 관심 영역에 관한 정보가, 관심 영역으로부터 반사, 산란, 및 회절 중 적어도 하나인 방사선으로부터 결정될 수 있도록 기판의 관심 영역 상에 방사선 빔을 포커싱하도록 구성되고, 선택적으로 기판은 집적 회로를 포함하거나 집적 회로의 제조에 사용 가능한, 광학 시스템.
14. 제1조항 내지 제13조항 중 어느 한 조항에 있어서, 상기 광학 시스템은 월터 타입 III 반사기 시스템, 및 추가적인 월터 타입 III 반사기 시스템과 월터 타입 I 반사기 시스템 중 하나를 포함하는, 광학 시스템.
15. 제14조항에 있어서, 월터 타입 III 반사기 시스템의 1차 반사기는 타원-원통형 볼록 반사기를 포함하고 월터 타입 III 반사기 시스템의 2차 반사기는 타원-원통형 오목 반사기를 포함하는, 광학 시스템.
16. 제14조항에 있어서, 월터 타입 I 반사기 시스템의 1차 반사기는 타원-원통형 오목 반사기를 포함하고, 월터 타입 I 반사기 시스템의 2차 반사기는 쌍곡-원통형 오목 반사기를 포함하는, 광학 시스템.
17. 제14조항 또는 제15조항에 있어서, 상기 제1 반사기 시스템은 월터 타입 III 반사기 시스템을 포함하는, 광학 시스템.
18. 제14조항, 제15조항 또는 제17조항에 있어서, 상기 제2 반사기 시스템은 상기 추가적인 월터 타입 III 반사기 시스템을 포함하는, 광학 시스템.
19. 제18조항에 있어서, 상기 광학 시스템은, 대상물과 이미지 사이에 순차적으로, 월터 타입 III 반사기 시스템, 뒤이어 상기 추가적인 월터 타입 III 반사기 시스템을 포함하는, 광학 시스템.
20. 제18조항에 있어서, 상기 광학 시스템은, 대상물과 이미지 사이에 순차적으로, 월터 타입 III 반사기 시스템의 1차 반사기, 뒤이어 상기 추가적인 월터 타입 III 반사기 시스템의 1차 반사기, 뒤이어 월터 타입 III의 2차 반사기, 뒤이어 상기 추가적인 월터 타입 III 반사기 시스템의 2차 반사기를 포함하는, 광학 시스템.
21. 제14조항 내지 제17조항 중 어느 한 조항에 있어서, 상기 제2 반사기 시스템은 월터 타입 I 반사기 시스템을 포함하고, 광학 시스템은, 대상물과 이미지 사이에 순차적으로, 월터 타입 III 반사기 시스템, 뒤이어 상기 월터 타입 I 반사기 시스템을 포함하는, 광학 시스템.
22. 제1조항 내지 제21조항 중 어느 한 조항에 있어서, 제1 반사기 시스템 및 제2 반사기 시스템 중 적어도 하나는 방사선 빔의 회절된 스펙트럼 성분을 공간적으로 분리하기 위한 회절 요소를 포함하는, 광학 시스템.
23. 제22조항에 있어서, 상기 회절 요소는 제1 반사기 시스템의 2차 반사기의 일부로서 제공되는, 광학 시스템.
24. 제1조항 내지 제23조항 중 어느 한 조항에 있어서, 상기 광학 시스템은, 대상물과 이미지 사이에 규정되는 주 광선(chief ray)의 경로길이가 3미터 이하, 선택적으로 1.5미터 이하가 되도록 구성되는, 광학 시스템.
25. 계측 또는 검사 장치로서,
기판을 수용하고 제어 가능한 위치에 유지하기 위한 기판 테이블;
조명 방사선 빔을 생성하기 위한 방사선 소스;
기판 상의 관심 영역에 조명 방사선 빔을 포커싱하기 위한 제1조항 내지 제24조항 중 어느 한 조항에 따른 광학 시스템을 포함하는, 계측 또는 검사 장치.
26. 기판 상에 제조된 구조체의 일부의 관심 특성을 결정하기 위한 계측 장치로서, 제1조항 내지 제24조항 중 어느 한 조항에 따른 광학 시스템을 포함하는, 계측 장치.
27. 기판 상에 제조된 구조체의 일부를 검사하기 위한 검사 장치로서, 제1조항 내지 제24조항 중 어느 한 조항에 따른 광학 시스템을 포함하는, 검사 장치.
28. 제25조항에 따른 계측 또는 검사 장치, 제26조항에 따른 계측 장치, 또는 제27조항에 따른 검사 장치를 포함하는 리소그래피 셀.
29. 계측 장치에서 기판의 관심 영역 상에 방사선 빔을 포커싱하기 위해 광학 시스템을 이용하는 방법으로서, 방사선 빔은 연질 X-선 또는 극자외선 스펙트럼 범위의 방사선을 포함하며:
제1 반사기 시스템 및 제2 반사기 시스템을 포함하는 광학 시스템을 제공하는 단계 - 제1 및 제2 반사기 시스템은 각각 유한-대-유한 월터 반사기 시스템을 포함함-; 및
관심 영역 상에, 방사선 빔의 겉보기 소스를 포함하는 대상물의 축소 이미지를 형성하는 단계를 포함하는 방법.
본 명세서에서는 IC의 제조에 리소그래피 장치를 사용하는 것에 대해 특별히 언급할 수 있지만, 여기에 설명된 리소그래피 장치는 다른 응용예를 가질 수 있음을 이해해야 할 것이다. 가능한 다른 응용 분야로는, 통합된 광학 시스템, 자기 도메인 메모리를 위한 안내 및 검출 패턴, 평판 디스플레이, 액정 디스플레이(LCD) 및 박막 자기 헤드 등의 제조가 있다.
본 명세서에서는 리소그래피 장치와 관련하여 본 발명의 실시예를 구체적으로 언급할 수 있지만, 본 발명의 실시예는 다른 장치에서도 사용될 수 있다. 본 발명의 실시예는 마스크 검사 장치, 계측 장치, 또는 웨이퍼(또는 기타 다른 기판) 또는 마스크(또는 기타 다른 패터닝 디바이스)와 같은 대상물을 측정 또는 처리하는 임의의 장치의 일부를 형성할 수 있다. 이들 장치는 일반적으로 리소그래피 툴이라 지칭될 수 있다. 이러한 리소그래피 툴은 진공 조건 또는 주변(비-진공) 조건을 이용할 수 있다.
"계측 장치/툴/시스템" 또는 "검사 장치/툴/시스템"을 구체적으로 언급하고 있지만, 이러한 용어는 동일하거나 유사한 타입의 툴, 장치 또는 시스템을 지칭할 수 있다. 예를 들어, 본 발명의 일 실시예를 포함하는 검사 또는 계측 장치는 기판 또는 웨이퍼 상의 구조체의 특성을 결정하는 데에 사용될 수 있다. 예를 들어, 본 발명의 일 실시예를 포함하는 검사 장치 또는 계측 장치는 기판의 결함 또는 기판 또는 웨이퍼 상의 구조체의 결함을 검출하는 데에 사용될 수 있다. 이러한 실시예에서, 기판 상의 구조체의 관심 특성은 구조체 내의 결함, 구조체의 특정 부분의 부재, 또는 기판 또는 웨이퍼 상의 원치 않는 구조체의 존재에 관한 것일 수 있다.
광학 리소그래피의 맥락에서 본 발명의 실시예를 이용하는 것에 대해 특정하게 언급하였지만, 본 발명은 문맥이 허용하는 한 광학 리소그래피로 제한되지 않으며 예를 들어 임프린트 리소그래피 등의 다른 응용예에서도 이용될 수 있음을 이해할 것이다.
이상에서 발명의 특정 실시예들이 설명되었지만, 본 발명은 설명된 것과 다르게 실시될 수도 있다는 것을 이해할 수 있을 것이다. 앞선 설명은 예시적인 것으로 의도되며, 제한적인 것으로 의도되지 않는다. 따라서, 이하 제시되는 청구 범위로부터 벗어남이 없이, 기술된 본 발명에 대해 수정이 이루어질 수 있음은 당업자에게 명백할 것이다.

Claims (15)

  1. 계측 장치에서 기판의 관심 영역 상에 방사선 빔을 포커싱하기 위한 광학 시스템으로서, 방사선 빔은 연질 X-선 또는 극자외선 스펙트럼 범위의 방사선을 포함하며, 광학 시스템은:
    제1 반사기 시스템 및 제2 반사기 시스템을 포함하되, 제1 및 제2 반사기 시스템은 각각 유한-대-유한 월터(Wolter) 반사기 시스템을 포함하고,
    광학 시스템은, 관심 영역 상에, 방사선 빔의 겉보기 소스를 포함하는 대상물의 축소 이미지를 형성하도록 구성되는, 광학 시스템.
  2. 제1항에 있어서,
    각각의 유한-대-유한 월터 반사기 시스템은, 상기 대상물을 포함하는 대상물 평면을 따라 취해진 한 방향으로 대상물을 축소하여 상기 이미지를 포함하는 이미지 평면을 따라 취해진 대응하는 방향으로 상기 대상물의 대응하는 축소 이미지를 형성하도록 구성된 1차원 유한-대-유한 월터 반사기 시스템을 포함하고,
    선택적으로, 제1 반사기 시스템은 대상물 평면을 따라 취해진 제1 방향으로 대상물을 축소하여 이미지 평면을 따라 취해진 대응하는 제1 방향으로 대상물의 대응하는 축소 이미지를 형성하도록 구성되고, 제2 반사기 시스템은 대상물 평면을 따라 취해진 제2 방향으로 대상물을 축소하여 이미지 평면을 따라 취해진 대응하는 제2 방향으로 대상물의 대응하는 축소 이미지를 형성하도록 구성되고, 제2 방향은 제1 방향에 수직인, 광학 시스템.
  3. 제1항 또는 제2항에 있어서,
    상기 광학 시스템은 상기 광학 시스템의 컴포넌트와 상기 관심 영역 사이에 규정된 자유 작동 거리가 10cm 이상이 되도록 구성되는, 광학 시스템.
  4. 제1항 내지 제3항 중 어느 한 항에 있어서,
    상기 광학 시스템은 상기 제1 및 제2 반사기 시스템의 주 표면이 대상물보다 이미지에 더 가까운 교차점에서 대상물과 이미지 사이에 규정된 축과 교차하도록 구성되고,
    선택적으로, 제1 반사기 시스템의 주 표면은 제2 반사기 시스템의 주 표면과 실질적으로 동일한 교차점에서 상기 축과 교차하는, 광학 시스템.
  5. 제1항 내지 제4항 중 어느 한 항에 있어서,
    상기 광학 시스템은 제1 반사기 시스템의 축소 계수가 제2 반사기 시스템의 축소 계수와 실질적으로 동일하도록 구성되고, 축소 계수는 대상물의 치수와 이미지의 대응하는 치수 사이의 비율에 의해 규정되는 것인, 광학 시스템.
  6. 제1항 내지 제5항 중 어느 한 항에 있어서,
    상기 제1 반사기 시스템은 상기 제1 반사기 시스템과 교차하는 적어도 하나의 입사 평면이 상기 제2 반사기 시스템과 교차하는 적어도 하나의 입사 평면에 수직하도록 제2 반사기 시스템에 대해 배향되고,
    선택적으로:
    - 광학 시스템은 제1 입사 평면이 제1 반사기 시스템의 각 반사기와 교차하고 제2 입사 평면이 제2 반사기 시스템의 각 반사기와 교차하도록 구성되며, 제1 및 제2 입사 평면은 서로 수직인 구성, 및
    - 광학 시스템은, 제1 입사 평면이 제1 반사기 시스템의 1차 반사기와 교차하고, 제2 입사 평면이 제2 반사기 시스템의 1차 반사기와 교차하며, 제3 입사 평면이 제1 반사기 시스템의 2차 반사기와 교차하고, 제4 입사 평면이 제2 반사기 시스템의 2차 반사기와 교차하되, 제1 및 제3 입사 평면은 서로 평행하지 않으며, 제2 및 제4 입사 평면은 서로 평행하지 않고 제1 및 제3 입사 평면에 수직이 되도록 구성되는 것
    중 하나로 구성되는, 광학 시스템.
  7. 제1항 내지 제6항 중 어느 한 항에 있어서,
    상기 광학 시스템은 월터 타입 III 반사기 시스템, 및 추가적인 월터 타입 III 반사기 시스템과 월터 타입 I 반사기 시스템 중 하나를 포함하고,
    선택적으로:
    - 월터 타입 III 반사기 시스템의 1차 반사기는 타원-원통형 볼록 반사기를 포함하고 월터 타입 III 반사기 시스템의 2차 반사기는 타원-원통형 오목 반사기를 포함하는 구성, 및
    - 월터 타입 I 반사기 시스템의 1차 반사기는 타원-원통형 오목 반사기를 포함하고, 월터 타입 I 반사기 시스템의 2차 반사기는 쌍곡-원통형 오목 반사기를 포함하는 구성
    중 적어도 하나로 구성되는, 광학 시스템.
  8. 제7항에 있어서,
    상기 제1 반사기 시스템은 월터 타입 III 반사기 시스템을 포함하는, 광학 시스템.
  9. 제7항 또는 제8항에 있어서,
    상기 제2 반사기 시스템은 상기 추가적인 월터 타입 III 반사기 시스템을 포함하는, 광학 시스템.
  10. 제9항에 있어서,
    - 상기 광학 시스템은, 대상물과 이미지 사이에 순차적으로, 월터 타입 III 반사기 시스템, 뒤이어 상기 추가적인 월터 타입 III 반사기 시스템을 포함하는 구성, 및
    - 상기 광학 시스템은, 대상물과 이미지 사이에 순차적으로, 월터 타입 III 반사기 시스템의 1차 반사기, 뒤이어 상기 추가적인 월터 타입 III 반사기 시스템의 1차 반사기, 뒤이어 월터 타입 III의 2차 반사기, 뒤이어 상기 추가적인 월터 타입 III 반사기 시스템의 2차 반사기를 포함하는 구성
    중 하나인, 광학 시스템.
  11. 제1항 내지 제10항 중 어느 한 항에 있어서,
    제1 반사기 시스템 및 제2 반사기 시스템 중 적어도 하나는 방사선 빔의 회절된 스펙트럼 성분을 공간적으로 분리하기 위한 회절 요소를 포함하고, 선택적으로, 상기 회절 요소는 제1 반사기 시스템의 2차 반사기의 일부로서 제공되는, 광학 시스템.
  12. 제1항 내지 제11항 중 어느 한 항에 있어서,
    상기 광학 시스템은, 대상물과 이미지 사이에 규정되는 주 광선(chief ray)의 경로길이가 3미터 이하, 선택적으로 1.5미터 이하가 되도록 구성되는, 광학 시스템.
  13. 기판 상에 제조된 구조체의 일부의 관심 특성을 결정하기 위한 계측 장치로서, 제1항 내지 제12항 중 어느 한 항에 따른 광학 시스템을 포함하는, 계측 장치.
  14. 제13항에 따른 계측 장치를 포함하는 리소그래피 셀.
  15. 계측 장치에서 기판의 관심 영역 상에 방사선 빔을 포커싱하기 위해 광학 시스템을 이용하는 방법으로서, 방사선 빔은 연질 X-선 또는 극자외선 스펙트럼 범위의 방사선을 포함하며:
    제1 반사기 시스템 및 제2 반사기 시스템을 포함하는 광학 시스템을 제공하는 단계 - 제1 및 제2 반사기 시스템은 각각 유한-대-유한 월터 반사기 시스템을 포함함-; 및
    관심 영역 상에, 방사선 빔의 겉보기 소스를 포함하는 대상물의 축소 이미지를 형성하는 단계를 포함하는 방법.
KR1020217009388A 2018-09-20 2019-08-14 광학 시스템, 계측 장치 및 관련 방법 KR20210044289A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
EP18195638.4A EP3627226A1 (en) 2018-09-20 2018-09-20 Optical system, metrology apparatus and associated method
EP18195638.4 2018-09-20
PCT/EP2019/071830 WO2020057870A1 (en) 2018-09-20 2019-08-14 Optical system, metrology apparatus and associated method

Publications (1)

Publication Number Publication Date
KR20210044289A true KR20210044289A (ko) 2021-04-22

Family

ID=63667742

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020217009388A KR20210044289A (ko) 2018-09-20 2019-08-14 광학 시스템, 계측 장치 및 관련 방법

Country Status (8)

Country Link
US (1) US11129266B2 (ko)
EP (1) EP3627226A1 (ko)
JP (1) JP2022501584A (ko)
KR (1) KR20210044289A (ko)
CN (1) CN112740108A (ko)
IL (1) IL281300A (ko)
TW (1) TWI773923B (ko)
WO (1) WO2020057870A1 (ko)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2022020938A (ja) * 2020-07-21 2022-02-02 株式会社日本製鋼所 レーザアニール装置、レーザアニール方法、及び半導体装置の製造方法
JP7458935B2 (ja) * 2020-08-26 2024-04-01 キオクシア株式会社 計測装置、及び、計測方法
US12013355B2 (en) * 2020-12-17 2024-06-18 Kla Corporation Methods and systems for compact, small spot size soft x-ray scatterometry
CN113030139B (zh) * 2021-05-31 2021-08-13 中国工程物理研究院激光聚变研究中心 一种新型晶体及紧凑型成像装置

Family Cites Families (38)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05126769A (ja) * 1991-11-01 1993-05-21 Hitachi Ltd 表面分析方法および装置
US7084412B2 (en) * 2002-03-28 2006-08-01 Carl Zeiss Smt Ag Collector unit with a reflective element for illumination systems with a wavelength of smaller than 193 nm
SG2010050110A (en) 2002-11-12 2014-06-27 Asml Netherlands Bv Lithographic apparatus and device manufacturing method
US7791727B2 (en) 2004-08-16 2010-09-07 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US7372058B2 (en) * 2005-09-27 2008-05-13 Asml Netherlands B.V. Ex-situ removal of deposition on an optical element
US7481579B2 (en) 2006-03-27 2009-01-27 Jordan Valley Applied Radiation Ltd. Overlay metrology using X-rays
US7791724B2 (en) 2006-06-13 2010-09-07 Asml Netherlands B.V. Characterization of transmission losses in an optical system
US7701577B2 (en) 2007-02-21 2010-04-20 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
IL194839A0 (en) 2007-10-25 2009-08-03 Asml Netherlands Bv Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
NL1036123A1 (nl) 2007-11-13 2009-05-14 Asml Netherlands Bv Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method.
NL1036245A1 (nl) 2007-12-17 2009-06-18 Asml Netherlands Bv Diffraction based overlay metrology tool and method of diffraction based overlay metrology.
NL1036684A1 (nl) 2008-03-20 2009-09-22 Asml Netherlands Bv Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method.
NL1036685A1 (nl) 2008-03-24 2009-09-25 Asml Netherlands Bv Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method.
NL1036734A1 (nl) 2008-04-09 2009-10-12 Asml Netherlands Bv A method of assessing a model, an inspection apparatus and a lithographic apparatus.
NL1036857A1 (nl) 2008-04-21 2009-10-22 Asml Netherlands Bv Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method.
EP2304504B1 (en) 2008-06-26 2019-07-03 ASML Netherlands B.V. Overlay measurement apparatus, lithographic apparatus, and device manufacturing method using such overlay measurement apparatus
WO2010040696A1 (en) 2008-10-06 2010-04-15 Asml Netherlands B.V. Lithographic focus and dose measurement using a 2-d target
NL2003588A (en) * 2008-12-15 2010-06-16 Asml Holding Nv Reticle inspection systems and method.
JP5545782B2 (ja) 2009-07-31 2014-07-09 エーエスエムエル ネザーランズ ビー.ブイ. リソグラフィ装置の焦点測定方法、散乱計、リソグラフィシステム、およびリソグラフィセル
WO2011035946A1 (en) * 2009-09-24 2011-03-31 Asml Holding N.V. Time differential reticle inspection
JP2013518261A (ja) * 2010-01-27 2013-05-20 エーエスエムエル ホールディング エヌ.ブイ. 空間フィルタを有するホログラフィックマスク検査システム
NL2006229A (en) 2010-03-18 2011-09-20 Asml Netherlands Bv Inspection method and apparatus, and associated computer readable product.
CN102947088B (zh) * 2010-06-23 2017-06-13 Asml控股股份有限公司 具有结合的聚合物薄膜磨损表面的气动轴承及其制造方法
NL2007176A (en) 2010-08-18 2012-02-21 Asml Netherlands Bv Substrate for use in metrology, metrology method and device manufacturing method.
NL2009004A (en) 2011-07-20 2013-01-22 Asml Netherlands Bv Inspection method and apparatus, and lithographic apparatus.
EP2579100A3 (en) * 2011-10-03 2017-12-06 ASML Holding N.V. Inspection apparatus, lithographic apparatus, and device manufacturing method
US10801975B2 (en) 2012-05-08 2020-10-13 Kla-Tencor Corporation Metrology tool with combined X-ray and optical scatterometers
NL2010717A (en) 2012-05-21 2013-11-25 Asml Netherlands Bv Determining a structural parameter and correcting an asymmetry property.
JP5942190B2 (ja) * 2012-06-27 2016-06-29 株式会社ジェイテック 二重反射型x線ミラーを用いた斜入射x線結像光学装置
US10013518B2 (en) 2012-07-10 2018-07-03 Kla-Tencor Corporation Model building and analysis engine for combined X-ray and optical metrology
US9449781B2 (en) * 2013-12-05 2016-09-20 Sigray, Inc. X-ray illuminators with high flux and high flux density
DE102013223935A1 (de) * 2013-11-22 2015-05-28 Carl Zeiss Smt Gmbh Beleuchtungssystem für die EUV-Belichtungslithographie
US9823203B2 (en) * 2014-02-28 2017-11-21 Sigray, Inc. X-ray surface analysis and measurement apparatus
IL290735B2 (en) 2014-11-26 2023-03-01 Asml Netherlands Bv Metrological method, computer product and system
NL2016472A (en) 2015-03-25 2016-09-30 Asml Netherlands Bv Metrology Methods, Metrology Apparatus and Device Manufacturing Method.
JP6630369B2 (ja) 2015-06-17 2020-01-15 エーエスエムエル ネザーランズ ビー.ブイ. 相互レシピ整合性に基づくレシピ選択
US11189392B2 (en) * 2015-09-25 2021-11-30 Osaka University X-ray microscope
CN113376975A (zh) 2015-12-23 2021-09-10 Asml荷兰有限公司 量测方法、量测设备、器件制造方法和计算机程序产品

Also Published As

Publication number Publication date
CN112740108A (zh) 2021-04-30
JP2022501584A (ja) 2022-01-06
WO2020057870A1 (en) 2020-03-26
IL281300A (en) 2021-04-29
TWI773923B (zh) 2022-08-11
TW202024801A (zh) 2020-07-01
US20200100350A1 (en) 2020-03-26
US11129266B2 (en) 2021-09-21
EP3627226A1 (en) 2020-03-25

Similar Documents

Publication Publication Date Title
US10670974B2 (en) Metrology apparatus for and a method of determining a characteristic of interest of a structure on a substrate
US10634490B2 (en) Determining edge roughness parameters
KR102507137B1 (ko) 검사 장치용 조명 소스, 검사 장치 및 검사 방법
TWI773923B (zh) 光學系統、度量衡裝置及相關方法
US11353796B2 (en) Method and apparatus for determining a radiation beam intensity profile
US20220397834A1 (en) Measuring method and measuring apparatus
EP3851915A1 (en) Method for correcting measurements in the manufacture of integrated circuits and associated apparatuses
EP3528048A1 (en) A metrology apparatus for and a method of determining a characteristic of interest of a structure on a substrate
TWI794950B (zh) 波前度量衡感測器及其光罩、用於最佳化光罩之方法及相關裝置
TWI795975B (zh) 基於從繞射結構產生高階諧波之度量衡設備及度量衡方法
TWI840628B (zh) 用於量測基板之參數的設備和方法及包含所述設備之度量衡設備
EP3869270A1 (en) Assemblies and methods for guiding radiation
NL2021670A (en) Optical system, metrology apparatus and associated method
EP3467589A1 (en) Determining edge roughness parameters
NL2024935A (en) Assemblies and methods for guiding radiation

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E601 Decision to refuse application