CN110622068B - 测量方法 - Google Patents

测量方法 Download PDF

Info

Publication number
CN110622068B
CN110622068B CN201880024727.XA CN201880024727A CN110622068B CN 110622068 B CN110622068 B CN 110622068B CN 201880024727 A CN201880024727 A CN 201880024727A CN 110622068 B CN110622068 B CN 110622068B
Authority
CN
China
Prior art keywords
radiation
characteristic
measurement
measurement process
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201880024727.XA
Other languages
English (en)
Other versions
CN110622068A (zh
Inventor
N·潘迪
廉晋
S·U·雷曼
M·J·J·贾克
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASML Holding NV
Original Assignee
ASML Holding NV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from EP17166691.0A external-priority patent/EP3388896A1/en
Application filed by ASML Holding NV filed Critical ASML Holding NV
Publication of CN110622068A publication Critical patent/CN110622068A/zh
Application granted granted Critical
Publication of CN110622068B publication Critical patent/CN110622068B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/02Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/7055Exposure light control in all parts of the microlithographic apparatus, e.g. pulse length control or light interruption
    • G03F7/70566Polarisation control
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/7055Exposure light control in all parts of the microlithographic apparatus, e.g. pulse length control or light interruption
    • G03F7/70575Wavelength control, e.g. control of bandwidth, multiple wavelength, selection of wavelength or matching of optical components to wavelength
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/7055Exposure light control in all parts of the microlithographic apparatus, e.g. pulse length control or light interruption
    • G03F7/70583Speckle reduction, e.g. coherence control or amplitude/wavefront splitting
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Investigating, Analyzing Materials By Fluorescence Or Luminescence (AREA)
  • Length Measuring Devices By Optical Means (AREA)
  • Investigating Materials By The Use Of Optical Means Adapted For Particular Applications (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)

Abstract

公开了用于测量在衬底上形成的多个结构的方法和设备。在一种布置中,方法包括从第一测量过程获取数据。第一测量过程包括单独地测量多个结构中的每个结构以测量结构的第一特性。第二测量过程被用于测量多个结构中的每个结构的第二特性。第二测量过程包括利用具有辐射特性的辐射照射每个结构,辐射特性是使用针对该结构所测量的第一特性针对该结构单独地被选择的。

Description

测量方法
相关申请的交叉引用
本申请要求于2017年4月14日提交的EP申请17166691.0和2018年2月15日提交的EP申请18156860.1的优先权,其全部内容通过引用并入本文。
技术领域
本发明涉及用于测量在衬底上形成的多个结构的方法和设备、器件制造方法以及光刻系统。
背景技术
光刻设备是将期望的图案施加到衬底上(通常施加到衬底的目标部分上)的机器。例如,光刻设备可以被用在集成电路(IC)的制造中。在那种情况下,可以使用图案形成装置(备选地称为掩模或掩模版)来生成要在IC的单独的层上形成的电路图案。该图案可以被转印到衬底(例如,硅晶片)上的目标部分(例如,包括部分、一个或几个裸片)上。图案的转印通常经由成像到在衬底上提供的辐射敏感材料(抗蚀剂)层上。通常,单个衬底将包含相邻目标部分的网络,相邻目标部分的网络被连续地图案化。在光刻工艺中,经常需要对所创建的结构进行测量,例如用于工艺控制和验证。用于进行这种测量的各种工具是已知的,包括通常用于测量临界尺寸(CD)的扫描电子显微镜,以及测量套刻的专用工具,其是器件中两层的对齐精度的测量。可以根据两层之间的未对齐程度来描述套刻,例如,参考1nm的测量的套刻可以描述两层未对齐1nm的情况。
最近,已经开发了各种形式的散射仪用于光刻领域中。这些装置将一束辐射定向到目标上并且测量散射辐射的一个或多个特性(例如,根据波长变化的单个反射角处或一定反射角范围内的强度;根据反射角变化的一个或多个波长处的强度;或者根据反射角变化的偏振),以获取可以确定目标的感兴趣的特性的“光谱”。可以通过各种技术来确定感兴趣的特性:例如,通过使用严格耦合波分析或有限元方法实施的迭代方法来重构目标;库搜索;以及主成分分析。
可以使用暗场散射测量法测量目标,其中(对应于镜面反射的)零阶衍射被阻挡,并且仅处理更高阶衍射。暗场量测的示例可以在国际专利申请WO 2009/078708和WO 2009/106279中找到,其文献通过引用整体并入本文。已经在专利公开US20110027704A、US20110043791A和US20120242970A中描述了该技术的进一步发展。所有这些申请的内容也通过引用并入本文。使用衍射阶的暗场检测的基于衍射的套刻使得能够在较小的目标上进行套刻测量。这些目标可以小于照射点,并且可以被晶片上的产品结构包围。目标可以包括多个光栅,多个光栅可以在一个图像中测量。
针对给定的套刻目标的不同衍射阶之间(例如,-1和+1衍射阶之间)的强度不对称提供了目标不对称的测量;也就是说,目标中的不对称。套刻目标中的这种不对称可以被用作套刻的指示符(两层的不期望的未对齐)。
由于不同目标结构之间的工艺变化,已经观察到强度不对称的程度在不同衬底之间变化。例如,目标结构内的薄膜叠层的厚度的变化可以影响强度不对称的程度。可以通过改变照射辐射的特性(诸如,照射辐射的波长)来减少建模和/或测量误差。
发明内容
期望改进用于测量相同衬底上的多个目标结构的现有方法和设备。
根据本发明的一个方面,提供了一种测量在衬底上形成的多个结构的方法,该方法包括:从第一测量过程获取数据,第一测量过程包括单独地测量多个结构中的每个结构以测量结构的第一特性;以及使用第二测量过程来测量多个结构中的每个结构的第二特性,第二测量过程包括利用具有辐射特性的辐射照射每个结构,该辐射特性是使用针对该结构所测量的第一特性针对该结构单独地被选择的。
根据本发明的一个方面,提供了一种用于测量衬底上的多个结构的量测设备,该量测设备包括:第一测量系统,被配置为执行第一测量过程,第一测量过程包括单独地测量多个结构中的每个结构以测量结构的第一特性;第二测量系统,被配置为执行第二测量过程,第二测量过程包括测量多个结构中的每个结构的第二特性;以及控制器,被配置为控制第二测量过程,使得在第二测量过程期间用于照射每个结构的辐射的辐射特性是使用针对该结构所测量的的第一特性针对该结构单独地被选择的。
附图说明
现在将仅通过示例的方式参考所附示意图描述本发明的实施例,其中对应的附图标记指示对应的部分,并且其中:
图1描绘了光刻设备;
图2描绘了光刻单元或簇;
图3包括:(a)暗场散射仪的示意图,暗场散射仪用于使用第一对照射孔测量目标;(b)针对给定的照射方向的目标光栅的衍射光谱的细节;(c)已知形式的多光栅目标和衬底上的测量点的轮廓的描绘;以及(d)在图3(a)的散射仪中获取的图3(c)的目标的图像的描绘;以及
图4描绘了具有底部光栅不对称的目标结构;
图5描绘了通过将不对称的底部光栅分成两个相移的对称光栅来对图4的目标结构进行建模;
图6描绘了包括第一测量系统、第二测量系统和控制器的量测设备;
图7描绘了针对具有不同薄膜叠层差异的目标结构的套刻灵敏度K相对于测量辐射的波长λ的模拟曲线(摆动曲线);
图8是描绘波长λP(最佳波长)与来自焦距传感器的信号强度I0之间的相关性的曲线图,波长λP对应于摆动曲线中的峰值位置;
图9是比较使用固定的波长测量所有目标结构30中的套刻的套刻测量的结果(星形符号)与其中波长单独地适合于每个目标结构30的套刻测量的结果(圆圈符号)的曲线图;
图10是描绘针对双波长量测方法的波长的选择的曲线图;
图11是描绘在双波长量测方法的第一波长处测量的灵敏度与针对第二波长的最佳值之间的相关性的曲线图;以及
图12是描绘基于在中间波长处测量的灵敏度,针对双波长量测方法的第二波长的选择的曲线图。
具体实施方式
本说明书公开了包含本发明的特征的一个或多个实施例。所公开的实施例仅举例说明了本发明。本发明的范围不限于所公开的实施例。本发明由所附于此的权利要求限定。
所描述的实施例以及说明书中对“一个实施例”、“实施例”、“示例实施例”等的参考指示所描述的实施例可以包括特定的特征、结构或特性,但是每个实施例可以不必包括特定的特征、结构或特性。而且,这些短语不一定是指同一实施例。进一步,当结合实施例描述特定的特征、结构或特性时,应当理解,无论是否明确描述,结合其它实施例实现这种特征、结构或特性是在本领域技术人员的知识范围内的。
然而,在更详细地描述这些实施例之前,提供可以实现本发明的实施例的示例环境是有益的。
图1示意性地描绘了光刻设备LA。该设备包括:照射系统(照射器)IL,被配置为调节辐射束B(例如,UV辐射或DUV辐射);支撑结构(例如,掩模台)MT,被构造为支撑图案形成装置(例如,掩模)MA并且连接到第一定位器PM,第一定位器PM被配置为根据某些参数精确地定位图案形成装置;衬底台(例如,晶片台)WT,被构造为保持衬底(例如,抗蚀剂涂覆的晶片)W并且连接到第二定位器PW,第二定位器PW被配置为根据某些参数精确地定位衬底;以及投影系统(例如,折射投影透镜系统)PS,被配置为将通过图案形成装置MA赋予辐射束B的图案投影到衬底W的目标部分C(例如,包括一个或多个裸片)上。
照射系统可以包括各种类型的光学部件,诸如折射、反射、磁性、电磁、静电或其它类型的光学部件、或其任何组合,用于定向、成形或控制辐射。
支撑结构支撑图案形成装置(即,承载其重量)。它以取决于图案形成装置的取向、光刻设备的设计和其它条件(例如图案形成装置是否被保持在真空环境中)的方式保持图案形成装置。支撑结构可以使用机械、真空、静电或其它夹持技术来保持图案形成装置。诸如,支撑结构可以是框架或台,其可以根据需要固定或移动。支撑结构可以确保图案形成装置(例如,相对于投影系统)处于期望的位置。本文中术语“掩模版”或“掩模”的任何使用可以被认为与更一般术语“图案形成装置”同义。
本文使用的术语“图案形成装置”应被广义的解释为指可用于在其横截面中赋予辐射束图案的任何装置,以便在衬底的目标部分中创建图案。应当注意,例如,如果图案包括相移特征或所谓的辅助特征,则赋予辐射束的图案可能不完全对应于衬底的目标部分中的期望的图案。通常,赋予辐射束的图案将对应于在目标部分中创建的器件(诸如集成电路)中的特定功能层。
图案形成装置可以是透射的或反射的。图案形成装置的示例包括掩模、可编程反射镜阵列和可编程LCD面板。掩模并且包括掩模类型(诸如,二元、交替相移和衰减相移、以及各种混合掩模类型)在光刻中是众所周知的。可编程反射镜阵列的一个示例采用小反射镜的矩阵布置,每个小反射镜可以被单独地倾斜,以便在不同方向上对入射辐射束进行反射。倾斜的反射镜在辐射束中赋予图案,辐射束由反射镜矩阵反射。
本文使用的术语“投影系统”应被广义地解释为包括各种类型的投影系统,包括:折射、反射、反射折射、磁性、电磁以及静电光学系统、或其任何组合,视所使用的曝光辐射或者(诸如使用浸液或使用真空)其它因素而定。本文中术语“投影透镜”的任何使用可被视为与更一般术语“投影系统”同义。
在这个实施例中,例如,设备是透射类型的(例如,采用透射掩模)。备选地,设备可以是反射类型的(例如,采用如上所述类型的可编程反射镜阵列,或采用反射掩模)。
光刻设备可以是具有两个(双台)或多个衬底台的类型,并且例如是两个或多个掩模台。在这种“多台”机器中,可以并行使用附加台,或者当一个或多个其它台正被用于曝光时,可以在一个或多个台上执行准备步骤。
光刻设备还可以是如下类型,其中衬底的至少一部分可以被具有相对高折射率的液体(例如,水)覆盖,以便填充投影系统与衬底之间的空间。浸液还可以被施加到光刻设备中的其它空间(例如掩模与投影系统之间)。浸入技术在本领域中用于增加投影系统的数值孔径是众所周知的。本文使用的术语“浸入”并不意味着结构(诸如,衬底)必须浸没在液体中,而是仅意味着在曝光期间液体位于投影系统与衬底之间。
参考图1,照射器IL接收来自辐射源SO的辐射束。例如,当源是准分子激光器时,源和光刻设备可以是单独的实体。在这种情况下,源不被认为形成光刻设备的一部分,并且辐射束借助于光束传递系统BD(例如,包括合适的定向反射镜和/或扩束器)从源SO传递到照射器IL。在其它情况下,例如当源是汞灯时,源可以是光刻设备的组成部分。如果需要,源SO和照射器IL以及光束传递系统BD可以被称为辐射系统。
照射器IL可以包括调节器AD,用于调节辐射束的角强度分布。通常,可以调节照射器的光瞳面中的强度分布的至少外径向范围和/或内径向范围(通常分别地被称为σ-外和σ-内)。另外,照射器IL可以包括各种其他部件,诸如积分器IN和聚光器CO。照射器可以被用于调节辐射束,以在其横截面中具有期望的均匀性和强度分布。
辐射束B入射在图案形成装置(例如,掩模MA)上并且由图案形成装置图案化,图案形成装置被保持在支撑结构(例如,掩模台MT)上。在穿过掩模MA之后,辐射束B穿过投影系统PS,投影系统PS将光束聚焦到衬底W的目标部分C上。借助于第二定位器PW和位置传感器IF(例如,干涉测量装置、线性编码器、2-D编码器或电容传感器),衬底台WT可以精确地移动,(例如)以便将不同的目标部分C定位在辐射束B的路径中。类似地,例如在从掩模库机械检索之后或在扫描期间,第一定位器PM和另一个位置传感器(图1中未明确地示出)可以被用于相对于辐射束B的路径精确地定位掩模MA。通常,掩模台MT的移动可以借助于长行程模块(粗略定位)和短行程模块(精确定位)来实现,长行程模块和短行程模块形成第一定位器PM的一部分。类似地,可以使用长行程模块和短行程模块来实现衬底台WT的移动,长行程模块和短行程模块形成第二定位器PW的一部分。在(与扫描器相对的)步进器的情况下,掩模台MT可以仅被连接到短行程致动器,或者可以被固定。可以使用掩模对齐标记M1、M2和衬底对齐标记P1、P2来对齐掩模MA和衬底W。尽管如图所示的衬底对齐标记占据专用目标部分,但是它们可以位于目标部分之间的空间中(这些被称为划线对齐标记)。类似地,在掩模MA上提供多于一个裸片的情况下,掩模对齐标记可以位于裸片之间。
所描绘的装置可以用在以下模式中的至少一种模式中:
1.在步进模式中,当将赋予辐射束的整个图案一次性投影到目标部分C上时,掩模台MT和衬底台WT保持基本静止(即,单次静态曝光)。然后衬底台WT在X和/或Y方向上移位,从而可以曝光不同的目标部分C。在步进模式中,曝光区域的最大尺寸限制了在单次静态曝光中成像的目标部分C的尺寸。
2.在扫描模式中,当将赋予辐射束的图案投影到目标部分C上时(即,单次动态曝光),掩模台MT和衬底台WT被同步地扫描。衬底台WT相对于掩模台MT的速度和方向可以通过投影系统PS的(缩小)放大率和图像反转特性来确定。在扫描模式中,曝光区域的最大尺寸限制了单次动态曝光中的目标部分(在非扫描方向上)的宽度,而扫描运动的长度确定了目标部分(在扫描方向上)的高度。
3.在另一种模式中,掩模台MT保持基本静止地保持可编程图案形成装置,并且当将赋予辐射束的图案投影到目标部分C上时,移动或扫描衬底台WT。在这种模式中,通常采用脉冲辐射源,并且在扫描期间每次移动衬底台WT之后或者在连续辐射脉冲之间根据需要更新可编程图案形成装置。这种操作模式可以被容易地施加到无掩模光刻,无掩模光刻利用可编程图案形成装置,诸如上述类型的可编程反射镜阵列。
还可以采用上述使用模式的组合和/或变体或完全不同的使用模式。
如图2所示,光刻设备LA形成光刻单元LC(有时还称为光刻单元或簇)的一部分,光刻单元LC还包括在衬底上执行曝光前和曝光后处理的设备。常规地,这些设备包括:用于沉积抗蚀剂层的旋涂器SC;用于显影曝光的抗蚀剂的显影剂DE;激冷板CH和烘烤板BK。衬底处理器或机器人RO从输入/输出端口I/O1、I/O2拾取衬底,在不同的处理设备之间移动它们,然后将衬底传递到光刻设备的进料台LB。这些装置(通常统称为轨道)处于轨道控制单元TCU的控制之下,轨道控制单元TCU本身由管理控制系统SCS控制,管理控制系统SCS还经由光刻控制单元LACU控制光刻设备。因此,可以操作不同的设备以最大化吞吐量和处理效率。
为了使由光刻设备曝光的衬底正确且一致地曝光,期望检查曝光的衬底以测量特性(例如,后续层之间的套刻、线厚度、临界尺寸(CD)等)。例如,如果检测到误差,可以对后续衬底的曝光进行调整,特别是如果可以很快地进行检查并且足够快以至相同批次的其它衬底仍然要被曝光。而且,已经曝光的衬底可以被剥离和再加工以提高产量,或者可能被丢弃,从而避免在已知有缺陷的衬底上执行曝光。在衬底的仅一些目标部分有缺陷的情况下,可以仅对被认为是无故障的那些目标部分执行进一步的曝光。
量测设备被用于确定衬底的特性,并且特别地,不同衬底的特性或同一衬底的不同层的特性如何在层与层之间变化。量测设备可以被集成到光刻设备LA或光刻单元LC中,或者可以是独立装置。为了实现最快速的测量,期望量测设备在曝光之后立即测量曝光的抗蚀剂层中的特性。然而,抗蚀剂中的潜像具有非常低的对比度,因为在已经曝光于辐射的抗蚀剂部分与那些还没有曝光于辐射的抗蚀剂部分之间仅存在非常小的折射率差异,——并且不是所有的量测设备都具有足够的灵敏度来对潜像进行有用的测量。因此,可以在曝光后烘烤步骤(PEB)之后进行测量,曝光后烘烤步骤通常是在曝光的衬底上进行的第一步骤,并且增加抗蚀剂的曝光部分与未曝光部分之间的对比度。在这个阶段,抗蚀剂中的图像可以被称为半潜的。在抗蚀剂的曝光或未曝光部分已经被移除的点处,或者在图案转印步骤(例如,蚀刻)之后,还能够测量显影的抗蚀剂图像。后一种可能性限制了有缺陷衬底返工的可能性,但仍然可以提供有用的信息。
量测设备如图3(a)所示。在图3(b)中更详细地示出了目标T和用于照射目标的测量辐射的衍射射线。所示的量测设备是已知作为暗场量测设备的类型。量测设备可以是独立装置或者结合在(例如,测量站处的)光刻设备LA中或在光刻单元LC中。具有贯穿设备的几个分支的光学轴由虚线O表示。在这个设备中,由源11(例如,氙灯)发射的光通过光学系统经由分束器15被引导到衬底W上,光学系统包括透镜12、14和物镜16。这些透镜以4F布置的双重顺序布置。可以使用不同的透镜布置,只要它仍然将衬底图像提供到检测器上,并且同时允许对用于空间频率滤波的中间光瞳面的访问。因此,可以通过在呈现衬底面的空间光谱的平面中定义空间强度分布来选择辐射入射到衬底上的角度范围,这里称为(共轭)光瞳面。特别地,这可以通过在平面中的透镜12与14之间插入合适形式的孔板13来完成,该平面是物镜光瞳面的背投影图像。在所示的示例中,孔板13具有不同的形式,标记为13N和13S,允许选择不同的照射模式。本示例中的照射系统形成离轴照射模式。在第一照射模式中,孔板13N从指定的方向提供离轴照射,仅为了描述的目的,作为“北”。在第二照射模式中,孔板13S被用于提供类似的照射,但从相反的方向,标记为“南”。通过使用不同的孔可以实现其它照明模式。光瞳面的其余部分是理想地暗的,因为在期望的照射模式之外的任何不必要的光将干扰期望的测量信号。
如图3(b)所示,放置目标T,使衬底W垂直于物镜16的光学轴O。衬底W可以由支撑件(未示出)支撑。从离开轴O的角度照射在目标T上的测量辐射的射线I产生零阶射线(实线0)和两个一阶射线(点划线+1和双点划线-1)。应该记住,对于过填充的小目标,这些射线只是覆盖包括量测目标T和其它特征的衬底的区域的许多平行射线中的一些射线。由于板13中的孔具有有限的宽度(必须允许有用的光量),所以入射射线I实际上将占据一定角度范围,并且衍射射线0和+1/-1将稍微展开。根据小目标的点扩散函数,每个阶+1和-1将在一定角度范围内进一步扩散,而不是如图所示的单个理想射线。注意,可以设计或调整目标的光栅节距和照射角度,使得进入物镜的一阶射线与中心光学轴紧密对齐。图3(a)和3(b)中所示的射线稍微偏离轴线,纯粹是为了使它们在图中更容易区分。
由衬底W上的目标T衍射的至少0和+1阶由物镜16收集并且被往回引导通过分束器15。返回到图3(a),通过指定标记为北(N)和南(S)的径向相反的孔,示出了第一和第二照射模式两者。当测量辐射的入射射线I来自光学轴的北侧时,即当使用孔板13N施加第一照射模式时,标记为+1(N)的+1衍射射线进入物镜16。相反,当使用孔板13S施加第二照射模式时,-1衍射射线(标记为-1(S))是进入透镜16的衍射射线。
第二分束器17将衍射光束分成两个测量分支。在第一测量分支中,光学系统18使用零阶和一阶衍射光束在第一传感器19(例如,CCD或CMOS传感器)上形成目标的衍射光谱(光瞳面图像)。每个衍射阶命中传感器上的不同点,因此图像处理可以比较和对比阶。由传感器19捕获的光瞳面图像可以被用于聚焦量测设备和/或归一化一阶光束的强度测量。光瞳面图像还可以被用于许多测量目的(例如,重构)。
在第二测量分支中,光学系统20、22在传感器23(例如CCD或CMOS传感器)上形成目标T的图像。在第二测量分支中,孔径光阑21被设置在与光瞳面共轭的平面中。孔径光阑21用于阻挡零阶衍射光束,使得形成在传感器23上的目标的图像仅由-1或+1阶光束形成。由传感器19和23捕获的图像被输出到处理器PU,处理器PU处理图像,处理器的功能将取决于正在执行的特定类型的测量。注意,术语“图像”在广义上用于此处。如果仅存在-1和+1阶中的一个,则将不形成这样的光栅线的图像。
图3中所示的孔板13和场阑21的特定形式纯粹是示例。在本发明的另一个实施例中,使用目标的轴上照射,并且使用具有离轴孔径的孔径光阑基本上仅将一个一阶衍射光传输到传感器。在其它实施例中,代替一阶光束或除了一阶光束之外,可以在测量中使用二阶、三阶和更高阶光束(图3中未示出)。
为了使测量辐射适合于这些不同类型的测量,孔板13可以包括围绕盘形成的多个孔径图案,这些孔径图案旋转以将期望的图案带入适当位置。注意,孔板13N或13S仅可被用于测量沿一个方向(X或Y,取决于设置)定向的光栅。为了测量正交光栅,可以实施目标旋转90°和270°。在上述先前公开的申请中描述了这些装置的使用以及装置的许多其它变型和应用。
图3(c)描绘了根据已知实践在衬底上形成的(复合)目标。该示例中的目标包括紧密地放置在一起的四个光栅25a至25d,使得它们都位于由量测设备的量测辐射照射光束形成的测量场景或测量点24内。因此,所有四个光栅被同时地照射并且在传感器19和23上同时地成像。在专用于套刻的测量的示例中,光栅25a至25d本身是由覆盖光栅形成的复合光栅,覆盖光栅在衬底W上形成的半导体器件的不同层中被图案化。光栅25a至25d可以具有不同偏置的套刻偏移(层之间故意的不匹配),以便于测量形成复合光栅的不同部分的层之间的套刻。这些技术是本领域技术人员公知的,不再进一步描述。如图所示,光栅25a至25d的取向还可以不同,以便在X和Y方向上对入射辐射进行衍射。在一个示例中,光栅25a和25c是分别具有+d、-d的偏置的X方向光栅。光栅25b和25d是分别具有+d和-d的偏移的Y方向光栅。可以在由传感器23捕获的图像中标识这些光栅的单独的图像。这仅是目标的一个示例。目标可以包括多于或少于四个光栅,或仅包括单个光栅。
图3(d)示出了可以使用图3(a)的设备中的图3(c)的目标在传感器23上形成并且由传感器23检测的图像的示例。虽然光瞳面图像传感器19不能分辨不同的单独的光栅25a至25d,但是图像传感器23可以这样做。暗矩形表示传感器上的图像的场,其中衬底上的照射点24被成像到对应的圆形区域26中。在此,矩形区域27a至27d表示小目标光栅25a至25d的图像。如果目标位于产品区域中,则产品特征还可能在此图像场的外围可见。图像处理器和控制器PU使用图案识别来处理这些图像,以标识光栅25a至25d的单独的图像27a至27d。以这种方式,图像不必在传感器框架内的特定位置处非常精确地对齐,这极大地提高了测量设备整体的吞吐量。
一旦标识出光栅的单独的图像,就可以(例如,通过对所标识的区域内的所选择的像素强度值求平均或求和)测量那些单独的图像的强度。可以将图像的强度和/或其它特性彼此进行比较。可以组合这些结果以测量光刻工艺的不同参数。套刻性能是这种参数的重要示例。
用于套刻测量的典型目标结构包括在衬底W上的相同位置处形成在不同层中的两个光栅。两个光栅由一个或多个薄膜(薄膜叠层)分隔,这些薄膜作为正在制造的器件结构的一部分而沉积。例如,典型的DRAM制造工艺在底部光栅与顶部光栅之间使用一系列氧化物和氮化物薄膜,顶部光栅印刷在抗反射和硬掩模薄膜上。
由于处理变化,每个目标结构中的薄膜叠层的厚度根据目标结构的位置而变化。薄膜叠层的厚度直接影响薄膜叠层的反射特性。诸如化学机械平坦化和蚀刻的处理可能附加地导致在底部光栅中的不对称。这被称为底部光栅不对称。底部光栅不对称导致反射特性的进一步变化。底部光栅不对称特别成问题,因为它不对称地对检测强度产生贡献,因此对依赖于不对称的测量或建模中的误差产生贡献,诸如用于获取套刻。
来自感兴趣的特性(例如,套刻)的强度不对称的程度取决于所使用的测量辐射的特性。强度不对称的程度可以例如根据测量辐射的中心波长、带宽和/或偏振的变化而变化。强度不对称的程度可以被称为灵敏度。可以生成相对于感兴趣的特性的预测灵敏度的曲线。这种曲线被称为摆动曲线。为了高精度,期望选择对应于摆动曲线中的峰值的测量辐射的特性。在摆动曲线的峰值处测量可以提高获取感兴趣的特性的准确度,因为可以减少建模误差(例如,用于获取套刻的公式在摆动曲线的峰值处更准确)。此外,在摆动曲线的峰值处的测量提供了更强的信号,从而使测量相对于噪声更加稳健。
发明人已经认识到测量辐射的最佳特性可能在衬底W之上不是不变的。例如,这可能是由于在衬底W上的工艺变化导致目标结构中的变化,例如顶部与底部光栅之间的薄膜叠层的厚度差异和/或底部光栅不对称的差异。如下面详细描述的,公开了这样的实施例,该实施例通过根据要在衬底W上测量的目标结构的位置的变化而自适应地改变测量辐射的特性,允许相对于当前方法进一步减小量测测量中的误差。测量辐射在逐个目标结构的基础上单独地被调整,而不是在逐个衬底的基础上单独地被调整。观察到减少的套刻误差(套刻测量中的误差)。
作为该概念的详细说明,下面的讨论说明了如何通过在逐个目标的基础上选择测量辐射的波长处于灵敏度曲线的峰值处来最小化底部光栅不对称的影响。由于工艺变化,由于目标结构的顶部和底部光栅之间的薄膜叠层中的变化,灵敏度曲线的峰值的位置根据衬底W上的目标结构的位置的变化而变化。
图4和5描绘了如何对目标结构30进行建模。如图4所示,目标结构30包括顶部光栅31和底部光栅32。顶部光栅31通过薄膜叠层33与底部光栅32分隔。底部光栅32由于底部光栅不对称而变形。图5描绘了如何通过将非对称底部光栅32概念性地分成两个单独的光栅32A和32B来对目标结构30进行建模,光栅32A和32B相对于彼此横向移位以接近该不对称。
来自顶部光栅31和两个底部光栅32A和32B中的每个底部光栅的衍射信号可以使用麦克斯韦方程式严格求解。然而,如下所述,可以使用具有一些近似的标量方法获取直观的理解。
总信号可以表示为来自顶部光栅31和来自两个底部光栅32A、32B中的每个底部光栅的衍射波的总和。
由顶部光栅31衍射的+1和-1阶可以被写为Ae和Ae-iα,其中A是振幅,α是位置相关的相位项,由下式给出:
Figure GDA0002267573290000141
其中OV是套刻项,P是光栅的节距。
来自底部光栅32A、32B的衍射可以类似地表示为Bee+BeeCe作为+1阶并且Bee-iγ+BeeCe-iη作为-1阶,其中β是在通过厚度为d的薄膜传播期间获得的厚度诱导相位,由下式给出:
Figure GDA0002267573290000142
其中
n是分隔光栅的薄膜的折射率,
λ是用于测量的波长,
δ是由于额外传播直到最下面的底部光栅32B而获得的附加相位,
以及
η是由于最下面的底部光栅32B的偏移的相位(即,底部光栅不对称)并且C是来自最下面的底部光栅32B的衍射波的振幅。
由于这三个衍射波的组合而引起的+1阶的总电场由下式给出:
E+1=Ae+Bee+BeeCe
由于检测到强度,因此检测到的+1阶的总强度为I+1=|Ae+Bee+BeeCe|2
并且-1阶的强度为
I-1=|Ae-iα+Bee-iγ+BeeCe-iη|2
这些表达式可以被写成如下:
I+1=|A|2+|B|2+|B′|2
+2|A||B|cos(α-(β+γ))+2|B||B′|cos(γ-(δ+η))
+2|A||B|cos(α-(β+δ+η))
I-1=|A|2+|B|2+|B′|2
+2|A||B|cos(-α-(β+γ))+2|B||B′|cos(-γ-(δ-η))
+2|A||B|cos(-α-(β+δ-η))
其中|B′|=|B||C|并且得到的套刻信号是强度的差异,由下式给出:
ΔI=4|A||B|sinβsinα-4|B||B′|sinηsinδ+4|A||B′|sin(β+δ)sin(α-η)
因为典型的套刻数远小于所使用的光栅的节距,所以α很小,这意味着ΔI可以写为:
Figure GDA0002267573290000153
当两个套刻目标相对于彼此被偏置了偏置d时,对于两个偏置中的每个偏置ΔI由下式给出:
Figure GDA0002267573290000151
Figure GDA0002267573290000152
其中套刻由此公式计算:
Figure GDA0002267573290000161
Figure GDA0002267573290000162
Figure GDA0002267573290000163
上述等式示出了所检索的套刻是具有误差项的真实的套刻。当
Figure GDA0002267573290000164
时,即当光栅在衍射效率中平衡时,误差项可以最小化。这可以通过目标设计优化来实现。
还可以通过最大化分母项来减少误差项。分母类似于上面讨论的ΔI项。这意味着如果选择测量波长使得两个阶之间的强度差异最大化,则由于底部光栅不对称引起的误差将被最小化。因此,通过调节测量辐射的波长以保持在灵敏度相对于波长的曲线(摆动曲线)的峰值处,可以使衬底W上的所有位置之上的误差最小化。发明人已经认识到,可以通过使用从单独的传感器得到的信息来预测在套刻测量之前需要调整多少波长。例如,焦距传感器可以方便地被用于提供必要的信息。如下所述,这是可能的,因为焦距传感器对影响套刻误差的相同薄膜变化敏感。
图6描绘了基于以上原理的示例量测设备。量测设备包括第一测量系统61和第二测量系统62。例如如上参考图1和2所述,量测设备可以作为光刻系统的一部分提供。量测设备被配置为测量衬底W上的多个结构。在一个实施例中,通过光刻工艺在衬底W上形成多个结构。在一个实施例中,多个结构包括用于测量光刻工艺的参数(诸如,套刻)的目标结构。量测设备可以被用在器件制造方法中,器件制造方法包括使用光刻形成多个结构并且使用量测设备测量该多个结构。
第一测量系统61执行第一测量过程。第一测量过程包括单独地测量多个结构中的每个结构以测量结构的第一特性。在一个实施例中,第一测量系统61包括第一辐射源42。第一辐射源42经由光学系统44利用辐射照射每个结构。
第二测量系统62执行第二测量过程。第二测量过程包括测量多个结构中的每个结构的第二特性。在一个实施例中,第二测量系统62包括第二辐射源11。第二辐射源11还利用辐射照射每个结构。第一辐射源42与第二辐射源11不同,例如被配置为输出具有不同特性的辐射和/或被容纳在单独的装置中。来自第一辐射源42的辐射被配置为适合于执行第一测量过程。来自第二辐射源11的辐射被配置为适合于执行第二测量过程。
第二测量系统62包括光学系统40,光学系统40用于将来自第二辐射源11的辐射51作为入射辐射52A引导到衬底W上。来自衬底W的反射辐射52B通过光学系统40被引导到一个或多个传感器19、23上。在一个实施例中,第二测量系统62包括上面参考图3描述的类型的量测设备。在这种类型的实施例中,如图3(a)所示,光学系统40可以包括透镜12和14以及物镜16。如图3(a)所示,光学系统40可以进一步包括分束器15,分束器15用于将辐射51引导向衬底W。光学系统40可以进一步包括第一测量分支和第二测量分支中的任何一个分支或两个分支。在图6的特定示例中,提供了这两个测量分支。在图3(a)中描绘了测量分支中的每个分支的光学元件的示例细节。来自第一测量分支的输出53被引导到传感器19。来自第二测量分支的输出54被引导到传感器23。
在一个实施例中,由第二测量过程测量的每个结构的第二特性包括套刻(即,结构的不同层之间的不期望的未对齐)。
在一个实施例中,第一测量系统61包括一个或多个光学元件,光学元件还被焦距测量系统使用,焦距测量系统被配置为测量由第二测量系统62使用的光学系统40的焦距。焦距测量系统通常结合到量测设备中,以允许在执行使用目标结构的测量之前对齐目标结构和/或使目标结构聚焦。第二测量系统62不使用一个或多个光学元件。在图6的示例中,第一测量系统61使用焦距测量系统的光学系统44和焦距测量系统的焦距传感器46。来自第一辐射源42(其可以是或者可以不是当焦距测量系统测量焦距时使用的相同辐射源)的辐射经由光学系统44和光学系统40被引导到衬底W上。在一个实施例中,光学系统40包括作为物镜16的一部分的另一个分束器(参见图3(a)),以将来自第一辐射源42的辐射从光学系统44引导到衬底W以及从衬底W返到光学系统44。第一测量过程使用来自焦距传感器46的输出。在一个实施例中,由第一测量过程测量的每个结构的第一特性包括反射率,并且来自焦距传感器46的信号强度被用于确定该反射率。在一个备选的实施例中,提供专用的第一测量系统,其主要目的是提供用于优化在第二测量过程中使用的辐射的信息。可以使用其它感测方案,例如包括椭圆偏振测量模式或光谱测量模式。使用这种感测方案,结构的第一特性可以附加地或备选地包括结构对从结构散射的辐射的偏振的影响。
在一个实施例中,控制器48控制第二测量过程,使得在第二测量过程期间用于照射每个结构的辐射的辐射特性使用针对该结构所测量的第一特性针对该结构被单独地选择。在一个实施例中,单独地选择辐射的强度的光谱分布。光谱分布可以包括中心波长和辐射的带宽中的任何一个或两者。备选地或附加地,单独地选择辐射的偏振。在一个实施例中,基于第一特性(例如,反射率)与针对第二测量过程的辐射特性(例如,波长)的选择之间的先前测量的相关性,针对每个结构执行针对第二测量过程的辐射特性(例如,波长)的单独选择,该辐射特性的选择使得第二测量过程(例如,套刻测量)的性能高于针对第二测量过程的辐射特性(例如,波长)的其它选择。
下面给出关于在特定情况下可以如何使用来自焦距传感器46的信号强度实现优化在套刻测量中使用的波长的细节。参考上面参考图4和5讨论的数学分析。
在典型的焦距测量系统中,焦距传感器46可以利用大的照射NA测量来自衬底W的全反射。焦距传感器46还可以检测正常反射的光强度。下面描述反射的0阶信号与测量的套刻信号ΔI之间的预期关系。
由焦距传感器46检测的0阶信号可以表示为焦距传感器波长处的所有反射波和衍射波的总和。为简单起见,以下讨论仅考虑正入射处的反射。
来自顶部光栅31和底部光栅32A、32B的正入射处的反射波可以表示为:
Figure GDA0002267573290000191
其中
Figure GDA0002267573290000192
λf是焦距传感器波长,νf是等效频率。
如上面的套刻信号分析所示,ΔI项具有主要的波长依赖性项:
Figure GDA0002267573290000193
其中
Figure GDA0002267573290000194
λm是量测(套刻)测量波长,νm是等效频率。其它常量被写成
Figure GDA0002267573290000195
来自焦距传感器46的信号和套刻信号都取决于薄膜厚度d。这意味着可以在焦距传感器46的信号强度中检测薄膜厚度d的变化,并且可以对在第二测量过程中使用的(用于测量套刻)波长进行适当的校正。
写入νf=νm+Δν,其中Δν是频率间隔,信号I0可以被写成:
Figure GDA0002267573290000196
Figure GDA0002267573290000197
因此,来自焦距传感器46的信号强度与套刻信号的灵敏度之间的关系可以表示为二次方关系。因此,焦距传感器46的信号强度可以被用于估计用于第二测量过程的辐射的波长需要调整多少。
来自焦距传感器46的输出可以被用于推断目标结构30的变化(例如,薄膜叠层33的厚度的变化),这将影响第二测量过程的灵敏度。在一个实施例中,确定了套刻灵敏度相对于波长的曲线(摆动曲线)中的偏移。然后,用于第二测量过程的辐射的波长可以偏移相同的量,使得第二测量过程可以在摆动曲线的峰值处被执行。
示例性焦距传感器46使用两种不同波长(670nm和785nm)的辐射进行操作。焦距传感器46在衬底上形成尺寸大约7μm的辐射点。目标结构30可以被配置使得辐射点欠填充目标结构30。这意味着到焦距传感器46的信号将不会被目标结构30外部的产品结构破坏。因为检测到总反射强度(所有反射阶),所以总反射信号也将独立于套刻。
当存在工艺变化时,每个目标结构30的绝对反射率改变。发明人已经发现,在两个波长处的绝对反射率与用于在每个目标结构30中测量套刻的最佳波长(摆动曲线的峰值)直接地相关。
在该示例中,可以使用以下步骤来调整第二测量过程的波长。在第一步骤中,焦距传感器46被用于在可用的两个波长中的每个波长处测量目标结构30的绝对总反射率。例如,通过使衬底W达到最佳焦距,可以测量总反射率。在最佳焦距处,来自焦距传感器46的信号强度最大。观察到来自焦距传感器46的信号强度根据在衬底W之上的位置的变化而变化,反映了在衬底W之上的薄膜叠层33的特性中的变化。在第二步骤中,将绝对反射率与先前测量的绝对反射率与波长的最佳选择之间的相关性进行比较,以确定摆动曲线中的偏移。在第三步骤中,在使用第二测量系统62测量目标结构30之前,调整来自第二测量系统62的第二辐射源11的输出(例如,以获取套刻)。
已经执行了模拟以证明该技术的有效性。通过随机地改变薄膜叠层厚度并且计算每一种情况下对摆动曲线的影响来执行模拟。图7描绘了针对(对应于位于衬底W上的不同位置处的目标结构30的)不同薄膜叠层厚度的套刻灵敏度K相对于辐射波长λ的模拟摆动曲线。摆动曲线的峰值位置被用圆形标记来标记并且分布在一系列波长上。因此,用于套刻测量的理想波长在不同的目标结构30之间变化。图8示出了对应于每个峰值位置的波长λp如何与来自焦距传感器46的信号强度I0成二次方地(几乎线性地)相关。因此,来自焦距传感器46的信号强度I0可以被用于确定在摆动曲线中的偏移,并且允许针对目标结构的用于套刻测量的波长的最佳选择,目标结构已经被焦距传感器46测量。
图9比较了使用固定的波长(在该示例中为520nm)来测量所有目标结构30中的套刻的套刻测量的结果(星形符号)和使用焦距传感器46的其中波长单独地适合于每个目标结构30的套刻测量的结果(圆形符号)。图9示出了在测量之前校正了照射波长的情况下最终套刻中的总改善(约7nm)。固定波长方法具有大的异常值,其在自适应波长方法中不存在。它表明,通过在逐个目标的基础上调整中心波长,可以改善套刻误差(即,套刻测量的精度)。针对厚叠层的改善将大得多,其中通常在晶片之上的薄膜厚度中存在较大的变化。
可以用二次拟合对最佳波长与焦距传感器信号之间的依赖性进行建模。可以在校准和选配方案创建步骤期间,基于针对不同焦距传感器信号的摆动曲线的测量来计算该拟合的参数,并且将结果存储在数据库中。
在上面讨论的详细示例中,仅有两个波长可用。在一个备选的实施例中,第一测量系统61包括第一辐射源42和控制器48,第一辐射源42利用宽带辐射照射每个结构,控制器48基于来自第一测量过程的数据的光谱分析针对每个结构执行针对第二测量过程的辐射特性的单独选择。这个方法可以提供关于最佳波长的更多的信息并且进一步改善性能。这种类型的实施例可以通过提供专用的第一测量系统或者通过修改诸如上面讨论的焦距测量系统来实现。例如,分束器可以被用于将宽带光引导到焦距测量系统的光学系统44中。多模光纤可以被用于将通过光学系统44反射回的光引导到适当的光谱仪。可以在两个针孔中的任何一个或两个针孔处提供光纤,用于接收上述特定焦距测量系统的两个波长。
在进一步的实施例中,焦距测量系统可以被转换作为偏振光椭圆率测量仪操作。这将允许在反射光中测量的偏振变化被用于对最佳波长的估算产生贡献。
在进一步的实施例中,第一测量过程包括一个或多个子过程。因此,例如,代替仅使用焦距传感器测量反射率的第一测量过程,第一测量过程可以(在一个子过程中)使用焦距传感器测量反射率并且(在另一个子过程中)使用焦距传感器或不同传感器测量结构的另一个特性。子过程可以包括:被配置为测量结构的第一特性的至少一个子过程;以及被配置为测量结构的第二特性的至少一个子过程。可以使用来自多个子过程的输出的组合来允许甚至更有效地执行第二测量过程的每个结构的辐射特性的单独选择。
在这种类型的一些实施例中,经由在两个不同波长处对结构进行单独的测量来获取结构的第二特性(例如,套刻)。这个方法可以被称为双波长量测。在结构的第二特性包括套刻的情况下,该方法可以被称为双波长套刻量测。在这种双波长量测方法中,第一测量过程的子过程中的一个子过程可以包括在不同波长处的两个测量中的一个测量。然后,针对第二测量过程的每个结构的辐射特性的单独选择可以包括选择双波长量测所需的两个波长中的另一个波长。
在一些实施例中,方法包括计算一个或多个子过程中的每个子过程对结构的第二特性(例如,套刻)的灵敏度。在这种实施例中,使用一个或多个计算的灵敏度来执行针对第二测量过程的每个结构的辐射特性的单独选择。该方法基于以下认识:针对一个或多个子过程中的每个子过程所计算的灵敏度与针对第二测量过程的最佳辐射特性(例如,最佳波长)之间经常存在显著的相关性。在一个实施例中,基于一个或多个计算的灵敏度中的每个灵敏度与针对第二测量过程的辐射特性的选择之间的先前测量的相关性,针对每个结构执行针对第二测量过程的辐射特性的单独选择,使得第二测量过程的性能(例如,通过第二测量过程可以获取套刻的精度)高于(例如,更准确)针对第二测量过程的辐射特性的其它选择。
来自不同测量的信息可以被数学上地组合,例如,通过使用不同的测量结果(例如,从不同的子过程计算的灵敏度和/或使用焦距传感器从子过程获取的反射率的测量值)计算最佳辐射特性(例如,在双波长量测方法中的最佳第二波长)的最大似然值。
针对第二测量过程的每个结构的辐射特性的单独选择可以包括选择强度的光谱分布的中心波长(为简单起见,这里简称为“波长”)。备选地或附加地,针对第二测量过程的辐射特性的单独选择可以包括选择偏振特性(例如,线性或圆偏振光的方向)。备选地或附加地,方法可以包括使用一个或多个计算的灵敏度单独地选择在第二测量过程期间检测到的反射辐射的波长和偏振特性(例如,线性或圆偏振光的方向)中的任何一个或两者。因此,可以使用从第一测量过程的子过程导出的信息,针对衬底上的每个结构单独地优化第二测量过程中使用的入射辐射和/或反射辐射的波长和偏振中的任何一个或两者。
在一个实施例中,第一测量过程的子过程包括以下项中的一项或多项:利用具有第一偏振特性的辐射照射结构并且检测具有第二偏振特性的反射辐射;利用具有第二偏振特性的辐射照射结构并且检测具有第一偏振特性的反射辐射;利用具有第一偏振特性的辐射照射结构并且检测具有第一偏振特性的反射辐射;以及利用具有第二偏振特性的辐射照射结构并且检测具有第二偏振特性的反射辐射。第一偏振特性与第二偏振特性不同。在一个实施例中,第一偏振特性与第二偏振特性正交(例如,正交线性偏振或正交圆偏振)。因此,子过程可以包括对应于针对入射和检测到的偏振的偏振的不同组合的不同检测模式,包括共偏振检测模式和交叉偏振检测模式。子过程可以包括针对入射和检测到的偏振的任何组合的零阶或更高阶反射率的检测。指标可以由针对不同检测模式获取的灵敏度的组合形成。例如,其中针对两个相反的交叉偏振检测模式获取的灵敏度KTETM和KTMTE(下标“TE”和“TM”指的是彼此正交的偏振模式),灵敏度可能彼此符号相反,可以使用以下指标r:
Figure GDA0002267573290000241
图10描绘了对双波长量测的方法的示例应用。在这种类型的实施例中,使用与第二测量过程相同的测量系统(例如,第二测量系统62)来执行第一测量过程的子过程中的至少一个子过程。因此,针对给定结构的该子过程的灵敏度(例如,摆动曲线)的波长依赖性可以与针对相同结构的第二测量过程的灵敏度的波长依赖性基本相同。通过配置使用与第二测量过程相同的测量系统的子过程在波长λ1处操作并且布置第二测量过程在波长λ2处操作来实现双波长量测。然后,该方法至少使用对在波长λ1处测量的结构的第二特性的灵敏度的测量来选择λ2的最佳值。
在一些实施例中,期望λ1和λ2中的一个位于或接近于灵敏度相对于波长的曲线的最大值处,并且期望λ1和λ2中的另一个位于或接近于灵敏度相对于波长的曲线的最小值处。例如,在结构的第二特性包括套刻OV的情况下,可以使用不同衍射阶之间的强度差来使用以下关系来获取OV:ΔI=K0+K1·OV,其中,K0是工艺引起的(独立于结构的)偏移并且K1表示测量过程对OV的灵敏度。在这个特定示例中,可以示出误差ε可以写成如下:
Figure GDA0002267573290000242
其中d是施加到在测量中使用的光栅的套刻偏置。选择λ1和λ2在灵敏度相对于波长的曲线的相对侧(即,利用一个最小值和一个最大值)确保该ΔK1很大并且误差很小。
图10示出了灵敏度相对于波长的三条示例曲线。例如,三条示例曲线可以对应于针对衬底上的不同结构测量的曲线。每一条曲线都具有相似的形状并且沿波长方向相对于彼此移位。对于每一条曲线,λ1和λ2的最佳值是不同的。然而,已经发现(并且可以在图10中定性地看到)在λ1处(例如,在灵敏度相对于波长的曲线的峰值处或附近)测量的灵敏度K与λ2的对应的最佳值之间存在相关性。每条曲线的峰值高度都不相同。因此,在λ1处的峰值高度提供关于哪条曲线最接近地施加到正被测量的结构的信息,并且因此提供关于对应于λ2的最佳值的极值(例如,最小值)可能位于何处的信息。
在一个实施例中,在第一优化过程中确定λ1的最佳值。例如,根据上述实施例中的任何一个实施例,第一优化过程可以使用利用焦距传感器对所讨论的结构的测量(例如)来获取结构的反射率。然后可以在后续过程中使用根据在λ1的最佳值处执行的测量计算的至少一个灵敏度来获取λ2的最佳值。
图11是示出了根据在λ1处执行的测量计算的示例灵敏度KTETE相对于λ2的对应最佳值Opt-λ2(每个对应于针对正被测量的结构的灵敏度相对于波长的曲线中的波谷)绘制的曲线图。在这个特性示例中,对于入射光和反射光两者,利用共偏振线性偏振光(TE偏振)执行测量。
在图10中可以看出,对应于λ1的峰值处或附近的灵敏度K的变化相对缓慢地从一条曲线移动到下一条曲线。为了增加灵敏度,可以代之以使用灵敏度相对于波长的曲线中的最大值和最小值之间的中间位置处的灵敏度K,基于针对λ1的灵敏度K可以利用该灵敏度导出λ2的最佳值。图12中示出了示例性方法。在中点λMID处的灵敏度K在从一条曲线移动到下一条曲线时变化得快得多,因此允许更准确地获取最佳λ2。以下描述基于该效果的实施例。
在一个实施例中,多个子过程包括第一子过程和第二子过程。第一子过程对结构的第二特性(例如,套刻)的灵敏度的波长依赖性与第二子过程对结构的第二特性的波长依赖性基本相同,并且包括局部最大值和局部最小值(如图10和12所示)。
第一子过程包括利用具有与局部最大值和局部最小值中的一个值对齐的中心波长的辐射,在局部最大值与局部最小值之间的波长间隔的10%(可选地5%,可选地1%)的范围内照射结构。因此,第一子过程可以包括利用在图12中的峰值处或附近的波长λ1照射结构。
第二子过程包括利用具有与局部最大值和局部最小值之间的中点对齐的中心波长的辐射,在局部最大值与局部最小值之间的波长间隔的40%(可选地20%,可选地10%,可选地5%,可选地1%)的范围内照射结构。因此,第二子过程可以包括利用在图12中的λ1与λ2之间的估算的中点λMID处或附近的波长照射结构。然后使用在λMID处获取的对第二特性的灵敏度(例如,套刻灵敏度),可选地结合由第一测量过程的其它子过程提供的信息(例如,来自焦距传感器测量的反射率、在λ1处测量时获取的灵敏度和基于其它检测模式从子过程获取的一个或多个其它灵敏度),来导出针对第二波长λ2的最佳值。
本文公开的概念可用于超出用于监测目的的结构的后光刻测量之外的效用。例如,这种检测器架构可以被用于未来的基于光瞳面检测的对准传感器概念,用于光刻设备中以在图案化过程期间对齐衬底。
虽然上述目标是针对测量的目的而专门设计和形成的量测目标,但在其它实施例中,可以在作为在衬底上形成的器件的功能部分的目标上测量特性。许多器件具有规则的光栅状结构。本文使用的术语“目标光栅”和“目标”不需要已经专门为正在执行的测量提供了结构。
量测设备可以被用在光刻系统中,诸如上面参考图2讨论的光刻单元LC。光刻系统包括执行光刻工艺的光刻设备LA。例如,光刻设备可以被配置为当执行随后的光刻工艺时,使用由光刻工艺形成的结构的量测设备的测量结果以改善随后的光刻工艺。
一个实施例可以包括计算机程序,计算机程序包含一个或多个机器可读指令序列,机器可读指令序列描述了测量结构上的目标和/或分析测量结果以获取关于光刻工艺的信息的方法。还可以提供其中存储有这种计算机程序的数据存储介质(例如,半导体存储器、磁盘或光盘)。在现有光刻或量测设备已经在生产和/或使用中的情况下,可以通过提供用于使处理器执行本文所述的方法的更新的计算机程序产品来实现本发明。
在以下编号的字句中描述了根据本发明的进一步的实施例:
1.一种测量在衬底上形成的多个结构的方法,所述方法包括:
从第一测量过程获取数据,所述第一测量过程包括单独地测量所述多个结构中的每个结构以测量所述结构的第一特性;以及
使用第二测量过程来测量所述多个结构中的每个结构的第二特性,所述第二测量过程包括利用具有辐射特性的辐射照射每个结构,所述辐射特性是使用针对所述结构所测量的第一特性针对所述结构单独地被选择的。
2.根据字句1所述的方法,其中基于所述第一特性与针对所述第二测量过程的所述辐射特性的选择之间的先前测量的相关性,针对每个结构执行针对所述第二测量过程的所述辐射特性的所述单独选择,所述辐射特性的选择使得所述第二测量过程的性能高于针对所述第二测量过程的所述辐射特性的其它选择。
3.根据字句1或2所述的方法,其中所述结构的所述第一特性包括反射率。
4.根据任一前述字句所述的方法,其中所述结构的所述第一特性包括所述结构对从所述结构散射的辐射的偏振的影响。
5.根据任一前述字句所述的方法,其中每个结构的所述第二特性包括所述结构的不同层之间的套刻。
6.根据任一前述字句所述的方法,其中针对所述第二测量过程的所述辐射特性包括强度的光谱分布。
7.根据字句6所述的方法,其中所述强度的光谱分布包括中心波长和带宽中的一个或两个。
8.根据任一前述字句所述的方法,其中针对所述第二测量过程的所述辐射特性包括所述辐射的偏振。
9.根据任一前述字句所述的方法,其中:
所述第一测量过程使用第一辐射源利用辐射来照射每个结构;并且
所述第二测量过程使用第二辐射源利用辐射来照射每个结构,其中所述第一辐射源与所述第二辐射源不同。
10.根据任一前述字句所述的方法,其中所述第一测量过程使用来自焦距传感器的输出,所述焦距传感器被配置为测量用于所述第二测量过程的光学系统的焦距。
11.根据字句10所述的方法,其中所述结构的所述第一特性包括反射率,并且来自所述焦距传感器的信号强度被用于确定所述反射率。
12.根据任一前述字句所述的方法,其中所述第一测量过程使用在测量用于所述第二测量过程的光学系统的焦距时也使用的一个或多个光学元件,其中在执行所述第二测量过程时不使用所述一个或多个光学元件。
13.根据任一前述字句所述的方法,其中所述第一测量过程使用第一辐射源利用宽带辐射来照射每个结构,并且基于来自所述第一测量过程的数据的光谱分析来针对每个结构执行针对所述第二测量过程的所述辐射特性的所述单独选择。
14.根据任一前述字句所述的方法,包括执行所述第一测量过程。
15.根据字句1所述的方法,其中:
所述第一测量过程包括一个或多个子过程;
所述方法包括计算所述一个或多个子过程中的每个子过程对所述结构的所述第二特性的灵敏度;以及
使用一个或多个所计算的灵敏度来执行针对所述第二测量过程的所述辐射特性的所述单独选择。
16.根据字句15所述的方法,其中基于一个或多个所计算的灵敏度中的每个灵敏度与针对所述第二测量过程的所述辐射特性的选择之间的先前测量的相关性,针对每个结构执行针对所述第二测量过程的所述辐射特性的所述单独选择,所述辐射特性的选择使得所述第二测量过程的性能高于针对所述第二测量过程的所述辐射特性的其它选择。
17.根据字句15或16所述的方法,其中针对所述第二测量过程的所述辐射特性的所述单独选择包括选择强度的光谱分布的中心波长。
18.根据字句15-17中的任一字句所述的方法,其中针对所述第二测量过程的所述辐射特性的所述单独选择包括选择偏振特性。
19.根据字句15-18中的任一字句所述的方法,进一步包括使用所计算的灵敏度中的一个或多个灵敏度,单独地选择在所述第二测量过程期间检测到的反射辐射的偏振特性。
20.根据字句15-19中的任一字句所述的方法,其中所述子过程包括所述以下项中的一项或多项:
利用具有第一偏振特性的辐射照射所述结构并且检测具有第二偏振特性的反射辐射;
利用具有所述第二偏振特性的辐射照射所述结构并且检测具有所述第一偏振特性的反射辐射;
利用具有所述第一偏振特性的辐射照射所述结构并且检测具有所述第一偏振特性的反射辐射;以及
利用具有所述第二偏振特性的辐射照射所述结构并且检测具有所述第二偏振特性的反射辐射,其中:
所述第一偏振特性与所述第二偏振特性不同。
21.根据字句20所述的方法,其中所述第一偏振特性与所述第二偏振特性正交。
22.根据字句15-21中任一字句所述的方法,其中:
所述子过程包括第一子过程和第二子过程;
所述第一子过程对所述结构的所述第二特性的所述灵敏度的波长依赖性与所述第二子过程对所述结构的所述第二特性的波长依赖性基本相同,并且包括局部最大值和局部最小值;
所述第一子过程包括利用具有与所述局部最大值和所述局部最小值中的一个值对齐的中心波长的辐射,在所述局部最大值与所述局部最小值之间的波长间隔的10%的范围内照射所述结构;以及
所述第二子过程包括利用具有与所述局部最大值和所述局部最小值之间的中点对齐的中心波长的辐射,在所述局部最大值与所述局部最小值之间的所述波长间隔的40%的范围内照射所述结构。
23.根据字句15-22中的任一字句所述的方法,其中所述子过程包括被配置为测量所述结构的所述第一特性的至少一个子过程和被配置为测量所述结构的所述第二特性的至少一个子过程。
24.根据字句23所述的方法,其中所述结构的所述第一特性包括反射率,并且所述结构的所述第二特性包括所述结构的不同层之间的套刻。
25.根据字句23或24所述的方法,进一步包括利用使用所述第一测量过程的子过程获取的所述第二特性与使用所述第二测量过程获取的所述第二特性的组合来确定所述结构的所述第二特性的改善值。
26.根据任一前述字句所述的方法,其中在所述衬底上形成的所述多个结构是通过光刻工艺形成的。
27.一种器件制造方法,包括:
使用光刻在衬底上形成多个结构;以及
使用任一前述字句的所述方法测量所述多个结构。
28.一种用于测量衬底上的多个结构的量测设备,所述量测设备包括:
第一测量系统,被配置为执行第一测量过程,所述第一测量过程包括单独地测量所述多个结构中的每个结构,以测量所述结构的第一特性;
第二测量系统,被配置为执行第二测量过程,所述第二测量过程包括测量所述多个结构中的每个结构的第二特性;以及
控制器,被配置为控制所述第二测量过程,使得在所述第二测量过程期间用于照射每个结构的辐射的辐射特性是使用针对所述结构的所测量的第一特性针对所述结构单独地被选择的。
29.根据字句28所述的设备,其中所述控制器被配置为基于所述第一特性与针对所述第二测量过程的所述辐射特性的选择之间的先前测量的相关性,针对每个结构执行针对所述第二测量过程的所述辐射特性的所述单独选择,所述辐射特性的选择使得所述第二测量过程的性能高于针对所述第二测量过程的所述辐射特性的其它选择。
30.根据字句28或29所述的设备,其中所述结构的所述第一特性包括反射率。
31.根据字句28-30中的任一字句所述的设备,其中所述结构的所述第一特性包括所述结构对从所述结构散射的辐射的偏振的影响。
32.根据字句28-31中的任一字句所述的设备,其中每个结构的所述第二特性包括所述结构的不同层之间的套刻。
33.根据字句28-32中的任一字句所述的设备,其中针对所述第二测量过程的所述辐射特性包括强度的光谱分布。
34.根据字句33所述的设备,其中所述强度的光谱分布包括中心波长和带宽中的一个或两个。
35.根据字句28-34中的任一字句所述的设备,其中针对所述第二测量过程的所述辐射特性包括所述辐射的偏振。
36.根据字句28-25中的任一字句所述的设备,其中:
所述第一测量系统包括第一辐射源,所述第一辐射源被配置为利用辐射照射每个结构;以及
所述第二测量系统包括第二辐射源,所述第二辐射源被配置为利用辐射照射每个结构,其中所述第一辐射源与所述第二辐射源不同。
37.根据字句28-36中的任一字句所述的设备,其中所述设备包括焦距测量系统,所述焦距测量系统包括焦距传感器,所述焦距传感器被配置为测量由所述第二测量系统使用的光学系统的焦距,并且所述第一测量过程使用来自所述焦距传感器的输出。
38.根据字句37所述的设备,其中所述结构的所述第一特性包括反射率,并且来自所述焦距传感器的所述信号强度被用于确定所述反射率。
39.根据字句28-38中的任一字句所述的设备,其中所述第一测量系统包括还被焦距测量系统使用的一个或多个光学元件,所述焦距测量系统被配置为测量由所述第二测量系统使用的光学系统的焦距,其中所述第二测量系统不使用所述一个或多个光学元件。
40.根据字句28-39中的任一字句所述的设备,其中所述第一测量系统包括第一辐射源,所述第一辐射源被配置为利用宽带辐射照射每个结构,并且所述控制器被配置为基于来自所述第一测量过程的所述数据的光谱分析,针对每个结构执行针对所述第二测量过程的所述辐射特性的所述单独选择。
41.根据字句28-40中的任一字句所述的设备,其中在所述衬底上形成的所述多个结构是通过光刻工艺形成的。
42.一种光刻系统,包括:
光刻设备,被配置为使用光刻在衬底上形成多个结构;以及
根据字句28-41中的任一字句所述的光刻设备,被配置为测量由所述光刻设备形成的所述多个结构。
尽管在本文中可以具体参考光刻设备在IC的制造中的使用,但是应当理解,本文描述的光刻设备可以具有其它应用,诸如集成光学系统的制造,引导和检测用于磁畴存储器、平板显示器、液晶显示器(LCD)、薄膜磁头等的图案。本领域技术人员将理解,在这种备选的应用的上下文中,本文使用的术语“晶片”或“裸片”可以分别地被认为与更一般术语“衬底”或“目标部分”同义。本文提到的衬底可以在曝光之前或之后(例如,在轨道(通常将抗蚀剂层施加到衬底并且显影曝光的抗蚀剂的工具)、量测工具和/或检查工具上)进行处理。在适用的情况下,本文的公开可以被应用于这种或其它衬底处理工具。进一步,衬底可以被处理一次以上,例如以便创建多层IC,使得本文使用的术语衬底还可以指已经包含多个处理的层的衬底。
尽管上面已经在光学光刻的上下文中具体参考了本发明的实施例的使用,但是应当理解,本发明可以用于其它应用中,例如压印光刻,并且在上下文允许的情况下,不限于光学光刻。在压印光刻中,图案形成装置中的形貌限定了在衬底上创建的图案。可以将图案形成装置的形貌压入供应给衬底的抗蚀剂层中,由此通过施加电磁辐射、热量、压力或其组合来固化抗蚀剂。在抗蚀剂固化之后,将图案形成装置移出抗蚀剂,在其中留下图案。
本文使用的术语“辐射”和“光束”包括所有类型的电磁辐射(包括紫外(UV)辐射(例如,具有大约365、355、248、193、157或126nm的波长)和极紫外(EUV)辐射(例如,具有在5-20nm范围内的波长)),以及粒子束(诸如离子束或电子束)。
在上下文允许的情况下,术语“透镜”可以指各种类型的光学部件中的任何一种或组合,包括折射、反射、磁性、电磁和静电光学部件。
具体实施例的前述描述将如此充分地揭示本发明的一般性质,通过应用本领域技术范围内的知识,在不脱离本发明的一般概念的情况下,其他人可以容易地修改和/或改编各种应用这样的特定实施例,而无需过多的实验。因此,基于本文给出的教导和指导,这些改编和修改旨在落入所公开的实施例的等同物的含义和范围内。应理解,本文中的措辞或术语是出于描述而非限制的目的,使得本说明书的术语或措辞将由本领域技术人员根据教导和指导来解释。
本发明的广度和范围不应受任何上述示例性实施例的限制,而应仅根据所附权利要求及其等同物来限定。

Claims (15)

1.一种测量在衬底上形成的多个结构的方法,所述方法包括:
从第一测量过程获取数据,所述第一测量过程包括单独地测量所述多个结构中的每个结构以测量所述结构的第一特性;以及
使用第二测量过程来测量所述多个结构中的每个结构的第二特性,所述第二测量过程包括利用具有辐射特性的辐射照射每个结构,所述辐射特性是使用针对所述结构所测量的第一特性针对所述结构单独地被选择的。
2.根据权利要求1所述的方法,其中基于所述第一特性与针对所述第二测量过程的所述辐射特性的选择之间的先前测量的相关性,针对每个结构执行针对所述第二测量过程的所述辐射特性的所述单独选择,所述辐射特性的选择使得所述第二测量过程的性能高于针对所述第二测量过程的所述辐射特性的其它选择。
3.根据权利要求1或2所述的方法,其中所述结构的所述第一特性包括反射率。
4.根据权利要求1至3中任一项所述的方法,其中所述结构的所述第一特性包括所述结构对从所述结构散射的辐射的偏振的影响。
5.根据权利要求1至3中任一项所述的方法,其中每个结构的所述第二特性包括所述结构的不同层之间的套刻。
6.根据权利要求1至3中任一项所述的方法,其中针对所述第二测量过程的所述辐射特性包括强度的光谱分布。
7.根据权利要求6所述的方法,其中所述强度的光谱分布包括中心波长和带宽中的一个或两个。
8.根据权利要求1至3中任一项所述的方法,其中针对所述第二测量过程的所述辐射特性包括所述辐射的偏振。
9.根据权利要求1至3中任一项所述的方法,其中:
所述第一测量过程使用第一辐射源利用辐射来照射每个结构;并且
所述第二测量过程使用第二辐射源利用辐射来照射每个结构,其中所述第一辐射源与所述第二辐射源不同。
10.根据权利要求1至3中任一项所述的方法,其中所述第一测量过程使用来自焦距传感器的输出,所述焦距传感器被配置为测量用于所述第二测量过程的光学系统的焦距。
11.根据权利要求10所述的方法,其中所述结构的所述第一特性包括反射率,并且来自所述焦距传感器的信号强度被用于确定所述反射率。
12.根据权利要求1至3中任一项所述的方法,其中所述第一测量过程使用在测量用于所述第二测量过程的光学系统的焦距时也使用的一个或多个光学元件,其中在执行所述第二测量过程时不使用所述一个或多个光学元件。
13.根据权利要求1至3中任一项所述的方法,其中所述第一测量过程使用第一辐射源利用宽带辐射来照射每个结构,并且基于来自所述第一测量过程的数据的光谱分析来针对每个结构执行针对所述第二测量过程的所述辐射特性的所述单独选择。
14.根据权利要求1至3中任一项所述的方法,包括执行所述第一测量过程。
15.根据前述权利要求1至3中任一项所述的方法,其中在所述衬底上形成的所述多个结构是通过光刻工艺形成的。
CN201880024727.XA 2017-04-14 2018-03-15 测量方法 Active CN110622068B (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
EP17166691.0 2017-04-14
EP17166691.0A EP3388896A1 (en) 2017-04-14 2017-04-14 Method of measuring
EP18156860 2018-02-15
EP18156860.1 2018-02-15
PCT/EP2018/056554 WO2018188891A1 (en) 2017-04-14 2018-03-15 Method of measuring

Publications (2)

Publication Number Publication Date
CN110622068A CN110622068A (zh) 2019-12-27
CN110622068B true CN110622068B (zh) 2022-01-11

Family

ID=61691982

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201880024727.XA Active CN110622068B (zh) 2017-04-14 2018-03-15 测量方法

Country Status (7)

Country Link
US (2) US10310389B2 (zh)
JP (1) JP6933725B2 (zh)
KR (1) KR102336379B1 (zh)
CN (1) CN110622068B (zh)
IL (1) IL269561B2 (zh)
TW (2) TWI663486B (zh)
WO (1) WO2018188891A1 (zh)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102336379B1 (ko) * 2017-04-14 2021-12-08 에이에스엠엘 네델란즈 비.브이. 측정 방법
US11054250B2 (en) * 2018-04-11 2021-07-06 International Business Machines Corporation Multi-channel overlay metrology
WO2020007558A1 (en) 2018-07-06 2020-01-09 Asml Netherlands B.V. Position sensor
US11049745B2 (en) * 2018-10-19 2021-06-29 Kla Corporation Defect-location determination using correction loop for pixel alignment

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6885444B2 (en) * 1998-06-10 2005-04-26 Boxer Cross Inc Evaluating a multi-layered structure for voids
US20020192577A1 (en) * 2001-06-15 2002-12-19 Bernard Fay Automated overlay metrology system
JP4792833B2 (ja) * 2005-06-24 2011-10-12 株式会社ニコン 重ね合わせ測定装置
US8175831B2 (en) * 2007-04-23 2012-05-08 Kla-Tencor Corp. Methods and systems for creating or performing a dynamic sampling scheme for a process during which measurements are performed on wafers
NL1036123A1 (nl) * 2007-11-13 2009-05-14 Asml Netherlands Bv Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method.
NL1036245A1 (nl) 2007-12-17 2009-06-18 Asml Netherlands Bv Diffraction based overlay metrology tool and method of diffraction based overlay metrology.
NL1036597A1 (nl) 2008-02-29 2009-09-01 Asml Netherlands Bv Metrology method and apparatus, lithographic apparatus, and device manufacturing method.
KR101461457B1 (ko) 2009-07-31 2014-11-13 에이에스엠엘 네델란즈 비.브이. 계측 방법 및 장치, 리소그래피 시스템, 및 리소그래피 처리 셀
WO2011023517A1 (en) 2009-08-24 2011-03-03 Asml Netherlands B.V. Metrology method and apparatus, lithographic apparatus, lithographic processing cell and substrate comprising metrology targets
NL2007425A (en) 2010-11-12 2012-05-15 Asml Netherlands Bv Metrology method and apparatus, and device manufacturing method.
US9223227B2 (en) * 2011-02-11 2015-12-29 Asml Netherlands B.V. Inspection apparatus and method, lithographic apparatus, lithographic processing cell and device manufacturing method
CN105278253B (zh) * 2014-07-11 2018-01-19 上海微电子装备(集团)股份有限公司 套刻误差测量装置及方法
WO2016030227A1 (en) 2014-08-29 2016-03-03 Asml Netherlands B.V. Method for controlling a distance between two objects, inspection apparatus and method
JP6510658B2 (ja) * 2015-02-04 2019-05-08 エーエスエムエル ネザーランズ ビー.ブイ. メトロロジの方法及び装置、コンピュータプログラム、並びにリソグラフィシステム
JP6602388B6 (ja) 2015-03-25 2020-01-15 エーエスエムエル ネザーランズ ビー.ブイ. メトロロジ方法、メトロロジ装置、及びデバイス製造装置
US9903711B2 (en) 2015-04-06 2018-02-27 KLA—Tencor Corporation Feed forward of metrology data in a metrology system
EP3293574A1 (en) * 2016-09-09 2018-03-14 ASML Netherlands B.V. Metrology method, apparatus and computer program
EP3358413A1 (en) * 2017-02-02 2018-08-08 ASML Netherlands B.V. Metrology method, apparatus and computer program
KR102336379B1 (ko) * 2017-04-14 2021-12-08 에이에스엠엘 네델란즈 비.브이. 측정 방법

Also Published As

Publication number Publication date
KR20190137880A (ko) 2019-12-11
JP2020516948A (ja) 2020-06-11
US20190285993A1 (en) 2019-09-19
US10310389B2 (en) 2019-06-04
TWI663486B (zh) 2019-06-21
CN110622068A (zh) 2019-12-27
IL269561A (en) 2019-11-28
KR102336379B1 (ko) 2021-12-08
IL269561B2 (en) 2023-05-01
JP6933725B2 (ja) 2021-09-08
TW201842414A (zh) 2018-12-01
TWI693483B (zh) 2020-05-11
WO2018188891A1 (en) 2018-10-18
US20180299794A1 (en) 2018-10-18
TW201932995A (zh) 2019-08-16
IL269561B1 (en) 2023-01-01
US10656534B2 (en) 2020-05-19

Similar Documents

Publication Publication Date Title
US10394137B2 (en) Inspection method, lithographic apparatus, mask and substrate
US7460237B1 (en) Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
EP1903397B1 (en) Method and apparatus for angular-resolved spectroscopic lithography characterization
US7630087B2 (en) Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
US7580131B2 (en) Angularly resolved scatterometer and inspection method
WO2014082938A1 (en) Method of determining dose and focus, inspection apparatus, patterning device, substrate and device manufacturing method
US10656534B2 (en) Method of measuring, device manufacturing method, metrology apparatus, and lithographic system
CN111065974B (zh) 用于在小量测目标上对准的拍频图案
WO2020126266A1 (en) Method of measuring a parameter of a patterning process, metrology apparatus, target
CN110088683B (zh) 用于监测来自量测装置的照射的特性的方法
US10788758B2 (en) Method of measuring a parameter of interest, device manufacturing method, metrology apparatus, and lithographic system
WO2021254810A1 (en) Lithographic apparatus, metrology systems, and methods thereof
CN110663002B (zh) 测量目标的方法、量测设备、光刻单元和目标
EP3388896A1 (en) Method of measuring

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant