CN111433677B - 基于扫描电子束信号的对称性的重叠目标结构的叠加测量 - Google Patents

基于扫描电子束信号的对称性的重叠目标结构的叠加测量 Download PDF

Info

Publication number
CN111433677B
CN111433677B CN201880077791.4A CN201880077791A CN111433677B CN 111433677 B CN111433677 B CN 111433677B CN 201880077791 A CN201880077791 A CN 201880077791A CN 111433677 B CN111433677 B CN 111433677B
Authority
CN
China
Prior art keywords
overlay
symmetry
layer
calibration
scan signal
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201880077791.4A
Other languages
English (en)
Other versions
CN111433677A (zh
Inventor
N·古特曼
E·阿米特
S·艾林
H·帕塔尼亚希瑞拉曼
F·拉斯克
U·铂尔曼
T·海德里希
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
KLA Corp
Original Assignee
KLA Tencor Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by KLA Tencor Corp filed Critical KLA Tencor Corp
Publication of CN111433677A publication Critical patent/CN111433677A/zh
Application granted granted Critical
Publication of CN111433677B publication Critical patent/CN111433677B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B15/00Measuring arrangements characterised by the use of electromagnetic waves or particle radiation, e.g. by the use of microwaves, X-rays, gamma rays or electrons
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/8422Investigating thin films, e.g. matrix isolation method
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/26Electron or ion microscopes; Electron or ion diffraction tubes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/26Electron or ion microscopes; Electron or ion diffraction tubes
    • H01J37/28Electron or ion microscopes; Electron or ion diffraction tubes with scanning beams
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/30Electron-beam or ion-beam tubes for localised treatment of objects
    • H01J37/304Controlling tubes by information coming from the objects or from the beam, e.g. correction signals
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/30Electron-beam or ion-beam tubes for localised treatment of objects
    • H01J37/317Electron-beam or ion-beam tubes for localised treatment of objects for changing properties of the objects or for applying thin layers thereon, e.g. for ion implantation
    • H01J37/3174Particle-beam lithography, e.g. electron beam lithography
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67259Position monitoring, e.g. misposition detection or presence detection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/24Optical enhancement of defects or not directly visible states, e.g. selective electrolytic deposition, bubbles in liquids, light emission, colour change
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B2210/00Aspects not specifically covered by any group under G01B, e.g. of wheel alignment, caliper-like sensors
    • G01B2210/56Measuring geometric parameters of semiconductor structures, e.g. profile, critical dimensions or trench depth
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/8422Investigating thin films, e.g. matrix isolation method
    • G01N2021/8438Mutilayers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/26Electron or ion microscopes
    • H01J2237/28Scanning microscopes
    • H01J2237/2813Scanning microscopes characterised by the application
    • H01J2237/2814Measurement of surface topography
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/26Electron or ion microscopes
    • H01J2237/28Scanning microscopes
    • H01J2237/2813Scanning microscopes characterised by the application
    • H01J2237/2817Pattern inspection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/30Electron or ion beam tubes for processing objects
    • H01J2237/304Controlling tubes
    • H01J2237/30433System calibration
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/30Electron or ion beam tubes for processing objects
    • H01J2237/304Controlling tubes
    • H01J2237/30455Correction during exposure
    • H01J2237/30461Correction during exposure pre-calculated
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/30Electron or ion beam tubes for processing objects
    • H01J2237/317Processing objects on a microscale
    • H01J2237/3175Lithography
    • H01J2237/31793Problems associated with lithography
    • H01J2237/31798Problems associated with lithography detecting pattern defects

Abstract

一种叠加计量系统包含粒子束计量工具,所述粒子束计量工具用粒子束跨包含第一层目标元件及第二层目标元件的样本上的叠加目标扫描。所述叠加计量系统可进一步包含控制器,所述控制器从所述粒子束计量工具接收扫描信号、就对称性度量来确定所述扫描信号的对称性测量,且基于所述对称性测量来产生所述第一层与所述第二层之间的叠加测量,其中所述扫描信号的不对称性指示所述第二层目标元件相对于所述第一层目标元件的未对准,且所述叠加测量的值基于所述对称性测量。

Description

基于扫描电子束信号的对称性的重叠目标结构的叠加测量
技术领域
本发明大体上涉及叠加计量,且更特定来说,涉及扫描电子显微镜叠加计量。
背景技术
半导体制造通常需要在结构上制造多个层,其中一些或全部层包含图案化特征。叠加计量是对样本的各个层上的结构的相对位置的测量,其对于经制造装置的性能至关重要,且通常必须控制在紧密公差内。例如,叠加计量可测量不同样本层上的特征的相对位置作为制造工具的逐层对准的量度。
通常在具有经设计用于灵敏叠加测量的特征的专用叠加目标上而非直接在装置特征上执行叠加测量。叠加目标的不同层上的特征通常在空间上分离以避免重叠且有利于埋入层上的特征的测量。例如,一个层上的叠加目标元件可影响先前制造层上的叠加目标元件的测量。然而,与空间上分离的目标元件相关联的开放区域可能与微电子制造不兼容。此外,装置特征通常包含堆叠结构,使得空间上分离的叠加目标元件的叠加测量可引入测量误差。
因此,期望提供用于测量堆叠叠加目标元件上的叠加的系统及方法。
发明内容
揭示一种根据本发明的一或多个说明性实施例的叠加计量系统。在一个说明性实施例中,所述系统包含:控制器,其通信地耦合到粒子束计量工具,其中所述粒子束计量工具用粒子束跨样本上的叠加目标扫描,其中所述叠加目标包含所述样本的第一层上的第一层目标元件及所述样本的第二层上的第二层目标元件。在另一说明性实施例中,所述粒子束计量工具捕获与所述粒子束与所述第一层目标元件及所述第二层目标元件两者的相互作用相关联的扫描信号。在另一说明性实施例中,所述控制器从粒子束计量工具接收扫描信号。在另一说明性实施例中,所述控制器关于一或多个对称性度量确定所述扫描信号的一或多个对称性测量。在另一说明性实施例中,所述控制器基于所述一或多个对称性测量产生所述第一层与所述第二层之间的叠加测量,其中所述扫描信号的不对称性指示所述第二层目标元件相对于所述第一层目标元件的未对准,且所述叠加测量的值基于所述一或多个对称性测量。
揭示一种根据本发明的一或多个说明性实施例的叠加计量方法。在一个说明性实施例中,所述方法包含:用粒子束跨样本上的叠加目标扫描,其中所述叠加目标包含所述样本的第一层上的第一层目标元件及所述样本的第二层上的第二层目标元件。在另一说明性实施例中,所述方法包含捕获与所述粒子束与所述第一层目标元件及所述第二层目标元件两者的相互作用相关联的扫描信号。在另一说明性实施例中,所述方法包含关于一或多个对称性度量确定所述扫描信号的一或多个对称性测量。在另一说明性实施例中,所述方法包含基于所述一或多个对称性测量产生所述第一层与所述第二层之间的叠加测量,其中所述扫描信号的不对称性指示所述第二层目标元件相对于所述第一层目标元件的未对准,且所述叠加测量的值基于所述一或多个对称性测量。在另一说明性实施例中,所述方法包含将基于所述叠加测量的所述值的叠加可校正值提供到光刻系统,以修改至少一个后续曝光的曝光条件。
揭示一种根据本发明的一或多个说明性实施例的叠加计量系统。在一个说明性实施例中,所述系统包含粒子束计量工具,所述粒子束计量工具用粒子束跨样本上的叠加目标扫描,其中所述叠加目标包含所述样本的第一层上的第一层目标元件及所述样本的第二层上的第二层目标元件。在另一说明性实施例中,所述粒子束计量工具进一步捕获与所述粒子束与所述第一层目标元件及所述第二层目标元件两者的相互作用相关联的扫描信号。在另一说明性实施例中,所述系统包含耦合到所述粒子束计量工具的控制器。在另一说明性实施例中,所述控制器从所述粒子束计量工具接收扫描信号。在另一说明性实施例中,所述控制器确定关于一或多个对称性度量所述扫描信号的一或多个对称性测量。在另一说明性实施例中,所述控制器基于所述一或多个对称性测量产生所述第一层与所述第二层之间的叠加测量,其中所述扫描信号的不对称性指示所述第二层目标元件相对于所述第一层目标元件的未对准,且所述叠加测量的值基于所述一或多个对称性测量。
应理解,前文一般描述及以下实施方式两者都仅为示范性的及解释性的,且不一定限制如所主张的本发明。并入于本说明书中且构成本说明书的一部分的附图说明本发明的实施例且连同一般描述一起用于说明本发明的原理。
附图说明
所属领域的技术人员可通过参考附图而更好理解本发明的许多优点,其中:
图1A是根据本发明的一或多个实施例的适于装置相关计量测量的叠加计量系统的概念图。
图1B是根据本发明的一或多个实施例的基于粒子的叠加计量工具的概念图。
图2是说明根据本发明的一或多个实施例的在叠加计量方法中执行的步骤的流程图。
图3A是根据本发明的一或多个实施例的包含堆叠叠加目标特征的两层叠加计量目标的俯视图。
图3B是根据本发明的一或多个实施例的包含堆叠叠加目标特征的两层叠加计量目标的剖面图。
图4包含根据本发明的一或多个实施例的来自叠加计量目标的响应于入射粒子束的多个发射源的剖面图。
图5A是根据本发明的一或多个实施例的具有系统性变化的已知不对称性偏移的一校准样本的剖面图。
图5B包含根据本发明的一或多个实施例的与图5A的校准目标元件相关联的校准扫描信号。
具体实施方式
现将详细参考在附图中说明的所揭示标的物。已关于特定实施例及其具体特征特别展示且描述本发明。本文中陈述的实施例被视为说明性的而非限制性的。所属领域的一般技术人员应容易明白,可在不脱离本发明的精神及范围的情况下在形式及细节方面进行各种改变及修改。
本发明的实施例涉及通过用粒子束(例如,电子束、离子束或类似物)跨定位于两个样本层上的堆叠叠加目标元件扫描、捕获来自目标元件的对应扫描信号,且基于扫描信号的对称性确定叠加目标元件的相对位置而确定叠加。
例如,粒子束计量工具(例如(但不限于)扫描电子显微镜(SEM)计量工具(例如,临界尺寸SEM(CD-SEM)或类似物)或聚焦离子束(FIB)计量工具)可用粒子束跨叠加目标的一部分扫描,且检测来自样本的响应于粒子束的发射。发射可包含响应于粒子束而从样本发出的各种类型的粒子及/或辐射,例如(但不限于)粒子束的反向散射发射、二次发射(例如,二次电子发射或类似物)或电磁辐射(例如,光)。此外,粒子束可与样本的多个层上的目标元件相互作用且因此基于相互作用范围特性化所述目标元件,相互作用范围至少部分由粒子束的能量确定。例如,低能粒子束可用于特性化表面层,而相对高能粒子束可更深地穿透到样本中以特性化先前制造层上的特征。
半导体装置可形成为衬底上的图案化材料的多个印刷层。可通过一系列工艺步骤(例如(但不限于)一或多个材料沉积步骤、一或多个光刻步骤或一或多个蚀刻步骤)制造每一图案化层。此外,每一图案化层通常必须在特定公差内制造以正确地构造最终装置。例如,必须良好特性化及控制印刷特性,例如(但不限于)与层之间的元件的配准或相对放置相关联的叠加。因此,可在一或多个图案化层上在跨样本的多个位置处制造叠加目标以实现对制造工艺的有效特性化。在此方面,图案化层上的叠加目标元件的印刷特性的偏差可表示层上的全部元件(包含形成半导体装置的一部分的装置特征)的印刷特性的偏差。然而,叠加目标的样本上相对于装置特征的大小、定向、密度及/或位置差异可引入目标处的经测量叠加与装置特征的实际叠加之间的失配。因此,确保对叠加目标的装置相关叠加测量是叠加计量中的持续挑战。
本发明的实施例涉及测量具有装置级特征的专用叠加目标上的叠加。在此方面,叠加目标的元件可具有类似于对应装置特征的特征,例如(但不限于)大小、形状、定向或密度。因此,所关注的装置结构及叠加目标可以基本上类似特性印刷,此可减轻目标到装置误差。
本发明的额外实施例涉及测量包含所关注层上的标称堆叠或重叠元件的叠加目标上的叠加。在此方面,叠加目标可避免大的开放区域,其可能与半导体设计规则不兼容。此外,包含堆叠目标元件的叠加目标可有利于基于粒子的计量工具中的均匀视场及均匀表面充电,以减轻束放置失真及相关联测量误差。
例如,堆叠叠加目标的叠加可基于所关注层上的目标元件之间的相对位置。此外,堆叠叠加目标可经制造使得标称叠加(例如,样本层之间无失准)对应于所关注层上的目标元件的任何所选择分布。例如,堆叠叠加目标可经制造使得标称叠加对应于目标元件的对称性配置,其中表面层上的目标元件制造于子表面层中的目标的正上方(例如,表面层中的特征的对称线与子表面层中的特征的对称线对准)。作为另一实例,堆叠叠加目标可经制造使得标称叠加对应于目标元件的不对称性配置,其中表面层上的目标元件经制造而相对于子表面层上的目标元件具有所选择不对称性偏移。
本文中应认识到,与粒子束与堆叠叠加目标元件的相互作用相关联的样本发射可包含与样本的多个层上的目标元件相关联的多个发射源。例如,与堆叠叠加目标特征相互作用的电子束可同时诱发来自多个样本层上的目标元件的反向散射发射及二次发射两者,使得辨别检测到的发射的源可具挑战性。本发明的额外实施例涉及基于扫描信号的对称性跨一或多个堆叠叠加目标元件检测叠加。例如,跨多个层的对称性对准的目标结构的发射信号也可为对称的。相反地,非对称性对准的目标结构的发射信号可为不对称的。因此,扫描信号的对称性可提供底层特征的对称性的测量及因此适于确定叠加的目标特征的相对位置。
本发明的额外实施例涉及基于一或多个对称性度量提取叠加值(例如,多个层上的叠加目标结构之间的失准的值)。例如,对称性度量可包含(但不限于)扫描信号中的峰值的数目、扫描信号中的峰值的值、扫描信号的峰值相对于叠加目标结构的中心的位置、扫描信号峰值的分离距离或扫描信号的积分。另外实施例涉及使对称性度量与叠加值相关。例如,可针对具有多个层上的带有已知不对称性偏移的叠加目标特征的校准叠加目标产生校准扫描信号。接着,可使用校准扫描信号及对应已知不对称性偏移来产生扫描信号的对称特性与叠加测量之间的相关性,使得可基于相关性而从任意扫描信号提取叠加测量。此外,可使用所属领域中已知的任何方法(例如但不限于图案辨识技术、主分量分析或机器学习技术)来产生相关性。
本发明的额外实施例涉及基于叠加测量产生叠加可校正值。接着,可将叠加可校正值提供到制造工具(例如,光刻工具)作为反馈及/或前馈数据。例如,与当前工艺步骤相关联的在样本上测量的叠加测量可用于补偿漂移且针对相同或后续批次中的后续样本上的工艺步骤将叠加维持在所选择公差内。作为另一实例,可前馈与当前工艺步骤相关联的叠加测量以调整后续工艺步骤而补偿任何经测量叠加误差。
图1A是根据本发明的一或多个实施例的适于装置相关计量测量的叠加计量系统100的概念图。在一个实施例中,叠加计量系统100包含适于测量堆叠叠加目标特征的层内图案放置距离以及层间叠加测量的至少一个叠加计量工具102。
在另一实施例中,叠加计量系统100包含控制器104。在另一实施例中,控制器104包含经配置以执行维持于存储器媒体108上的程序指令的一或多个处理器106。在此方面,控制器104的一或多个处理器106可执行在本发明各处描述的各个工艺步骤的任一者。例如,控制器104可从叠加计量工具102接收数据且可进一步产生装置相关叠加数据。作为另一实例,控制器104可基于来自叠加计量工具102的数据产生装置相关叠加可校正值。
此外,控制器104可以通信方式耦合到一或多个外部制造工具,例如(但不限于)光刻工具。在此方面,控制器104可操作为先进工艺控制器(APC),其适于控制外部制造工具的输入以将叠加维持在所选择叠加公差内。
控制器104的一或多个处理器106可包含所属领域中已知的任何处理元件。就此而言,一或多个处理器106可包含经配置以执行算法及/或指令的任何微处理器型装置。在一个实施例中,一或多个处理器106可包括桌面计算机、主计算机系统、工作站、图像计算机、并行处理器,或经配置以执行经配置以操作叠加计量系统100的程序的任何其它计算机系统(例如,网络计算机),如在本发明各处描述。进一步应认识到,术语“处理器”可广泛定义为涵盖具有一或多个处理元件的任何装置,其执行来自非暂时性存储器媒体108的程序指令。此外,可通过单个控制器104或者多个控制器来实施在本发明各处描述的步骤。另外,控制器104可包含经容置于共同外壳中或在多个外壳内的一或多个控制器。以此方式,可将任何控制器或控制器的组合单独封装为适于集成到叠加计量系统100中的模块。
存储器媒体108可包含所属领域中已知的适于存储可由相关联的一或多个处理器106执行的程序指令的任何存储媒体。例如,存储器媒体108可包含非暂时性存储器媒体。作为另一实例,存储器媒体108可包含(但不限于)只读存储器、随机存取存储器、磁性或光学存储器装置(例如磁盘)、磁带、固态驱动器,及类似物。进一步应注意,存储器媒体108可与一或多个处理器106容置于共同控制器外壳中。在一个实施例中,存储器媒体108可相对于一或多个处理器106及控制器104的物理位置远程定位。例如,控制器104的一或多个处理器106可存取可通过网络(例如,因特网、内部网络及类似物)存取的远程存储器(例如,服务器)。因此,上文描述不应被解释为对本发明的限制,而是仅为说明。
作为另一实例,叠加计量工具102可用聚焦射束跨样本扫描,且在一或多个检测器上以一或多个测量角捕获从样本发出的辐射及/或粒子以产生图像。可通过修改射束路径(例如,使用检流镜(galvo mirror)、压电镜或类似物)及/或通过将样本平移通过聚焦射束的焦体积而使聚焦射束跨样本扫描。
图1B是根据本发明的一或多个实施例的基于粒子的叠加计量工具102的概念图。叠加计量工具102可包含适于分辨装置特征或装置级特征的任何类型的计量工具,例如(但不限于)电子束计量工具(例如,SEM、CD-SEM或类似物)或离子束计量工具(例如,聚焦离子束(FIB)计量工具)。
在一个实施例中,叠加计量工具102包含粒子源110(例如,电子束源、离子束源或类似物)以产生粒子束112(例如,电子束、粒子束或类似物)。粒子源110可包含所属领域中已知的适于产生粒子束112的任何粒子源。例如,粒子源110可包含(但不限于)电子枪或离子枪。在另一实施例中,粒子源110经配置以提供具有可调谐能量的粒子束。例如,包含电子源的粒子源110可能(但不限于)提供在0.1kV到30kV的范围内的加速电压。作为另一实例,包含离子源的粒子源110可提供(但不要求)提供具有在1keV到50keV的范围内的能量的离子束。
在另一实施例中,叠加计量工具102包含一或多个粒子聚焦元件114。例如,一或多个粒子聚焦元件114可包含(但不限于)形成复合系统的单个粒子聚焦元件或一或多个粒子聚焦元件。在另一实施例中,一或多个粒子聚焦元件114包含粒子物镜116,粒子物镜116经配置以将粒子束112导引到定位于样本台120上的样本118。此外,一或多个粒子源110可包含所属领域中已知的任何类型的电子透镜,包含(但不限于)静电、磁性、单电势或双电势透镜。
在另一实施例中,叠加计量工具102包含一或多个检测器122,检测器122用于成像或以其它方式检测从样本118发出的粒子。在一个实施例中,检测器122包含电子收集器(例如,二次电子收集器、反向散射电子检测器或类似物)。在另一实施例中,检测器122包含用于检测来自样本118的电子及/或光子的光子检测器(例如,光检测器、x射线检测器、耦合到光电倍增管(PMT)检测器的闪烁元件或类似物)。
在另一实施例中,(虽然未展示)叠加计量工具102包含一或多个收集透镜以捕获来自样本118的发射且将其导引到一或多个检测器122。例如,物镜116可操作为收集透镜以收集来自样本的发射。此外,一或多个收集元件(例如,一或多个额外透镜、射束偏转器或类似物)可将发射导引到一或多个检测器122。
应理解,如图1B中描绘的叠加计量工具102的描述及上文相关联描述仅经提供用于说明性目的不应被解释为限制性。例如,叠加计量工具102可包含适于同时询问样本118的多束及/或多柱系统。在另一实施例中,叠加计量工具102可包含经配置以将一或多个电压施加到样本118的一或多个位置的一或多个组件(例如,一或多个电极)。在此方面,叠加计量工具102可产生电压对比成像数据。
本文中应认识到,粒子束112在样本118中的穿透深度可取决于粒子能,使得较高能射束通常更深地穿透到样本中。在一个实施例中,叠加计量工具102利用不同粒子能来基于粒子束112到样本118中的穿透深度询问装置的不同层。例如,叠加计量工具102可利用相对低能电子束(例如,大约1keV或更少)且可利用较高能射束(例如,大约10keV或更高)来特性化先前制造层。本文中应认识到,依据粒子能而变化的穿透深度可针对不同材料而变化,使得针对特定层对粒子能的选择可针对不同材料而变化。
图2是说明根据本发明的一或多个实施例的在叠加计量方法200中执行的步骤的流程图。申请人指出,本文中先前在叠加计量系统100的上下文中描述的实施例及实现技术应被解释为扩展到方法200。然而,进一步应注意,方法200不限于叠加计量系统100的架构。
在一个实施例中,方法200包含用粒子束跨样本上的叠加目标扫描的步骤202,其中叠加目标包含样本的第一层上的第一层目标元件及继第一层之后制造的样本的第二层上的第二层目标元件。
图3A及3B说明根据本发明的一或多个实施例的具有堆叠叠加目标特征的叠加目标。明确来说,图3A是根据本发明的一或多个实施例的包含堆叠叠加目标特征的一个两层叠加计量目标302的俯视图。此外,图3B是根据本发明的一或多个实施例的包含堆叠叠加目标特征的两层叠加计量目标302的剖面图。在一个实施例中,叠加计量目标302包含样本118的第一层306上的第一层目标元件304,且进一步包含样本118的第二层310上的第二层目标元件308。例如,第二层310可继第一层306之后制造且可表示当前制造层。情况可为可在后续制造步骤中在第二层目标元件308上制造一或多个额外层。
叠加目标的给定层上的目标元件可具有适用于测量样本的两个或更多个层之间的叠加的任何大小、形状、定向或分布。例如,如图3A及3B中说明,第一层目标元件304可以第一层间距312周期性地分布,且第二层目标元件308可以第二层间距314分布。此外,第一层目标元件304可具有第一层元件宽度316,而第二层目标元件308可具有第二层元件宽度318。另外,不同层上的目标特征的周期及/或宽度可相同或可不同。例如,如图3A及3B中说明,第二层间距314的大小是第一层间距312的三倍。另外,第二层元件宽度318的大小是第一层元件宽度316的三倍以上,使得每一第二层目标元件308与三个第一层目标元件304重叠。
在一个实施例中,叠加目标的一或多个层上的目标特征可具有表示对应层上的装置特征的大小、形状、定向或分布。在此方面,叠加目标特征可被制造为具有与对应装置特征基本上相同的特性(例如,图案放置误差、侧壁角、临界尺寸或类似物),使得在叠加目标上测量的叠加可准确地表示样本上的对应装置特征的叠加。例如,目标特征间距(例如,第一层间距312、第二层间距314或类似物)及/或目标特征宽度(例如,第一层元件宽度316、第二层元件宽度318或类似物)可表示装置特征。本文中应认识到,样本的给定层上的装置特征可具有变化大小、形状、定向或分布。因此,装置级叠加目标元件可具有表示至少一些所关注装置特征的尺寸。
给定层上的目标元件可堆叠成适用于确定样本的两个或更多个层之间的叠加的任何配置。在一个实施例中,以标称对称性配置制造相邻样本层上的至少一些目标元件。在此方面,可基于对称性的中断(例如,通过不对称制造)而识别样本层之间的叠加。
例如,如图3B的剖面图中所说明,第二层目标元件308a可以对称性配置制造于第一层目标元件304a的正上方(且在第一层目标元件304a到c的群组上方对称),所述对称性配置可表示(但不要求)表示与第一层306与第二层310之间的零失准相关联的标称叠加。因此,第一层306与第二层310之间沿目标特征的分离方向(例如,图3A及3B中的X方向)的失准可表现为第二层目标元件308相对于第一层目标元件304的对称性的中断。此外,第二层目标元件308相对于第一层目标元件304的对称性的中断的特征可为指示第二层目标元件308相对于对称性配置之间的失准值的不对称性偏移。
然而,应理解,在图3A及3B以及相关联描述中说明的叠加计量目标302仅经提供用于说明性目的且不应被解释为限制性。例如,叠加目标可在一或多个层中具有单个目标元件,使得可堆叠叠加测量所关注的相邻层上的目标元件。作为另一实例,第二层元件宽度318可小于第一层元件宽度316,使得第一层目标元件304的一部分从俯视图可见。作为另一实例,第二层间距314可等于或小于第一层间距312。作为另一实例,给定层上的叠加目标特征可具有变化大小、形状、定向及/或分布,只要所关注层之间保持对称性。
步骤202可包含用任何类型的粒子束跨叠加目标(例如,叠加计量目标302)扫描。例如,可(但不要求)使用基于粒子的叠加计量工具102产生粒子束。因此,粒子束(例如,粒子束112或类似物)可包含(但不限于)电子束、离子束(例如,光子束或类似物)或中性粒子束。
此外,步骤202可包含用粒子束在叠加目标的一或多个目标元件上方扫描,使得粒子束与多个所关注层上的目标元件相互作用。如本文中先前描述,粒子束(例如,粒子束112或类似物)的相互作用范围及/或相互作用深度可依据至少射束能而变化,其中粒子束的穿透深度随射束能增加而增加。
例如,再次参考图3A及3B,步骤202可包含用粒子束在至少第二层目标特征308a上方以足够射束能扫描以与第一层目标元件304a到c相互作用。
在另一实施例中,方法200包含捕获与粒子束与第一层目标元件及第二层目标元件两者的相互作用相关联的扫描信号的步骤204。例如,步骤204可包含捕获与响应于步骤202中的扫描粒子束而从样本检测的发射相关联的扫描信号。此外,可基于响应于用粒子束扫描样本而产生的多种类型的发射(例如(但不限于)粒子束的反向散射发射(BSE)或粒子的二次发射(SE)及/或电磁辐射(例如,光))而产生扫描信号。
图4包含根据本发明的一或多个实施例的来自叠加计量目标302的响应于入射粒子束112的多个发射源的剖面图。剖面图402说明与粒子束112在样本中的反射相关联(例如,与粒子束112的弹性散射相关联)的一些可能反向散射发射源,且剖面图404说明因粒子束112在样本118中的吸收诱发的一些可能二次发射源。
在一个实施例中,粒子束112包含电子束(例如,通过扫描电子显微镜计量系统产生)。接着,与粒子束112的相互作用可产生来自样本的许多发射信号。例如,粒子束112在行进通过第二层310之后可基于与第二层目标元件308a的相互作用产生第二层BSE 406,及/或基于与第一层目标元件304a的相互作用产生第一层BSE 408。作为另一实例,粒子束112可诱发产生来自多个源的二次电子(SE)。例如,粒子束112可产生来自第二层目标元件308a的第二层SE 410。在另一例子中,粒子束112可在行进通过第二层310之后产生来自第一层目标元件304a的第一层SE 412。在另一例子中,如图4中说明,在第二层310中,可在吸收在第一层306中产生且朝向表面往回传播的第一层BSE 408的一部分之后产生额外第二层SE414。
可在步骤204中通过适于捕获所关注发射的任何数目的检测器捕获响应于粒子束112而产生的发射。例如,可通过叠加计量系统100的至少一个检测器122捕获发射。此外,检测器122中的任一者可产生与经捕获信号的强度相关联的扫描信号,所述强度依据粒子束112在扫描期间跨样本的位置而变化。
在另一实施例中,方法200包含关于一或多个对称性度量确定扫描信号的一或多个对称性测量的步骤206。在另一实施例中,方法200包含基于一或多个对称性测量产生第一层与第二层之间的叠加测量的步骤208,其中扫描信号的不对称性指示第二层目标元件相对于第一层目标元件的未对准,其中叠加测量的值基于一或多个对称性测量。确定扫描信号的一或多个对称性测量的步骤206及/或步骤208可以但不要求使用叠加计量系统100的控制器104来执行。例如,控制器104可通信地耦合到检测器122以接收扫描信号,且可进一步分析扫描信号以分析扫描信号的对称性,且进一步基于对称性测量产生叠加测量。
本文中应认识到,检测器(例如,检测器122)可同时捕获来自样本的多个发射源(例如,第一层BSE 408、第二层BSE 406、第一层SE 412、第二层SE 410及/或额外第二层SE414的任何组合)。然而,情况可为包含源的任何组合的发射的扫描信号的对称性或不对称性可反映通过粒子束扫描的样本特征的底层对称性或不对称性。因此,步骤206可通过关于任何数目个对称性度量确定对称性测量而特性化扫描信号。此外,步骤208可基于对称性测量确定叠加测量。
例如,可基于扫描信号的对称性测量与标称对称性测量的偏差来识别非零叠加(例如,样本层的失准)的存在。例如,非零叠加可对应于叠加目标元件的对称性配置或与所选择不对称性偏移相关联的所选择不对称性配置。此外,可基于关于一或多个对称性度量分析扫描信号而产生包含样本层之间的失准的量值及/或方向的叠加测量值。
在一个实施例中,可关于校准数据集产生叠加测量值,所述校准数据集提供已知不对称性偏移(例如,所关注样本层上的目标特征与对称性配置的已知偏差)与对应扫描信号之间的映射。例如,可运用对应于待测量的叠加目标(例如,叠加计量目标302或类似物)的堆叠叠加目标元件来制造一或多个校准样本,所述叠加目标布置为在所关注样本层之间具有系统性变化的不对称性偏移。此外,可用叠加计量工具(例如,叠加计量工具102)产生与每一已知不对称性偏移相关联的校准扫描信号。因此,可分析与校准扫描信号相关联的对称性测量以产生对称性测量与已知不对称性偏移之间的映射。因此,校准数据集可用于将在任何所关注样本上测量的扫描信号映射到不对称性偏移。
接着,可使不对称性偏移与叠加值相关。例如,扫描信号对对应目标特征的不对称性偏移的偏差的灵敏度可针对不同偏移而变化。因此,叠加目标可经制造具有所关注样本层上的目标特征之间的任何所选择不对称性偏移以提供所要灵敏度。
图5A是根据本发明的一或多个实施例的具有系统性变化的已知不对称性偏移的校准样本的剖面图。在一个实施例中,校准样本包含校准样本的第一层506上的第一层校准目标元件504,且进一步包含校准样本的第二层510上的第二层校准目标元件508。此外,校准目标元件(例如,第一层校准目标元件504、第二层校准目标元件508或类似物)可具有与对应所关注叠加目标(例如,叠加计量目标302或类似物)上的叠加目标元件基本上相同的大小、形状及定向。在此方面,可以与对应叠加目标基本上相同的特性(例如,图案放置误差、临界尺寸、侧壁角或类似物)来制造校准叠加目标元件。
校准目标元件可经制造具有系统性变化的已知不对称性偏移(例如,第二层510上的目标元件相对于第一层506上的目标元件的位置与对称性配置的偏差)。例如,如图5A中说明,第二层校准目标元件508a可对称地制造于对应第一层校准目标元件504a上方而具有零不对称性偏移512a,第二层校准目标元件508b、508c可对称地制造于对应第一层校准目标元件504b、504c上方而具有相等的且相对的不对称性偏移512b、512c(例如,不对称性),第二层校准目标元件508d、508e可对称地制造于对应第一层校准目标元件504d、504e上方而具有相等的且相对的不对称性偏移512d、512e,等等。
图5B包含根据本发明的一或多个实施例的与图5A的校准目标元件相关联的校准扫描信号514。例如,校准扫描信号514a可对应于第一校准目标元件504a及第二校准目标元件508a,校准扫描信号514b可对应于第一校准目标元件504b及第二校准目标元件508b,校准扫描信号514c可对应于第一校准目标元件504c及第二校准目标元件508,校准扫描信号514d可对应于第一校准目标元件504d及第二校准目标元件508d,且校准扫描信号514e可对应于第一校准目标元件504e及第二校准目标元件508e。
如本文中先前所描述,校准扫描信号514的对称性可指示对应校准目标元件的制造对称性及因此第二层510上的校准目标元件相对于第一层506之间的不对称性偏移(例如,失准)。例如,校准扫描信号514a具有对称性分布,校准扫描信号514b、514c具有第一不对称性分布的相等且相对例子,且校准扫描信号514d、514e具有第二不对称性信号的相等且相对例子。
此外,如图5A中说明,校准扫描信号514可展现对应于第二层510上的校准目标元件相对于第一层506的系统性变化的不对称性偏移的系统性变化的分布。例如,校准扫描信号514b到e可展现与校准扫描信号514a的系统偏差,此可在概念上通过概念表示516说明,其中在相等且相反方向上的非零不对称性偏移导致校准扫描信号514的对应偏差。因此,可关于对称性度量来特性化校准扫描信号514,以提供对称性度量与不对称性偏移(例如,与对称性的偏差)之间的映射。
此外,应理解,图5A中的校准扫描信号514的概念表示516希望在概念上说明校准扫描信号514的系统偏差而非校准扫描信号514的特定值。例如,图5A中的校准扫描信号514的概念表示516可反映(但不要求反映)校准扫描信号514关于一或多个对称性度量的一或多个对称性测量的系统性变化。
可针对所属领域中已知的任何类型的对称性度量的任何组合来评估叠加目标及/或校准样本的扫描信号。在此方面,扫描信号与不对称性偏移之间的映射可基于扫描信号的对称性测量而非扫描信号的实际分布。
在一个实施例中,扫描度量包含扫描信号中的峰值的数目。例如,对称性校准扫描信号514a包含单个峰值,而不对称性校准扫描信号514b到e包含多个(例如,两个)峰值。
在另一实施例中,扫描度量包含扫描信号内的峰值的位置。例如,扫描信号内的峰值的位置可基于不对称性偏移的值而变化。在一个例子中,如图5B中说明,对称性校准扫描信号514a可具有以相关联对称性堆叠的叠加目标元件为中心的峰值(例如,0位置),而不对称性校准扫描信号514b到e中的一或多个峰值可偏离0位置。
在另一实施例中,扫描度量包含扫描信号内的峰值的分离。例如,扫描信号内的峰值的分离可基于不对称性偏移的值而变化。在一个例子中,如图5B中说明,对称性校准扫描信号514a可具有单个峰值,而校准扫描信号514b、514c可包含具有第一峰值分离518的峰值,且校准扫描信号514d、514e可包含具有第二峰值分离520的峰值。
在另一实施例中,扫描度量包含扫描信号内的峰值的值。例如,扫描信号内的峰值的值可基于不对称性偏移的值而变化。在一个例子中,如图5B中说明,对称性校准扫描信号514a可具有具第一峰值的单个峰值,而校准扫描信号514b、514c可包含具有第一峰值的峰值,且校准扫描信号514d、514e可包含具有第二峰值及第三峰值的峰值。
在另一实施例中,扫描度量包含扫描信号的积分。例如,扫描信号的积分可基于不对称性偏移的值而变化。
可使用所属领域中已知的任何技术来完成基于对称性度量的对称性测量与对应不对称性偏移之间的映射。例如,可使用数据拟合及优化技术(包含(但不限于)程序库、快速降阶模型、回归、变换分析(例如傅立叶(Fourier)或小波变换、卡尔曼(Kalman)滤波器及类似物)、降维算法(例如,主分量分析(PCA)、独立分量分析(ICA)、局部线性嵌入(LLE)及类似物),或数据的稀疏表示)来分析扫描信号。
在另一实施例中,可通过训练机器学习算法(例如(但不限于)神经网络或支持向量机器(SVM)算法)而产生扫描信号与不对称性偏移之间的映射。例如,可将对应不对称性偏移及校准扫描信号(例如,校准扫描信号514)的任何组合或基于一或多个对称性度量的校准信号的对称性测量作为训练信号提供到机器学习算法。在此方面,机器学习算法可确定不对称性偏移与相关联校准扫描信号之间的相关性及/或校准扫描信号的对称性测量。一旦机器学习算法已经训练,机器学习算法便可基于步骤202中产生的扫描信号及在训练阶段期间产生的映射确定所测量的样本118的不对称性偏移。
在另一实施例中,步骤208包含基于样本层上的叠加目标特征之间的不对称性偏移产生所关注样本层的叠加测量。如本文中先前描述,叠加目标经制造具有叠加目标元件的任何所选择不对称性偏移。因此,步骤208可包含按所选择值调整不对称性偏移以产生叠加测量。
在另一实施例中,方法200包含将基于装置相关叠加的叠加可校正值提供到光刻系统以修改至少一个后续曝光的曝光条件的步骤210。
例如,步骤210可包含基于装置相关叠加产生用于制造工具(例如(但不限于)光刻工具)的控制参数(或对控制参数的校正)。可通过控制系统(例如(但不限于)叠加计量系统100的控制器104)产生控制参数。可提供叠加可校正值作为反馈及/或前馈控制环路的部分。在一个实施例中,与当前工艺步骤相关联的在样本上测量的装置相关叠加测量用于补偿一或多个制造工艺的漂移,且因此可跨相同或不同批次中的后续样本上的多个曝光将叠加维持在所选择公差内。在另一实施例中,可前馈与当前工艺步骤相关联的装置相关叠加测量以调整后续工艺步骤而补偿任何测量叠加误差。例如,可调整对后续层上的图案的曝光以与后续层的经测量叠加匹配。
用于叠加测量的系统及方法大体上描述于以下各者中:标题为“叠加标记、叠加标记设计方法及叠加测量方法(OVERLAY MARKS,METHODS OF OVERLAY MARK DESIGN ANDMETHODS OF OVERLAY MEASUREMENTS)”且在2012年12月11日发布的第8,330,281号美国专利;标题为“控制两个层之间的未对准的周期性图案及技术(PERIODIC PATTERNS ANDTECHNIQUE TO CONTROL MISALIGNMENT BETWEEN TWO LAYERS)”且在2016年10月25日发布的第9,476,698号美国专利;标题为“用于确定具有旋转或镜对称的结构的叠加的设备及方法(APPARATUS AND METHODS FOR DETERMINING OVERLAY OF STRUCTURES HAVINGROTATIONAL OR MIRROR SYMMETRY)”且在2009年6月2日发布的第7,541,201号美国专利;标题为“用于使用散射测量检测叠加误差的设备及方法(APPARATUS AND METHODS FORDETECTING OVERLAY ERRORS USING SCATTEROMETRY)”且在2007年7月10日发布的第7,242,477号美国专利;标题为“用于针对经改进工艺控制提供质量度量的方法及系统(METHODAND SYSTEM FOR PROVIDING A QUALITY METRIC FOR IMPROVED PROCESS CONTROL)”且在2013年2月7日发表的第2013/0035888号美国专利公开案;及标题为“SEM叠加计量的系统及方法(SYSTEM AND METHOD OF SEM OVERLAY METROLOGY)”且在2015年12月15日发布的第9,214,317号美国专利,全部所述案的全文以引用方式并入本文中。
本文中描述的标的物有时说明含于其它组件内或与其它组件连接的不同组件。应理解,此类所描绘架构仅为示范性的,且事实上可实施其它架构而实现相同功能性。在概念意义上,实现相同功能性的任何组件布置经有效“相关联”使得实现所要功能性。因此,在本文中经组合以实现特定功能性的任两个组件可被视为彼此“相关联”使得实现所要功能性,而与架构或中间组件无关。同样地,如此相关联的任两个组件也可被视为彼此“连接”或“耦合”以实现所要功能性,且能够如此相关联的任两个组件也可被视为可彼此“耦合”以实现所要功能性。可耦合的具体实例包含(但不限于)可物理互动及/或物理互动的组件及/或可无线互动及/或无线互动的组件及/或可逻辑互动及/或逻辑互动的组件。
据信,通过前述描述将理解本发明及其许多伴随优点,且将明白,可在不脱离所揭示标的物或不牺牲全部其材料优点的情况下在组件的形式、构造及布置方面进行各种改变。所描述的形式仅为说明性的,且所附权利要求希望涵盖且包含此类变化。此外,应理解,本发明是由所附权利要求书定义。

Claims (33)

1.一种叠加计量系统,其包括:
控制器,其以通信方式耦合到粒子束计量工具,所述控制器包含经配置以执行程序指令的一或多个处理器,所述程序指令经配置以使所述一或多个处理器:
从所述粒子束计量工具接收扫描信号,其中所述粒子束计量工具经配置以用粒子束跨样本上的叠加目标扫描,所述叠加目标包含所述样本的第一层上的第一层目标元件及继所述第一层之后制造的所述样本的第二层上的第二层目标元件,其中所述粒子束计量工具进一步经配置以捕获与所述粒子束与所述第一层目标元件及所述第二层目标元件两者的相互作用相关联的扫描信号;
关于一或多个对称性度量来确定所述扫描信号的一或多个对称性测量;
基于所述一或多个对称性测量来产生所述第一层与所述第二层之间的叠加测量,其中所述扫描信号的不对称性指示所述第二层目标元件相对于所述第一层目标元件的未对准,其中所述叠加测量的值基于所述一或多个对称性测量;
接收包含一或多个校准扫描信号的校准数据集,所述一或多个校准扫描信号由所述粒子束计量工具基于一或多个校准样本上的所述第一层目标元件及所述第二层目标元件的一或多个已知叠加而配置产生;及
基于所述一或多个对称性度量来确定所述一或多个校准扫描信号的一或多个校准对称性测量,其中产生所述叠加测量包括:
基于所述一或多个对称性测量与所述校准对称性测量的比较来确定所述叠加测量的所述值。
2.根据权利要求1所述的叠加计量系统,其中所述一或多个处理器进一步经配置以执行程序指令而使所述一或多个处理器将基于所述叠加测量的所述值的叠加可校正值提供到光刻系统,以修改至少一个后续曝光的曝光条件。
3.根据权利要求1所述的叠加计量系统,其中所述扫描信号包括:
反向散射粒子束信号或二次发射信号中的至少一者。
4.根据权利要求1所述的叠加计量系统,其中基于所述一或多个对称性测量与所述校准对称性测量的比较来确定所述叠加测量的所述值包括:
基于使用图案比较技术对所述一或多个对称性测量与所述校准对称性测量的比较来确定所述叠加测量的所述值。
5.根据权利要求1所述的叠加计量系统,其中基于所述一或多个对称性测量与所述校准对称性测量的比较来确定所述叠加测量的所述值包括:
基于使用机器学习技术对所述一或多个对称性测量与所述校准对称性测量的比较来确定所述叠加测量的所述值。
6.根据权利要求1所述的叠加计量系统,其中所述一或多个对称性度量包含所述扫描信号中的峰值的数目。
7.根据权利要求1所述的叠加计量系统,其中所述一或多个对称性度量包含所述扫描信号的峰值的位置。
8.根据权利要求7所述的叠加计量系统,其中所述一或多个对称性度量包含所述扫描信号的峰值相对于所述扫描信号的中心位置的位置。
9.根据权利要求1所述的叠加计量系统,其中所述一或多个对称性度量包含所述扫描信号的两个或更多个峰值之间的分离距离。
10.根据权利要求1所述的叠加计量系统,其中所述一或多个对称性度量包含所述扫描信号的积分。
11.根据权利要求1所述的叠加计量系统,其中所述粒子束的能量经选择以在样本中提供与所述第一层目标元件的深度匹配的相互作用深度。
12.根据权利要求1所述的叠加计量系统,其中所述第一层目标元件是一组第一层目标元件中的一者,其中所述第二层目标元件是一组第二层目标元件中的一者,其中由所述控制器接收的所述扫描信号是由所述控制器接收的与所述组第一层目标元件及所述组第二层目标元件相关联的一组扫描信号中的一者,其中所述一或多个处理器进一步经配置以执行程序指令而使所述一或多个处理器:
关于所述一或多个对称性度量来确定所述组扫描信号的一组对称性测量;及
基于所述组对称性测量来产生所述第一层与所述第二层之间的叠加测量。
13.根据权利要求12所述的叠加计量系统,其中所述组第一层目标元件是以第一间距分布,其中所述组第二层目标元件是以第二间距分布。
14.根据权利要求13所述的叠加计量系统,其中所述第一间距等于所述第二间距。
15.根据权利要求13所述的叠加计量系统,其中所述第一间距与所述第二间距不同。
16.一种叠加计量系统,其包括:
粒子束计量工具,其经配置以用粒子束跨样本上的叠加目标扫描,所述叠加目标包含所述样本的第一层上的第一层目标元件及继所述第一层之后制造的所述样本的第二层上的第二层目标元件,所述粒子束计量工具进一步经配置以捕获与所述粒子束与所述第一层目标元件及所述第二层目标元件两者的相互作用相关联的扫描信号;及
控制器,其以通信方式耦合到所述粒子束计量工具,所述控制器包含经配置以执行程序指令的一或多个处理器,所述程序指令经配置以使所述一或多个处理器:
从所述粒子束计量工具接收所述扫描信号;
关于一或多个对称性度量来确定所述扫描信号的一或多个对称性测量;
基于所述一或多个对称性测量来产生所述第一层与所述第二层之间的叠加测量,其中所述扫描信号的不对称性指示所述第二层目标元件相对于所述第一层目标元件的未对准,其中所述叠加测量的值基于所述一或多个对称性测量;
接收包含一或多个校准扫描信号的校准数据集,所述一或多个校准扫描信号由所述粒子束计量工具基于一或多个校准样本上的所述第一层目标元件及所述第二层目标元件的一或多个已知叠加配置;及
基于所述一或多个对称性度量来确定所述一或多个校准扫描信号的一或多个校准对称性测量,其中产生所述叠加测量包括:
基于所述一或多个对称性测量与所述校准对称性测量的比较来确定所述叠加测量的所述值。
17.根据权利要求16所述的叠加计量系统,其中所述一或多个处理器进一步经配置以执行程序指令而使所述一或多个处理器将基于所述叠加测量的所述值的叠加可校正值提供到光刻系统,以修改至少一个后续曝光的曝光条件。
18.根据权利要求16所述的叠加计量系统,其中所述粒子束计量工具包括:
电子束计量工具。
19.根据权利要求16所述的叠加计量系统,其中所述粒子束计量工具包括:
聚焦离子束计量工具。
20.根据权利要求16所述的叠加计量系统,其中所述扫描信号包括:
反向散射粒子束信号或二次发射信号中的至少一者。
21.根据权利要求16所述的叠加计量系统,其中基于所述一或多个对称性测量与所述校准对称性测量的比较来确定所述叠加测量的所述值包括:
基于使用图案比较技术对所述一或多个对称性测量与所述校准对称性测量的比较来确定所述叠加测量的所述值。
22.根据权利要求16所述的叠加计量系统,其中基于所述一或多个对称性测量与所述校准对称性测量的比较来确定所述叠加测量的所述值包括:
基于使用机器学习技术对所述一或多个对称性测量与所述校准对称性测量的比较来确定所述叠加测量的所述值。
23.根据权利要求16所述的叠加计量系统,其中所述一或多个对称性度量包含所述扫描信号中的峰值的数目。
24.根据权利要求16所述的叠加计量系统,其中所述一或多个对称性度量包含所述扫描信号的峰值的位置。
25.根据权利要求24所述的叠加计量系统,其中所述一或多个对称性度量包含所述扫描信号的峰值相对于所述扫描信号的中心位置的位置。
26.根据权利要求16所述的叠加计量系统,其中所述一或多个对称性度量包含所述扫描信号的两个或更多个峰值之间的分离距离。
27.根据权利要求16所述的叠加计量系统,其中所述一或多个对称性度量包含所述扫描信号的积分。
28.根据权利要求16所述的叠加计量系统,其中所述粒子束的能量经选择以在样本中提供与所述第一层目标元件的深度匹配的相互作用深度。
29.根据权利要求16所述的叠加计量系统,其中所述第一层目标元件是一组第一层目标元件中的一者,其中所述第二层目标元件是一组第二层目标元件中的一者,其中由所述控制器接收的所述扫描信号是由所述控制器接收的与所述组第一层目标元件及所述组第二层目标元件相关联的一组扫描信号中的一者,其中所述一或多个处理器进一步经配置以执行程序指令而使所述一或多个处理器:
关于所述一或多个对称性度量来确定所述组扫描信号的一组对称性测量;及
基于所述组对称性测量来产生所述第一层与所述第二层之间的叠加测量。
30.根据权利要求29所述的叠加计量系统,其中所述组第一层目标元件是以第一间距分布,其中所述组第二层目标元件是以第二间距分布。
31.根据权利要求30所述的叠加计量系统,其中所述第一间距等于所述第二间距。
32.根据权利要求30所述的叠加计量系统,其中所述第一间距与所述第二间距不同。
33.一种叠加计量方法,其包括:
用粒子束跨样本上的叠加目标扫描,所述叠加目标包含所述样本的第一层上的第一层目标元件及继所述第一层之后制造的所述样本的第二层上的第二层目标元件;捕获与所述粒子束与所述第一层目标元件及所述第二层目标元件两者的相互作用相关联的扫描信号;
关于一或多个对称性度量来确定所述扫描信号的一或多个对称性测量;
基于所述一或多个对称性测量来产生所述第一层与所述第二层之间的叠加测量,其中所述扫描信号的不对称性指示所述第二层目标元件相对于所述第一层目标元件的未对准,其中所述叠加测量的值基于所述一或多个对称性测量;
将基于所述叠加测量的所述值的叠加可校正值提供到光刻系统,以修改至少一个后续曝光的曝光条件;
接收包含一或多个校准扫描信号的校准数据集,所述一或多个校准扫描信号由粒子束计量工具基于一或多个校准样本上的所述第一层目标元件及所述第二层目标元件的一或多个已知叠加配置;及
基于所述一或多个对称性度量来确定所述一或多个校准扫描信号的一或多个校准对称性测量,其中产生所述叠加测量包括:
基于所述一或多个对称性测量与所述校准对称性测量的比较来确定所述叠加测量的所述值。
CN201880077791.4A 2017-12-11 2018-12-10 基于扫描电子束信号的对称性的重叠目标结构的叠加测量 Active CN111433677B (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201762597093P 2017-12-11 2017-12-11
US62/597,093 2017-12-11
US15/979,336 US10473460B2 (en) 2017-12-11 2018-05-14 Overlay measurements of overlapping target structures based on symmetry of scanning electron beam signals
US15/979,336 2018-05-14
PCT/US2018/064641 WO2019118306A1 (en) 2017-12-11 2018-12-10 Overlay measurements of overlapping target structures based on symmetry of scanning electron beam signals

Publications (2)

Publication Number Publication Date
CN111433677A CN111433677A (zh) 2020-07-17
CN111433677B true CN111433677B (zh) 2022-12-30

Family

ID=66735332

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201880077791.4A Active CN111433677B (zh) 2017-12-11 2018-12-10 基于扫描电子束信号的对称性的重叠目标结构的叠加测量

Country Status (8)

Country Link
US (1) US10473460B2 (zh)
EP (1) EP3724723A4 (zh)
JP (1) JP7111826B2 (zh)
KR (1) KR102390311B1 (zh)
CN (1) CN111433677B (zh)
SG (1) SG11202001694XA (zh)
TW (1) TWI755576B (zh)
WO (1) WO2019118306A1 (zh)

Families Citing this family (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11119416B2 (en) * 2018-08-14 2021-09-14 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming semiconductor structure and overlay error estimation
KR20210044283A (ko) * 2018-09-19 2021-04-22 에이에스엠엘 네델란즈 비.브이. 계측 방법 및 그 장치
US10996570B2 (en) * 2018-10-08 2021-05-04 Asml Netherlands B.V. Metrology method, patterning device, apparatus and computer program
US10890852B2 (en) * 2018-11-08 2021-01-12 Applied Materials, Inc. Signal recognition during substrate patterning via digital photolithography
US11075126B2 (en) 2019-02-15 2021-07-27 Kla-Tencor Corporation Misregistration measurements using combined optical and electron beam technology
US11073768B2 (en) * 2019-06-26 2021-07-27 Kla Corporation Metrology target for scanning metrology
US11353799B1 (en) 2019-07-23 2022-06-07 Kla Corporation System and method for error reduction for metrology measurements
US11914290B2 (en) 2019-07-24 2024-02-27 Kla Corporation Overlay measurement targets design
EP4026164A4 (en) 2019-09-16 2023-08-16 KLA Corporation SYSTEM AND METHOD FOR PERIODIC SEMICONDUCTOR DEVICES FRAMING FAILURE METROLOGY
US11698251B2 (en) 2020-01-07 2023-07-11 Kla Corporation Methods and systems for overlay measurement based on soft X-ray Scatterometry
KR20210094314A (ko) 2020-01-21 2021-07-29 삼성전자주식회사 반도체 장치의 제조 방법
US11054753B1 (en) * 2020-04-20 2021-07-06 Applied Materials Israel Ltd. Overlay monitoring
US11353321B2 (en) 2020-06-12 2022-06-07 Kla Corporation Metrology system and method for measuring diagonal diffraction-based overlay targets
WO2021262208A1 (en) * 2020-06-25 2021-12-30 Kla Corporation Wavelet system and method for ameliorating misregistration and asymmetry of semiconductor devices
JP2023540684A (ja) * 2020-08-17 2023-09-26 東京エレクトロン株式会社 同軸透視検査システム
US11899375B2 (en) * 2020-11-20 2024-02-13 Kla Corporation Massive overlay metrology sampling with multiple measurement columns
US20230408932A1 (en) * 2020-12-03 2023-12-21 Applied Materials, Inc. Deep learning based adaptive alignment precision metrology for digital overlay
US20220336292A1 (en) * 2021-04-19 2022-10-20 Nanya Technology Corporation Method of semiconductor overlay measuring and method of semiconductor structure manufacturing
US11720031B2 (en) 2021-06-28 2023-08-08 Kla Corporation Overlay design for electron beam and scatterometry overlay measurements
US11862524B2 (en) 2021-06-28 2024-01-02 Kla Corporation Overlay mark design for electron beam overlay
US11703767B2 (en) 2021-06-28 2023-07-18 Kla Corporation Overlay mark design for electron beam overlay

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102566301A (zh) * 2010-11-30 2012-07-11 Asml荷兰有限公司 测量方法、设备和衬底
CN106019855A (zh) * 2007-12-17 2016-10-12 Asml荷兰有限公司 基于衍射的重叠量测工具和方法
CN106462076A (zh) * 2014-06-02 2017-02-22 Asml荷兰有限公司 设计度量目标的方法、具有度量目标的衬底、测量重叠的方法、以及器件制造方法
CN107111250A (zh) * 2014-11-26 2017-08-29 Asml荷兰有限公司 度量方法、计算机产品和系统

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6541770B1 (en) 2000-08-15 2003-04-01 Applied Materials, Inc. Charged particle system error diagnosis
US7541201B2 (en) 2000-08-30 2009-06-02 Kla-Tencor Technologies Corporation Apparatus and methods for determining overlay of structures having rotational or mirror symmetry
US7317531B2 (en) 2002-12-05 2008-01-08 Kla-Tencor Technologies Corporation Apparatus and methods for detecting overlay errors using scatterometry
US7068833B1 (en) 2000-08-30 2006-06-27 Kla-Tencor Corporation Overlay marks, methods of overlay mark design and methods of overlay measurements
US20030002043A1 (en) 2001-04-10 2003-01-02 Kla-Tencor Corporation Periodic patterns and technique to control misalignment
US7842933B2 (en) 2003-10-22 2010-11-30 Applied Materials Israel, Ltd. System and method for measuring overlay errors
JP2008224258A (ja) 2007-03-09 2008-09-25 Hitachi High-Technologies Corp 荷電粒子線装置
NL1036123A1 (nl) * 2007-11-13 2009-05-14 Asml Netherlands Bv Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method.
US9927718B2 (en) * 2010-08-03 2018-03-27 Kla-Tencor Corporation Multi-layer overlay metrology target and complimentary overlay metrology measurement systems
EP2694983B1 (en) 2011-04-06 2020-06-03 KLA-Tencor Corporation Method and system for providing a quality metric for improved process control
JP6002480B2 (ja) * 2012-07-06 2016-10-05 株式会社日立ハイテクノロジーズ オーバーレイ誤差測定装置、及びパターン測定をコンピューターに実行させるコンピュータープログラム
JP5965819B2 (ja) 2012-10-26 2016-08-10 株式会社日立ハイテクノロジーズ 荷電粒子線装置及び重ね合わせずれ量測定方法
JP2014143031A (ja) 2013-01-23 2014-08-07 Hitachi High-Technologies Corp 荷電粒子線装置および試料観察方法
US9214317B2 (en) 2013-06-04 2015-12-15 Kla-Tencor Corporation System and method of SEM overlay metrology
KR102234659B1 (ko) 2013-10-29 2021-04-05 삼성전자주식회사 고에너지 전자 빔을 이용하여 인-셀 오버레이 오프셋을 측정할 수 있는 sem 장치와 그 방법
JP6227466B2 (ja) * 2014-04-14 2017-11-08 株式会社日立ハイテクノロジーズ 荷電粒子線装置および検査装置
JP6378927B2 (ja) * 2014-04-25 2018-08-22 株式会社日立ハイテクノロジーズ 計測システムおよび計測方法
US10210606B2 (en) * 2014-10-14 2019-02-19 Kla-Tencor Corporation Signal response metrology for image based and scatterometry overlay measurements
JP6423011B2 (ja) 2015-01-23 2018-11-14 株式会社日立ハイテクノロジーズ パターン測定装置及び欠陥検査装置

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN106019855A (zh) * 2007-12-17 2016-10-12 Asml荷兰有限公司 基于衍射的重叠量测工具和方法
CN102566301A (zh) * 2010-11-30 2012-07-11 Asml荷兰有限公司 测量方法、设备和衬底
CN106462076A (zh) * 2014-06-02 2017-02-22 Asml荷兰有限公司 设计度量目标的方法、具有度量目标的衬底、测量重叠的方法、以及器件制造方法
CN107111250A (zh) * 2014-11-26 2017-08-29 Asml荷兰有限公司 度量方法、计算机产品和系统

Also Published As

Publication number Publication date
KR102390311B1 (ko) 2022-04-22
WO2019118306A1 (en) 2019-06-20
US20190178639A1 (en) 2019-06-13
KR20200092402A (ko) 2020-08-03
EP3724723A1 (en) 2020-10-21
US10473460B2 (en) 2019-11-12
TWI755576B (zh) 2022-02-21
JP7111826B2 (ja) 2022-08-02
CN111433677A (zh) 2020-07-17
EP3724723A4 (en) 2021-09-01
JP2021505918A (ja) 2021-02-18
SG11202001694XA (en) 2020-07-29
TW201935513A (zh) 2019-09-01

Similar Documents

Publication Publication Date Title
CN111433677B (zh) 基于扫描电子束信号的对称性的重叠目标结构的叠加测量
CN111801625B (zh) 叠加及边缘放置错误的计量及控制
US11521827B2 (en) Method of imaging a 2D sample with a multi-beam particle microscope
CN111433676B (zh) 用于装置相关叠加计量的系统及方法
US9960010B2 (en) Signal processing method and signal processing apparatus
KR20220129635A (ko) 복합 오버레이 계측 타겟
KR20200036768A (ko) 멀티 전자 빔 화상 취득 장치 및 멀티 전자 빔 화상 취득 방법
CN116457652A (zh) 检查方法和检查工具
JP7462639B2 (ja) システム応答のリアルタイム検出及び補正
US11209737B1 (en) Performance optimized scanning sequence for eBeam metrology and inspection
US20150241369A1 (en) Charged particle beam apparatus, image acquiring method and non-transitory computer-readable recording medium
TWI749454B (zh) 以多個帶電粒子束檢測樣本之方法
CN117730342A (zh) 在失真的样本图像中检测半导体样本中缺陷的方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant