KR20220129635A - 복합 오버레이 계측 타겟 - Google Patents

복합 오버레이 계측 타겟 Download PDF

Info

Publication number
KR20220129635A
KR20220129635A KR1020227029537A KR20227029537A KR20220129635A KR 20220129635 A KR20220129635 A KR 20220129635A KR 1020227029537 A KR1020227029537 A KR 1020227029537A KR 20227029537 A KR20227029537 A KR 20227029537A KR 20220129635 A KR20220129635 A KR 20220129635A
Authority
KR
South Korea
Prior art keywords
metrology
pattern elements
mode
overlay
sample
Prior art date
Application number
KR1020227029537A
Other languages
English (en)
Inventor
안나 골로프반
인나 다시시-샤피르
인나 다시시-샤피르
마크 기노프커
라위 디라위
Original Assignee
케이엘에이 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 케이엘에이 코포레이션 filed Critical 케이엘에이 코포레이션
Publication of KR20220129635A publication Critical patent/KR20220129635A/ko

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/956Inspecting patterns on the surface of objects
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/17Systems in which incident light is modified in accordance with the properties of the material investigated
    • G01N21/47Scattering, i.e. diffuse reflection
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/9501Semiconductor wafers
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N23/00Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00
    • G01N23/22Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by measuring secondary emission from the material
    • G01N23/225Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by measuring secondary emission from the material using electron or ion
    • G01N23/2251Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by measuring secondary emission from the material using electron or ion using incident electron beams, e.g. scanning electron microscopy [SEM]
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70608Monitoring the unpatterned workpiece, e.g. measuring thickness, reflectivity or effects of immersion liquid on resist
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70681Metrology strategies
    • G03F7/70683Mark designs
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/956Inspecting patterns on the surface of objects
    • G01N21/95623Inspecting patterns on the surface of objects using a spatial filtering method
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N2223/00Investigating materials by wave or particle radiation
    • G01N2223/07Investigating materials by wave or particle radiation secondary emission
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N2223/00Investigating materials by wave or particle radiation
    • G01N2223/40Imaging
    • G01N2223/418Imaging electron microscope
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N2223/00Investigating materials by wave or particle radiation
    • G01N2223/60Specific applications or type of materials
    • G01N2223/611Specific applications or type of materials patterned objects; electronic devices
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N2223/00Investigating materials by wave or particle radiation
    • G01N2223/60Specific applications or type of materials
    • G01N2223/611Specific applications or type of materials patterned objects; electronic devices
    • G01N2223/6113Specific applications or type of materials patterned objects; electronic devices printed circuit board [PCB]

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Immunology (AREA)
  • Pathology (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Biochemistry (AREA)
  • General Health & Medical Sciences (AREA)
  • Health & Medical Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Length Measuring Devices By Optical Means (AREA)
  • Length-Measuring Devices Using Wave Or Particle Radiation (AREA)
  • Length Measuring Devices With Unspecified Measuring Means (AREA)
  • Glass Compositions (AREA)
  • Holo Graphy (AREA)
  • Transition And Organic Metals Composition Catalysts For Addition Polymerization (AREA)

Abstract

계측 타겟은 하나 이상의 방향을 따라 제1 계측 모드와 호환되는 제1 세트의 패턴 요소, 및 하나 이상의 방향을 따라 제2 계측 모드와 호환되는 제2 세트의 패턴 요소를 포함하고, 제2 세트의 패턴 요소는 제1 세트의 패턴 요소의 제1 부분을 포함하며, 제2 세트의 패턴 요소는 제2 세트의 패턴 요소에 포함되지 않은, 제1 세트의 패턴 요소의 제2 부분에 의해 둘러싸여 있다.

Description

복합 오버레이 계측 타겟
관련 출원에 대한 교차 참조
본 출원은 2020년 1월 30일에 출원되고, 발명의 명칭이 "바이어스 보정을 위한 결합된 광학 및 EOVL 타겟(COMBINED OPTICAL AND EOVL TARGET FOR BIASES CALIBRATION)"이고, 발명자가 안나 골로츠반(Anna Golotsvan), 인나 스틸리-타쉬쉬(Inna Steely-Tarshish), 및 마크 기노프커(Mark Ghinovker)인 미국 특허 가출원 제62/967,951호와, 2020년 5월 29일에 출원되고, 발명의 명칭이 "복합 오버레이 계측 타겟(COMPOSITE OVERLAY METROLOGY TARGET)"이고, 발명자가 Anna Golotsvan, Inna Steely-Tarshish, 및 Mark Ghinovker인 미국 특허 가출원 제63/032,217호의 35 U.S.C. § 119(e)하의 혜택을 주장한다. 각각의 상기 출원은 전체가 참고로 여기에 포함된다.
기술분야
본 개시는 일반적으로 오버레이 계측에 관한 것으로, 보다 구체적으로, 결합된 타겟을 사용하는 오버레이 계측에 관한 것이다.
오버레이 계측 타겟은 일반적으로 관심 있는 샘플 층 상에 배치된 타겟 피처(target features)를 가진 오버레이 타겟을 특성화(characterize)하여 샘플의 다수의 층의 정렬에 관한 진단 정보를 제공하도록 설계되었다. 또한, 다수의 층의 오버레이 정렬은 일반적으로 샘플 전체의 다양한 위치에서 다수의 오버레이 타겟의 오버레이 측정을 집성하여 결정된다. 그러나 오버레이 타겟의 오버레이 측정의 정확도 및/또는 반복성은 샘플 상의 특정 위치 또는 측정될 타겟 피처의 특정 특성에 민감할 수 있다. 예를 들어, 작은 크기의 타겟 피처는 샘플 타겟의 더 큰 해상도를 달성할 수 있는 계측 시스템의 사용을 요구할 수 있다. 이와 관련하여 칩 크기가 지속적으로 축소됨에 따라, 많은 샘플은 다양한 해상도에서 해상 가능한(resolvable) 타겟 피처를 포함한다. 단일 샘플 상에 다양하고 독립적인 타겟을 포함하면 샘플의 상이한 층에 걸쳐 바람직하지 않은 불일치가 발생할 수 있다. 따라서, 해상도를 변경할 수 있는 복수의 계측 모드와 호환(compatible)되는 계측 타겟을 제공하는 것이 바람직할 수 있다.
본 개시의 하나 이상의 예시적인 실시예에 따라 계측 타겟이 개시된다. 하나의 예시적인 실시예에서, 계측 타겟은 하나 이상의 방향을 따라 제1 계측 모드와 호환되는 제1 세트의 패턴 요소를 포함한다. 또 다른 예시적인 실시예에서, 계측 타겟은 하나 이상의 방향을 따라 제2 계측 모드와 호환되는 제2 세트의 패턴 요소를 포함하고, 제2 세트의 패턴 요소는 제1 세트의 패턴 요소의 제1 부분을 포함하며, 제2 세트의 패턴 요소는 제2 세트의 패턴 요소에 포함되지 않은 제1 세트의 패턴 요소의 제2 부분에 의해 둘러싸여 있다.
본 개시의 하나 이상의 예시적인 실시예에 따라서 시스템이 개시된다. 하나의 예시적인 실시예에서, 시스템은 샘플의 하나 이상의 계측 타겟으로부터 하나 이상의 계측 신호를 획득하도록 구성된 하나 이상의 계측 서브시스템을 포함한다. 또 다른 예시적인 실시예에서, 하나 이상의 계측 서브시스템은 조명 소스, 조명 소스로부터 샘플로 조명 빔을 지향시키도록 구성된 하나 이상의 조명 요소, 하나 이상의 검출기, 및 샘플로부터 발산되는 조명을 수집하고 조명을 하나 이상의 검출기로 지향시키도록 구성된 하나 이상의 투영 요소를 포함한다. 또 다른 예시적인 실시예에서, 시스템은 하나 이상의 검출기에 통신 가능하게 결합된 하나 이상의 프로세서를 갖는 하나 이상의 제어기를 포함한다. 또 다른 예시적인 실시예에서, 하나 이상의 프로세서는 메모리에 유지되는 프로그램 명령어의 세트를 실행하도록 구성되고, 프로그램 명령어의 세트는 하나 이상의 프로세서로 하여금, 제1 계측 모드에서 동작하는 하나 이상의 계측 서브시스템으로부터, 샘플의 하나 이상의 계측 타겟의 제1 세트의 패턴 요소로부터 발산되는 조명을 나타내는 하나 이상의 신호를 수신하고, 제2 계측 모드에서 동작하는 하나 이상의 계측 서브시스템으로부터, 하나 이상의 계측 타겟의 제2 세트의 패턴 요소로부터 발산되는 조명을 나타내는 하나 이상의 신호를 수신하고 - 샘플의 하나 이상의 계측 타겟은 제1 세트의 패턴 요소와 제2 세트의 패턴 요소를 포함하고, 제1 세트의 패턴 요소는 하나 이상의 방향을 따라 제1 계측 모드와 호환되고, 제2 세트의 패턴 요소는 하나 이상의 방향을 따라 제2 계측 모드와 호환되고, 제2 세트의 패턴 요소는 제1 세트의 패턴 요소의 제1 부분을 포함하고, 제2 세트의 패턴 요소는 제2 세트의 패턴 요소에 포함되지 않은 제1 세트의 패턴 요소의 제2 부분에 의해 둘러싸여 있음 -; 제1 계측 모드에서 제1 세트의 패턴 요소로부터 획득된 하나 이상의 신호에 기초하여 제1 세트의 패턴 요소의 하나 이상의 오버레이 파라미터를 결정하며; 제2 계측 모드에서 제2 세트의 패턴 요소로부터 획득된 하나 이상의 신호에 기초하여 제2 세트의 패턴 요소의 하나 이상의 오버레이 파라미터를 결정하게 하도록 구성된다.
본 개시의 하나 이상의 예시적인 실시예에 따라 오버레이를 측정하는 방법이 개시된다. 하나의 예시적인 실시예에서, 본 방법은, 하나 이상의 계측 타겟을 갖는 샘플을 조명하는 단계; 제1 계측 모드에서, 샘플의 하나 이상의 계측 타겟의 제1 세트의 패턴 요소로부터 발산되는 조명을 검출하는 단계; 제2 계측 모드에서, 샘플의 하나 이상의 계측 타겟의 제2 세트의 패턴 요소로부터 발산되는 조명을 검출하는 단계; 제1 세트의 패턴 요소로부터 발산되는 조명에 기초하여 제1 세트의 패턴 요소의 하나 이상의 오버레이 파라미터를 결정하는 단계; 및 제2 세트의 패턴 요소로부터 발산되는 조명에 기초하여 제2 세트의 패턴 요소의 하나 이상의 오버레이 파라미터를 결정하는 단계를 포함한다.
본 개시의 하나 이상의 실시예에 따라 오버레이 타겟을 형성하는 방법이 개시된다. 하나의 예시적인 실시예에서, 계측 타겟을 형성하는 방법은, 제1 세트의 패턴 요소를 형성하는 단계 - 제1 세트의 패턴 요소는 하나 이상의 방향을 따라 제1 계측 모드와 호환됨 -; 및 제2 세트의 패턴 요소를 형성하는 단계를 포함하고, 제2 세트의 패턴 요소는 하나 이상의 방향을 따라 제2 계측 모드와 호환되고, 제2 세트의 패턴 요소는 제1 세트의 패턴 요소의 제1 부분을 포함하며, 제2 세트의 패턴 요소는 제2 세트의 패턴 요소에 포함되지 않은 제1 세트의 패턴 요소의 제2 부분에 의해 둘러싸여 있다.
전술한 일반적인 설명 및 다음의 상세한 설명은 모두 단지 예시적이고 설명적인 것이며, 청구된 본 발명을 반드시 제한하는 것은 아니라는 것을 이해해야 한다. 본 명세서에 통합되어 그 일부를 구성하는 첨부 도면은 본 발명의 실시예를 도시하고, 일반적인 설명과 함께 본 발명의 원리를 설명하는 역할을 한다.
본 개시의 다수의 장점은 첨부 도면을 참조함으로써 당업자에 의해 더 잘 이해될 수 있다.
도 1은 본 개시의 하나 이상의 실시예에 따른 계측 타겟의 평면도이다.
도 2는 본 개시의 하나 이상의 실시예에 따른 계측 타겟의 평면도이다.
도 3은 본 개시의 하나 이상의 실시예에 따른 계측 타겟의 평면도이다.
도 4는 본 개시의 하나 이상의 실시예에 따른 계측 타겟의 평면도이다.
도 5는 본 개시의 하나 이상의 실시예에 따른 계측 타겟의 평면도이다.
도 6은 본 개시의 하나 이상의 실시예에 따른 계측 타겟의 개념적 표현이다.
도 7은 본 개시의 하나 이상의 실시예에 따른 계측 시스템의 단순화된 블록도이다.
도 8a는 본 개시의 하나 이상의 실시예에 따른 계측 서브시스템을 예시하는 개념도이다.
도 8b는 본 개시의 하나 이상의 실시예에 따른 계측 서브시스템을 예시하는 개념도이다.
도 9는 본 개시의 하나 이상의 실시예에 따른 오버레이를 측정하는 방법의 단계를 묘사하는 프로세스 흐름도이다.
도 10은 본 개시의 하나 이상의 실시예에 따른 계측 타겟을 형성하는 방법의 단계를 묘사하는 프로세스 흐름도이다.
이제, 첨부 도면에 예시되는, 개시되는 요지에 대한 참조가 상세하게 이루어질 것이다. 본 개시는 특정 실시예 및 이의 특정 피처와 관련하여 특히 도시되고 설명되었다. 본 명세서에 설명된 실시예는 제한하기보다는 예시적인 것으로 간주된다. 본 개시의 사상 및 범위를 벗어나지 않고 형태 및 세부 사항에 있어서 다양한 변경 및 수정이 이루어질 수 있음은 당업자에게 쉽게 명백할 것이다.
본 개시의 실시예는 하나 이상의 측정 모드와 호환되는 결합된 오버레이 계측 타겟에 관한 것이다.
반도체 디바이스는 기판 상에 패터닝된 물질의 다수의 인쇄된 층으로서 형성될 수 있음이 인식된다. 각각의 인쇄된 층은 예를 들어, 하나 이상의 물질 퇴적 단계, 하나 이상의 리소그래피 단계, 또는 하나 이상의 에칭 단계와 같은(이에 국한되지 않음) 일련의 프로세스 단계를 통해 제조될 수 있다. 또한, 각 인쇄된 층은 일반적으로 최종 디바이스를 적절하게 구성하기 위해 선택된 허용 오차 내에서 제조되어야 한다. 예를 들어, 각 층에서 인쇄된 요소의 상대적 배치(예컨대, 오버레이 또는 오버레이 파라미터)는 이전에 제조된 층과 관련하여 잘 특성화되고 제어되어야 한다. 따라서, 계측 타겟은 층의 오버레이의 효율적인 특성화를 가능하게 하기 위해 하나 이상의 인쇄된 층 상에 제조될 수 있다. 따라서, 인쇄된 층 상의 오버레이 타겟 피처의 편차는 그 층 상의 인쇄된 디바이스 피처의 인쇄된 특성의 편차를 나타낼 수 있다. 또한, (예컨대, 하나 이상의 샘플 층의 제조 후) 하나의 제조 단계에서 측정된 오버레이를 사용하여 후속 제조 단계에서 추가 샘플 층의 제조를 위해 프로세스 도구(예컨대, 리소그래피 도구 등)를 정밀하게 정렬하기 위한 정정 가능 인자(correctables)를 생성한다.
리소그래피 단계에서 인쇄된 패턴의 피처 밀도뿐만 아니라 최소 피처 크기는 리소그래피 시스템의 투영 광학계의 광학적 해상도에 의해 적어도 부분적으로 제한된다. 그러나, 리소그래피 시스템의 해상도에 가깝거나 그 미만인 피처는 다양한 리소그래피 기술을 사용하여 제조될 수 있다.
계측 타겟은 일반적으로 하나 이상의 인쇄 특성을 정확하게 나타내도록 설계된 잘 정의된 인쇄 요소를 포함할 수 있다. 이와 관련하여, (예컨대, 계측 도구에 의한) 계측 타겟의 인쇄된 요소의 측정된 특성은 제조되는 디바이스와 연관된 인쇄된 디바이스 요소를 나타낼 수 있다. 또한, 계측 타겟은 일반적으로 하나 이상의 측정 셀을 갖는 것으로 특성화되며, 여기서 각 셀은 샘플 상의 하나 이상의 층에 인쇄된 요소를 포함한다. 그런 다음, 계측 측정은 단일 셀 또는 다수의 셀 사이의 인쇄된 요소의 크기, 배향 또는 위치(예컨대, 패턴 배치)의 임의의 측정 조합에 기초할 수 있다. 예를 들어, 오버레이 계측 타겟의 하나 이상의 셀은, 각 층의 요소의 상대 위치가 특정 층의 오프셋 오류(예컨대, 패턴 배치 오류(pattern placement error; PPE)) 또는 샘플 층들 간의 정합 오류와 연관된 오버레이 오류를 나타낼 수 있도록 배열된 2개 이상의 샘플 층 상에 인쇄된 요소를 포함할 수 있다. 또 다른 예로서, 프로세스 감지 계측 타겟은 단일 샘플 층 상에 인쇄된 요소를 포함할 수 있으며, 여기서 인쇄된 요소의 하나 이상의 특성(예컨대, 폭 또는 임계 치수(critical dimension; CD), 측벽 각도, 위치 등)은 예를 들어, 리소그래피 단계 동안의 조명량 또는 리소그래피 단계 동안의 리소그래피 도구 내의 샘플의 초점 위치 - 그러나 이들에 제한되지는 않음 - 와 같은 하나 이상의 프로세스 메트릭을 나타낸다.
오버레이 계측은 일반적으로 샘플 전체에 걸쳐 하나 이상의 오버레이 타겟을 제조하여 수행되며, 여기서 각 오버레이 타겟은 관심 있는 샘플 층의 피처를 포함하며, 이는 제조되는 디바이스 또는 컴포넌트와 연관된 피처와 동시에 제조된다. 이와 관련하여 오버레이 타겟의 위치에서 측정된 오버레이 오류는 디바이스 피처의 오버레이 오류를 나타낼 수 있다. 따라서 오버레이 측정은 명시된 허용 오차에 따라 디바이스의 생산을 유지하기 위해 임의의 수의 제조 도구를 모니터링 및/또는 제어하는 데 사용될 수 있다. 예를 들어, 한 샘플 상의 이전 층에 대한 현재 층의 오버레이 측정은 로트 내의 추가 샘플에 대한 현재 층 제조의 편차를 모니터링 및/또는 완화하기 위한 피드백 데이터로 활용될 수 있다. 또 다른 예로서, 한 샘플 상의 이전 층에 대한 현재 층의 오버레이 측정값은 기존 층 정렬을 고려하는 방식으로 동일한 샘플 상의 후속 층을 제조하기 위한 피드포워드 데이터로 활용될 수 있다.
오버레이 타겟은 일반적으로 관심 있는 샘플 층 간의 오버레이 오류에 민감하도록 특별히 설계된 피처를 포함한다. 그런 다음, 오버레이 측정은 오버레이 계측 도구를 사용하여 오버레이 타겟을 특성화하고 계측 도구의 출력에 기초하여 샘플에 대한 오버레이 오류를 결정하는 알고리즘을 적용함으로써 수행될 수 있다.
오버레이 측정 기술에 관계없이 오버레이 계측 도구는 일반적으로 오버레이 신호를 생성하는 데 사용되는 측정 파라미터 세트를 포함하는 레시피에 따라 구성 가능하다. 예를 들어, 오버레이 계측 도구의 레시피는 조명 파장, 샘플로부터 발산되는 방사선의 검출된 파장, 샘플 상의 조명 스폿 크기, 입사 조명 각도, 입사 조명의 편광, 오버레이 타겟 상의 입사 조명 빔의 위치, 오버레이 계측 도구의 초점 체적에서 오버레이 타겟의 위치 등을 포함할 수 있지만 이에 제한되지 않는다. 따라서, 오버레이 레시피는 2개 이상의 샘플 층의 오버레이를 결정하기에 적합한 오버레이 신호를 생성하기 위한 측정 파라미터 세트를 포함할 수 있다.
오버레이 계측 도구는 다양한 기술을 활용하여 샘플 층의 오버레이를 결정할 수 있다. 예를 들어, 이미지 기반 오버레이 계측 도구는 오버레이 타겟(예컨대, 고급 이미징 계측(advanced imaging metrology; AIM) 타겟, 박스-인-박스 계측 타겟 등)을 조명하고 상이한 샘플 층 상에 위치한 오버레이 타겟 피처의 이미지를 포함하는 오버레이 신호를 캡처할 수 있다. 따라서 오버레이 타겟 피처의 상대적인 위치를 측정하여 오버레이가 결정될 수 있다. 또 다른 예로서, 산란계측 기반 오버레이 계측 도구는 오버레이 타겟(예컨대, 격자-오버-격자 계측 타겟(grating-over-grating metrology target) 등)을 조명하고 조명 빔의 회절, 산란 및/또는 반사와 연관된 오버레이 타겟으로부터 발산되는 방사선의 각도 분포를 포함하는 오버레이 신호를 캡처한다. 따라서, 오버레이는 조명 빔과 오버레이 타겟의 상호작용 모델에 기초하여 결정될 수 있다.
여기에서 다양한 오버레이 계측 도구가 오버레이를 측정하는 데 사용될 수 있음을 인식한다. 예를 들어, 광학 계측 도구(예컨대, 조명 및/또는 검출을 위해 전자기 방사선을 사용하는 광 기반 계측 도구)는, 예를 들어, 이미지의 다수의 층 상에서 공간적으로 분리된 피처의 상대적 위치를 결정하는 것, 다수의 층 상에서 PPE를 직접 측정하는 것, 또는 다수의 층 상의 회절 격자로부터 산란 및/또는 회절된 광에 기초해 오버레이가 결정되는 산란계측과 같은(이에 제한되지는 않음) 다수의 기술을 사용하여 고처리량 오버레이 측정을 제공할 수 있다. 본 개시의 목적을 위해, 용어 "광학 계측 도구", "광학 계측 기술" 등은 예를 들어, x선 파장, 극자외선(extreme ultraviolet; EUV) 파장, 진공 자외선(vacuum ultraviolet; VUV) 파장, 심자외선(deep ultraviolet; DUV) 파장, 자외선(ultraviolet; UV) 파장, 가시 파장 또는 적외선(infrared; IR) 파장과 같은(이에 제한되지는 않음) 임의의 파장의 전자기 방사선을 사용하는 계측 도구 및 기술을 나타낸다. 그러나 광학 계측 도구의 해상도 한계는 일반적으로 조명 소스의 파장에 따라 광학 계측 측정과 관심 있는 디바이스 피처의 실제 오버레이 사이에 체계적인 오류를 도입할 수 있는, 디바이스 스케일 피처보다 큰 피처 크기를 필요로 한다. 또 다른 예로서, 예를 들어, 이에 국한되지는 않지만, 주사 전자 현미경(scanning electron microscope; SEM) 계측 도구(예컨대, 임계 치수 SEM(critical dimension SEM; CD-SEM) 등), 또는 포커싱된 이온 빔(focused ion beam; FIB) 계측 도구와 같은 입자 기반 계측 도구는 디바이스-스케일 피처를 해상할 수 있다. 또한, 입자 빔 계측 도구는 입자 침투 깊이에 기초해 다수의 샘플 층 상의 피처를 동시에 측정하는 데 제한된 능력을 가질 수 있다. 예를 들어, 저에너지 입자 빔은 상단 층(예컨대, 현재 층)를 특성화하는 데 사용될 수 있는 반면, 상대적으로 더 높은 에너지 입자 빔은 이전에 제조된 층의 피처를 특성화하기 위해 샘플에 더 깊숙이 침투할 수 있다. 그러나 많은 입자 기반 계측 도구는 광학 계측 도구보다 처리량이 상대적으로 낮을 수 있으며 측정 중에 하나 이상의 층에 잠재적으로 손상을 유발할 수 있다. 오버레이 측정과 관련된 시스템, 방법 및 장치는, 발명의 명칭이 "오버레이 마크, 오버레이 마크 설계 방법 및 오버레이 측정 방법(OVERLAY MARKS, METHODS OF OVERLAY MARK DESIGN AND METHODS OF OVERLAY MEASUREMENTS)"이고 2012년 12월 11일에 발행된 미국 특허 제8,330,281호, 발명의 명칭이 "2개의 층 간의 오정렬을 제어하기 위한 주기적 패턴 및 기술(PERIODIC PATTERNS AND TECHNIQUE TO CONTROL MISALIGNMENT BETWEEN TWO LAYERS)"이고 2016년 10월 25일에 발행된 미국 특허 제9,476,698호, 발명의 명칭이 "회전 또는 거울 대칭을 갖는 구조물의 오버레이를 결정하기 위한 장치 및 방법(APPARATUS AND METHODS FOR DETERMINING OVERLAY OF STRUCTURES HAVING ROTATIONAL OR MIRROR SYMMETRY)"이고 2009년 6월 2일에 발행된 미국 특허 제7,541,201호, 발명의 명칭이 "산란계측을 사용하여 오버레이 오류를 검출하기 위한 장치 및 방법(APPARATUS AND METHOD FOR DETECTING OVERLAY ERRORS USING SCATTEROMETRY)"이고 2004년 9월 2일에 공개된 미국 특허 공개 공보 제2014/0169861호, 발명의 명칭이 "개선된 프로세스 제어를 위한 품질 메트릭을 제공하기 위한 방법 및 시스템(METHOD AND SYSTEM FOR PROVIDING A QUALITY METRIC FOR IMPROVED PROCESS CONTROL)"이고 2013년 2월 7일에 공개된 미국 특허 공개 공보 제2013/0035888호, 발명의 명칭이 "SEM 오버레이 계측의 시스템 및 방법(SYSTEM AND METHOD OF SEM OVERLAY METROLOGY)"이고 2015년 12월 15일에 발행된 미국 특허 제9,214,317호, 발명의 명칭이 "복합 이미징 계측 타겟(COMPOUND IMAGING METROLOGY TARGETS)"이고 2020년 1월 7일에 발행된 미국 특허 제10,527,951 B2호, 발명의 명칭이 "반사-비대칭 구조의 반사-대칭 쌍을 갖는 계측 이미징 타겟(METROLOGY IMAGING TARGETS HAVING REFLECTION-SYMMETRIC PAIRS OF REFLECTION-ASYMMETRIC STRUCTURES)"이고 2019년 1월 29일에 발행된 미국 특허 제10,190,979 B2호, 및 발명의 명칭이 "패턴 배치 및 패턴 크기의 측정을 위한 장치 및 방법, 그리고 이를 위한 컴퓨터 프로그램(APPARATUS AND METHOD FOR THE MEASUREMENT OF PATTERN PLACEMENT AND SIZE OF PATTERN AND COMPUTER PROGRAM THEREFOR)"이고 2016년 6월 27일에 공개된 PCT 출원 제PCT/US2016/039531호에 일반적으로 설명되고, 이것들 모두는 그 전체가 참조에 의해 본 명세서에 통합된다.
본 개시 전체에서 사용될 때, 용어 "샘플"은 일반적으로 반도체 또는 비반도체(non-semiconductive) 물질(예컨대, 웨이퍼 등)로 형성된 기판을 지칭한다. 예를 들어, 반도체 또는 비반도체 물질은 비제한적으로 단결정 실리콘, 갈륨 비화물 및 인듐 인화물을 포함할 수 있다. 샘플은 하나 이상의 층을 포함할 수 있다. 예를 들어, 이러한 층은 레지스트, 유전체 물질, 전도성 물질, 및 반전도성 물질을 포함할 수 있지만, 이것으로만 제한되지는 않는다. 다수의 상이한 유형들의 이러한 층은 당 분야에 알려져 있고, 여기서 이용된 바와 같은 샘플이라는 용어는 모든 유형들의 이러한 층이 위에 형성될 수 있는 샘플을 포괄하도록 의도된다. 샘플 상에 형성된 하나 이상의 층은 패터닝되거나 패터닝되지 않을 수 있다. 예를 들어, 샘플은 각각 반복 가능한 패터닝된 피처를 갖는 복수의 다이를 포함할 수 있다. 이러한 물질의 층의 형성 및 처리는 궁극적으로 완성된 디바이스를 초래할 수 있다. 다수의 상이한 유형의 디바이스가 샘플 상에 형성될 수 있고, 용어 샘플은 본 명세서에 사용될 때 당 기술 분야에 공지된 임의의 유형의 디바이스가 그 위에서 제조되고 있는 샘플을 포함하도록 의도된다. 또한, 본 개시의 목적을 위해, 샘플 및 웨이퍼라는 용어는 상호교환 가능한 것으로 해석되어야 한다. 또한, 본 개시의 목적을 위해, 패터닝 디바이스, 마스크 및 레티클이라는 용어는 상호교환가능한 것으로 해석되어야 한다.
도 1은 본 개시의 하나 이상의 실시예에 따른 계측 타겟(100)의 평면도이다. 일 실시예에서, 계측 타겟(100)은 이중 회전 대칭이다. 계측 타겟(100)은 제1 세트의 패턴 요소(102)를 포함할 수 있다. 제1 세트의 패턴 요소(102)는 다수의 패턴 요소를 포함한다. 예를 들어, 제1 세트의 패턴 요소(102)는 패턴 요소(106a-106h)를 포함할 수 있지만 이에 제한되지 않는다. 패턴 요소(106a-106h) 중 하나 이상은 이중 회전 대칭을 표시할 수 있다. 제1 세트의 패턴 요소(102)의 일부는 계측 타겟(100)의 다수의 층에 형성될 수 있다. 예를 들어, 패턴 요소(106a, 106d, 106e, 및 106h)는 계측 타겟(100)의 제1 층(도 1의 광 패턴 피처에 의해 표시될 수 있음) 상에 형성될 수 있고, 패턴 요소(106b, 106c, 106f, 및 106g)는 계측 타겟(100)의 제2 층(도 1의 암 패턴 피처(dark pattern features)에 의해 표시될 수 있음) 상에 형성될 수 있다. 이와 관련하여, 계측 타겟(100)의 제2 층에 대한 제1 층의 오프셋(예컨대, PPE)은 계측 타겟(100)의 상이한 층들에 배치된 제1 세트의 패턴 요소(102)의 패턴 요소(106a-106h)의 상대적인 위치를 측정함으로써 특성화될 수 있다. 하나 이상의 패턴 요소(106a-106h)는 하나 이상의 분할된 부분(예컨대, 기준 피처의 반복되는 주기적 세트)을 포함할 수 있다.
일 실시예에서, 계측 타겟(100)의 각 층은 제1 직교 방향(예컨대, X-방향)을 따라 측정하도록 구성된 2개의 패턴 요소(106a-106h) 및 제2 직교 방향(예컨대, Y-방향)을 따라 측정하도록 구성된 2개의 패턴 요소(106)를 포함할 수 있다. 추가적인 예로서, 계측 타겟(100)의 제1 층은 Y-방향을 따른 측정을 위해 구성된 패턴 요소(106a 및 106e)를 포함할 수 있다. 추가적인 예로서, 계측 타겟(100)의 제1 층은 X-방향을 따른 측정을 위해 구성된 패턴 요소(106d 및 106h)를 포함할 수 있다. 또 다른 예로서, 계측 타겟의 제2 층은 Y-방향을 따른 측정을 위해 구성된 패턴 요소(106b 및 106f), 및 X-방향을 따른 측정을 위해 구성된 패턴 요소(106c 및 106g)를 포함할 수 있다.
제1 세트의 패턴 요소(102)는 하나 이상의 직교 방향(예컨대, X 방향 및/또는 Y 방향)을 따라 제1 계측 모드와 호환되도록 구성될 수 있다. 예를 들어, 제1 세트의 패턴 요소(102) 중 하나 이상의 패턴 요소(106a-106h)는, 제1 세트의 패턴 요소 중의 패턴 요소(106a-106h)의 상대적 위치가 (예컨대, 하나 이상의 계측 서브시스템 등에 의해) 용이하게 측정될 수 있도록 X-방향 및 Y-방향을 따라 정의된 에지들을 포함할 수 있다. 추가적인 예로서, 제1 세트의 패턴 요소(102)는 본 개시에 의해 고려되는 목적에 적합하도록 당업계에 공지된 임의의 광학 계측 모드와 호환될 수 있으며, 임의의 패턴 요소를 포함하며, 여기에는 제한 없이, 고급 이미징 계측(AIM), 고급 이미징 계측 인-다이(advanced imaging metrology in-die; AIMid) 및 삼중 고급 이미징 계측(Triple AIM)이 포함된다.
계측 타겟(100)은 제2 계측 모드와 호환되는 제2 세트의 패턴 요소(104)를 포함할 수 있다. 제2 세트의 패턴 요소(104)는 제1 세트의 패턴 요소(102)의 제1 부분을 포함할 수 있다. 제2 세트의 패턴 요소(104)는 제1 세트의 패턴 요소(102)의 제2 부분에 의해 둘러싸일 수 있다. 이와 관련하여, 제1 세트의 패턴 요소(102)의 제2 부분은 제2 세트의 패턴 요소(104)에 포함되지 않는다. 예를 들어, 제2 세트의 패턴 요소(104)는 도 1에 박스(104)로 예시된 바와 같이 제1 세트의 패턴 요소(102)의 내부 부분을 포함할 수 있다. 계측 타겟(100)은 상이한 계측 모드에서 다수의 계측 측정을 수행하기 위해 계측 타겟이 이동될 필요가 없도록 구성될 수 있다. 예를 들어, 제1 세트의 패턴 요소(102)와 제2 세트의 패턴 요소(104)는, 제1 계측 모드와 제2 계측 모드가 공통 중심점에서 (예컨대, 하나 이상의 계측 서브시스템에 의해) 활용될 수 있도록 중심점을 공유할 수 있다. 이러한 의미에서, 적어도 2개의 계측 모드에서 계측 측정을 수행하는 데 필요한 시간량이 감소될 수 있다.
제2 세트의 패턴 요소(104)는 하나 이상의 직교 방향(예컨대, X-방향 및/또는 Y-방향)을 따라 제2 계측 모드와 호환되도록 구성될 수 있다. 예를 들어, 제2 세트의 패턴 요소(104) 내에 포함된 패턴 요소(106a-106h)의 하나 이상의 부분은, 패턴 요소(106a-106h)의 하나 이상의 부분의 상대적 위치가 (예컨대, 하나 이상의 계측 서브시스템 등에 의해) 용이하게 측정될 수 있도록 X-방향 및 Y-방향을 따라 정의된 에지를 포함할 수 있다. 추가 예로서, 제2 세트의 패턴 요소(104)는 제한 없이, 고급 이미징 계측 인-다이(AIMid) 계측 및 전자 빔 계측을 포함하여, 본 개시에 의해 고려되는 목적에 적합하도록 당업계에 공지된 임의의 광학 계측 모드 또는 입자 빔 계측 모드와 호환될 수 있다.
계측 타겟(100)이 이중 대칭을 표시하는 예시적인 타겟 및 패턴 요소의 맥락에서 설명되지만, 이 피처는 본 개시의 범위에 대한 제한으로 해석되어서는 안 된다는 점에 유의한다. 오히려, 타겟(100) 및/또는 패턴 요소(106a-106h)가 사중 회전 대칭을 나타낼 수 있다는 것이 여기에서 주목된다.
도 2는 본 개시의 하나 이상의 실시예에 따른 계측 타겟(100)의 평면도이다. 제2 세트의 패턴 요소(104)는 하나 이상의 직교 방향을 따른 추가 에지가 계측 타겟(100)의 관심 영역 내에 포함되도록 구성될 수 있다. 예를 들어, 제2 세트의 패턴 요소(104)의 하나 이상의 부분은 계측 타겟(100)의 하나 이상의 직교 방향을 따라 2개 이상의 분할된 부분을 포함할 수 있다. 추가적인 예로서, 각각의 패턴 요소(106b, 106d, 106f, 및 106h)는 계측 타겟(100)의 하나 이상의 직교 방향을 따라 분할된 부분의 2개 이상의 세트로 분할되도록 형성될 수 있다. 이러한 의미에서, 제2 세트의 패턴 요소(104)는 더 작은 면적 또는 더 작은 샘플 피처를 갖는 샘플 상에서 사용하도록 구성될 수 있다. 제2 세트의 패턴 요소(104)는 계측 타겟(100)이 다양한 계측 서브시스템 및 다양한 계측 모드와 호환되도록 할 수 있다. 예를 들어, 제2 세트의 패턴 요소(104)는 계측 타겟(100)이 상이한 해상도를 갖는 둘 이상의 계측 서브시스템과 함께 사용되는 것을 허용할 수 있다. 또 다른 예로서, 제2 세트의 패턴 요소(104)는 계측 타겟(100)이 작은 크기의 샘플 상에서 그리고/또는 샘플 피처의 조밀한 어레이를 갖는 샘플 상에서 사용되는 것을 허용할 수 있다.
계측 타겟(100)이 이중 대칭을 표시하는 예시적인 타겟 및 패턴 요소의 맥락에서 설명되지만, 이 피처는 본 개시의 범위에 대한 제한으로 해석되어서는 안 된다는 점에 유의한다. 오히려, 타겟(100) 및/또는 제2 세트의 패턴 요소(104)가 사중 회전 대칭을 나타낼 수 있다는 것이 여기에서 주목된다.
도 3은 본 개시의 하나 이상의 실시예에 따른 계측 타겟(100)의 평면도이다. 계측 타겟(100)은 샘플 피처에 매우 근접하여 사용되도록 구성된 다양한 크기를 가질 수 있음에 유의한다. 예를 들어, 계측 타겟(100)은 인-다이(예컨대, 스크라이브 라인을 따라) 계측 타겟으로서 생성될 수 있다. 또 다른 예로서, 계측 타겟(100)은 설계 제한, 간격, 샘플의 주변 피처 등에 기초하여 인-다이로 형성될 수 있다. 이와 관련하여, 계측 타겟(100)은 제한 없이 AIMid를 포함하는 임의의 인-다이 계측 모드와 함께 사용하도록 구성될 수 있다.
도 4는 본 개시의 하나 이상의 실시예에 따른 계측 타겟(100)의 평면도이다. 제1 세트의 패턴 요소(102)는 하나 이상의 광학 계측 모드와 호환되도록 구성된 하나 이상의 추가 부분(402)을 포함할 수 있다. 일 실시예에서, 추가 부분(402)은 사중 회전 대칭이다. 제1 세트의 패턴 요소(102)는 삼중 AIM 계측을 포함하지만 이에 제한되지 않는 본 개시에 의해 고려되는 목적에 적합하다고 당업계에 공지된 임의의 광학 계측 모드와 함께 사용하도록 구성된 하나 이상의 추가 부분(402)을 포함할 수 있다. 일 실시예에서, 하나 이상의 추가 부분(402)은 계측 타겟(100)의 다수의 층에서 하나 이상의 분할된 부분으로서 형성될 수 있다. 또 다른 실시예에서, 하나 이상의 추가 부분(402)은 제1 세트의 패턴 요소(102)의 임의의 다른 부분도 제2 세트의 패턴 요소(104)의 임의의 부분도 그 위에 형성될 수 없는 단일 층에 형성될 수 있다. 또 다른 실시예에서, 하나 이상의 추가 부분(402)의 하나 이상의 부분은 제1 세트의 패턴 요소(102)의 하나 이상의 부분 및/또는 제2 세트의 패턴 요소의 하나 이상의 부분이 위에 형성되는 층 상에 형성될 수 있다.
도 5는 본 개시의 하나 이상의 실시예에 따른 계측 타겟(100)의 평면도이다. 계측 타겟(100)은 예를 들어, 산란계측 기반 오버레이(scatterometry-based overlay; SCOL) 계측 모드와 같은 비-이미징 계측 모드와 함께 사용하도록 구성된 제3 세트의 패턴 요소(502)를 포함할 수 있다. 제3 세트의 패턴 요소(502)는 동일한 피치(예컨대, 분리 거리)를 갖는 하나 이상의 교번 평행 격자를 포함할 수 있다. 일 실시예에서, 제3 세트의 패턴 요소(502)의 패턴 요소는 이중 회전 대칭이다. 패턴 요소(502)의 제3 세트는, 패턴 요소(502)의 제3 세트로 지향되는 입사 방사선이 하나 이상의 교번하는 평행 격자에 의해 회절될 수 있도록 구성될 수 있고, 회절된 방사선은 검출되고(예컨대, 하나 이상의 계측 서브시스템에 의해) 분석되어 방사선의 각도 분포에 기초하여 하나 이상의 오버레이 파라미터를 결정할 수 있다.
제3 세트의 패턴 요소(502)는 제1 세트의 패턴 요소(102)의 하나 이상의 부분을 둘러쌀 수 있다. 제3 세트의 패턴 요소(502)는 계측 타겟(100)의 다수의 층에 형성될 수 있다. 예를 들어, 제3 세트의 패턴 요소(502)의 하나 이상의 패턴 요소는 계측 타겟(100)의 제1 층 상에 형성될 수 있고, 제3 세트의 패턴 요소(502)의 하나 이상의 패턴 요소는 계측 타겟(100)의 제2 층 상에 형성될 수 있다. 제3 세트의 패턴 요소(502)는 제1 세트의 패턴 요소(102) 및 제2 세트의 패턴 요소(104)와 계측 타겟(100)의 중심을 공유하도록 구성될 수 있다. 이와 관련하여, 계측 타겟(100)의 제2 층에 대한 제1 층의 오프셋(예컨대, PPE)은 계측 타겟(100)의 상이한 층에 배치된 제3 세트의 패턴 요소(502) 중의 패턴 요소의 상대적인 위치를 측정함으로써 특성화될 수 있다.
계측 타겟(100)이 사중 대칭을 표시하는 예시적인 타겟 및 타겟 구조의 맥락에서 설명되지만 이 피처는 본 개시의 범위에 대한 제한으로 해석되어서는 안 된다는 것을 이해해야 한다. 오히려, 타겟(100) 및/또는 제3 세트의 패턴 요소(502)가 이중 회전 대칭을 나타낼 수 있다는 것이 여기에서 주목된다.
도 6은 본 개시의 하나 이상의 실시예에 따른 계측 타겟(100)의 평면도이다. 계측 타겟(100)은, 제1 세트의 패턴 요소(102), 제2 세트의 패턴 요소(104) 및 제3 세트의 패턴 요소(502) 각각이 샘플의 하나 이상의 측정 파라미터를 고려하는 방식으로 샘플 상에 배열 및/또는 형성되도록 구성될 수 있다. 예를 들어, 제1 세트의 패턴 요소(102), 제2 세트의 패턴 요소(104), 및 제3 세트의 패턴 요소(502) 각각은, 하나 이상의 계측 서브시스템이 샘플의 하나 이상의 오버레이 파라미터(예컨대, 오프셋(PPE), 스케일링, 회전, 및 다른 정정 가능한 항)을 정확하게 결정할 수 있도록 샘플 상에 배열 및/또는 형성될 수 있다. 또 다른 예로서, 제1 세트의 패턴 요소(102), 제2 세트의 패턴 요소(104), 또는 제3 세트의 패턴 요소(502)의 전부 또는 일부는, 하나 이상의 계측 모드가 정확하고 바람직한 계측을 위해 상이한 계측 모드(예컨대, 더 높은 해상도의 계측 모드)가 필요한 샘플의 하나 이상의 부분 상에서 사용될 수 있도록 배열될 수 있다. 계측 타겟(100)의 설계, 및 계측 타겟의 제1 세트의 패턴 요소(102), 제2 세트의 패턴 요소(104), 및 제3 세트의 패턴 요소 각각의 상대적 배치 및/또는 형성은 계측 타겟(100)과 함께 사용하도록 구성된 하나 이상의 서브시스템의 조명 파장, 샘플로부터 발산되는 방사선의 파장, 샘플 상의 조명의 스폿 크기, 입사 조명의 각도, 입사 조명의 편광, 오버레이 타겟 상의 입사 조명의 빔의 위치, 오버레이 계측 도구의 초점 체적 내의 오버레이 타겟의 위치 등 중 하나 이상에 대응하도록 구성될 수 있다는 점에 유의한다. 이와 관련하여, 계측 타겟(100)은 복수의 계측 모드를 필요로 하는 샘플의 보다 효율적이고 정확한 계측을 가능하게 할 수 있다. 예를 들어, 제1 세트의 패턴 요소(102), 제2 세트의 패턴 요소(104) 및/또는 제3 세트의 패턴 요소(502)의 계측 타겟(100)에서의 조합은 하나 이상의 계측 레시피가 상이한 계측 모드에서 동작하는 하나 이상의 계측 서브시스템 사이에서 공유될 수 있게 할 수 있다.
계측 타겟(100)은 계측 시스템의 보정에 사용되도록 구성될 수 있다. 예를 들어, 계측 타겟(100)은 인-다이 타겟 측정에서 신호 크런칭(예컨대, 상이한 계측 모드를 사용하는 계측 측정의 정확도와 관련된 데이터의 포함 및 분석)을 위해 사용될 수 있다. 또 다른 예로서, 계측 타겟(100)의 제1 세트의 패턴 요소(102) 및 제2 세트의 패턴 요소(104)는, 제1 세트의 패턴 요소(102), 제2 세트의 패턴 요소(104) 및 제3 세트의 패턴 요소(502)가 공통 중심을 공유하기 때문에 타겟 배치 및 타겟 아키텍처 바이어스로 인한 타겟 제조의 부정확성을 감소시킬 수 있다.
도 7은 본 개시의 하나 이상의 실시예에 따른 계측 시스템(700)의 간략화된 블록도를 예시한다. 일 실시예에서, 계측 시스템(700)은 하나 이상의 계측 서브시스템(712)을 포함한다. 예를 들어, 계측 시스템(700)은 제1 계측 서브시스템(702)과 제2 계측 서브시스템(704)을 포함할 수 있고, 이들 각각은 임의의 수의 오버레이 레시피에 기초하여 오버레이 타겟으로부터 오버레이 신호를 획득하도록 구성될 수 있다. 제1 계측 서브시스템(702)과 제2 계측 서브시스템(704) 각각은 이미징 모드 또는 비-이미징 모드에서 동작할 수 있다. 예를 들어, 이미징 모드에서 개별 오버레이 타겟 요소는 샘플 상의 조명된 스폿 내에서(예컨대, 명시야 이미지, 암시야 이미지, 위상차 이미지 등의 일부로서) 해상 가능할 수 있다. 또 다른 예로서, 제1 계측 서브시스템(702)과 제2 계측 서브시스템(704) 각각은, 샘플로부터의 방사선이 동공 평면에서 분석되어 샘플로부터의 방사선의 각도 분포를 특성화하는 산란계측 기반 오버레이(SCOL) 계측 도구로서 동작할 수 있다.
제1 계측 서브시스템(702)과 제2 계측 서브시스템(704) 각각은 조명을 샘플로 지향시킬 수 있고, 2개 이상의 샘플 층의 오버레이의 결정에 적합한 오버레이 신호를 생성하기 위해 샘플로부터 발산되는 방사선을 추가로 수집할 수 있다. 제1 계측 서브시스템(702)과 제2 계측 서브시스템(704) 각각은, 임의의 광학 계측 도구(예컨대, 고급 이미징 계측(AIM) 도구, 고급 이미징 계측 인-다이(AIMid) 도구, 삼중 고급 이미징 계측(Triple AIM) 도구 등), 임의의 입자 기반 계측 도구(예컨대, 전자 빔 계측 도구), 또는 산란계측 기반 오버레이(SCOL) 계측 도구를 포함하는 - 이에 제한되지는 않음 -, 샘플 상의 오버레이 타겟과 연관된 오버레이를 결정하기에 적합한 오버레이 신호를 생성하기에 적합한 당업계에 공지된 임의의 유형의 오버레이 계측 도구를 포함할 수 있다. 본 개시의 실시예는 제1 계측 서브시스템(702)과 제2 계측 서브시스템(704)만을 갖는 계측 시스템(700)으로 제한되지 않고, 계측 시스템(700)은 적어도 3개의 계측 서브시스템을 포함할 수 있음에 유의한다. 예를 들어, 계측 시스템(700)은 광학 계측 도구, 입자 기반 계측 도구, 및 산란계측 기반 오버레이 계측 도구를 포함할 수 있다.
하나 이상의 계측 서브시스템(712)은 오버레이 타겟의 오버레이를 결정하기에 적합한 오버레이 신호를 획득하기 위한 측정 파라미터를 정의하는 임의의 수의 레시피에 기초하여 오버레이 신호를 생성하도록 구성될 수 있다. 예를 들어, 하나 이상의 계측 서브시스템(712)의 레시피는 조명 파장, 샘플로부터 발산되는 방사선의 검출된 파장, 샘플 상의 조명 스폿 크기, 입사 조명 각도, 입사 조명의 편광, 입사 빔의 파동 계획(wave plan), 오버레이 타겟 상의 입사 조명 빔의 위치, 오버레이 계측 도구의 초점 체적에서 오버레이 타겟의 위치 등을 포함할 수 있지만 이에 제한되지 않는다.
또 다른 실시예에서, 오버레이 계측 시스템(700)은 하나 이상의 계측 서브시스템(712)에 통신 가능하게 결합된 제어기(706)를 포함한다. 제어기(706)는 하나 이상의 계측 서브시스템(712)이 하나 이상의 선택된 레시피에 기초하여 오버레이 신호를 생성하게 지시하도록 구성될 수 있다. 제어기(706)는 하나 이상의 계측 서브시스템(712)으로부터, 오버레이 신호를 포함하지만 이에 제한되지 않는 데이터를 수신하도록 추가로 구성될 수 있다. 추가적으로, 제어기(706)는 획득된 오버레이 신호에 기초하여 오버레이 타겟과 연관된 오버레이를 결정하도록 구성될 수 있다.
또 다른 실시예에서, 제어기(706)는 하나 이상의 프로세서(708)를 포함한다. 예를 들어, 하나 이상의 프로세서(708)는 메모리 디바이스(710), 또는 메모리에 유지되는 프로그램 명령어의 세트를 실행하도록 구성될 수 있다. 제어기(706)의 하나 이상의 프로세서(708)는 해당 기술에서 공지된 임의의 프로세싱 요소를 포함할 수 있다. 이 점에서, 하나 이상의 프로세서(708)는 알고리즘 및/또는 명령어를 실행하도록 구성된 임의의 마이크로프로세서 유형 디바이스를 포함할 수 있다. 더 나아가, 메모리 디바이스(710)는, 연관된 하나 이상의 프로세서(708)에 의해 실행 가능한 프로그램 명령어를 저장하기 위해 적절한, 당업계에서 공지된 임의의 저장 매체를 포함할 수 있다. 예를 들어, 메모리 디바이스(710)는 비일시적 메모리 매체를 포함할 수 있다. 추가적인 예로서, 메모리 디바이스(710)는 판독 전용 메모리, 랜덤 액세스 메모리, 자기 또는 광학 메모리 디바이스(예컨대, 디스크), 자기 테이프, 솔리드 스테이트 드라이브 등을 포함할 수 있지만 이것들에만 제한되지는 않는다. 또한, 메모리 디바이스(710)는 하나 이상의 프로세서(708)와 함께 공통 제어기 하우징에 수용될 수 있다는 점에 주목한다.
도 8a는 본 개시의 하나 이상의 실시예에 따른 제1 계측 서브시스템(702)을 예시하는 개념도이다. 도 8a에 도시되고 본 개시에서 이전에 설명된 바와 같이, 제1 계측 서브시스템(702)은 예를 들어, 전자 빔 계측 도구(예컨대, SEM, CD-SEM 등)와 같은 입자 기반 계측 도구를 포함할 수 있다.
일 실시예에서, 제1 계측 서브시스템(702)은 입자 소스(802)(예컨대, 전자 빔 소스, 이온 빔 소스 등)를 포함하여 입자 빔(804)(예컨대, 전자 빔, 입자 빔 등)을 생성한다. 입자 소스(802)는 입자 빔(804)을 생성하기에 적합한 당업계에 공지된 임의의 입자 소스를 포함할 수 있다. 입자 소스(802)는 전자 총 또는 이온 총을 포함할 수 있지만 이에 제한되지 않는다. 또 다른 실시예에서, 입자 소스(802)는 조정 가능한 에너지를 입자 빔에 제공하도록 구성된다. 예를 들어, 전자 소스를 포함하는 입자 소스(802)는 0.1 kV 내지 30 kV 범위의 가속 전압을 제공할 수 있지만 이에 제한되지 않는다. 또 다른 예로서, 이온 소스를 포함하는 입자 소스(802)는 1 keV 내지 50 keV 범위의 에너지를 갖는 이온 빔을 제공할 수 있지만 반드시 필요한 것은 아니다.
또 다른 실시예에서, 제1 계측 서브시스템(702)은 하나 이상의 입자 포커싱 요소(806)를 포함한다. 예를 들어, 하나 이상의 입자 포커싱 요소(806)는 단일 입자 포커싱 요소 또는 복합 시스템을 형성하는 하나 이상의 입자 포커싱 요소를 포함할 수 있지만 이에 제한되지 않는다. 또 다른 실시예에서, 하나 이상의 입자 포커싱 요소(806)는 입자 빔(804)을 샘플 스테이지(812) 상에 배치된 샘플(810)로 지향시키도록 구성된 입자 대물 렌즈(808)를 포함한다. 또한, 하나 이상의 입자 소스(802)는 정전기 렌즈, 자기 렌즈, 단전위 렌즈 또는 이중 전위 렌즈를 포함하지만 이에 제한되지 않는 당업계에 공지된 임의의 유형의 전자 렌즈를 포함할 수 있다.
또 다른 실시예에서, 제1 계측 서브시스템은 샘플(810)로부터 발산되는 입자를 이미징하거나 그렇지 않으면 검출하기 위한 적어도 하나의 입자 검출기(814)를 포함한다. 일 실시예에서, 입자 검출기(814)는 전자 수집기(예컨대, 2차 전자 수집기, 후방 산란 전자 검출기 등)를 포함한다. 또 다른 실시예에서, 입자 검출기(814)는 샘플 표면으로부터 전자 및/또는 광자를 검출하기 위한 광자 검출기(예컨대, 광검출기, x-선 검출기, 광전자 증배관(photomultiplier tube; PMT) 검출기에 결합된 섬광 요소 등)를 포함한다.
도 8a에 묘사된 제1 계측 서브시스템(702)의 설명 및 상기 연관된 설명은 오로지 예시 목적으로 제공되며 제한하는 것으로 해석되어서는 안된다는 것을 이해해야 한다. 예를 들어, 제1 계측 서브시스템(702)은 샘플(810)을 동시에 조사(interrogate)하기에 적합한 다중 빔 및/또는 다중 열 시스템(multi-column system)을 포함할 수 있다. 추가 실시예에서, 제1 계측 서브시스템(702)은 샘플(810)의 하나 이상의 위치에 하나 이상의 전압을 인가하도록 구성된 하나 이상의 컴포넌트(예컨대, 하나 이상의 전극)를 포함할 수 있다. 이와 관련하여, 제1 계측 서브시스템(702)은 전압 콘트라스트 이미징 데이터를 생성할 수 있다.
샘플(810)에서 입자 빔(804)의 침투 깊이는 더 높은 에너지 빔이 일반적으로 샘플 내로 더 깊숙이 침투하도록 입자 에너지에 의존할 수 있다는 것이 여기에서 인식된다. 일 실시예에서, 제1 계측 서브시스템(702)은 샘플(810) 내로의 입자 빔(804)의 침투 깊이에 기초하여 디바이스의 상이한 층을 조사하기 위해 상이한 입자 에너지를 활용할 수 있다. 예를 들어, 제1 계측 서브시스템(702)은 이전에 제조된 층을 특성화하기 위해 비교적 저에너지 전자 빔(예컨대, 약 1 keV 이하)을 활용할 수 있고 더 높은 에너지 빔(예컨대, 대략 10 keV 이상)을 활용할 수 있다. 입자 에너지의 함수로서의 침투 깊이는, 특정 층에 대한 입자 에너지의 선택이 상이한 물질에 대해 변할 수 있도록 상이한 물질에 대해 변할 수 있다는 것이 여기에서 인식된다.
도 8b는 본 개시의 하나 이상의 실시예에 따른 제2 계측 서브시스템(704)을 예시하는 개념도이다. 도 8b에 도시되고 본 개시에서 이전에 설명된 바와 같이, 제2 계측 서브시스템(704)은 광학 계측 도구를 포함할 수 있다. 일 실시예에서, 제2 계측 서브시스템(704)은 제한 없이, X선, 자외선(UV), 적외선(IR) 또는 가시광 파장을 갖는 광학 조명 빔을 생성 및/또는 검출하도록 구성된 광학 계측 도구를 포함하는, 샘플의 계측 데이터를 생성하기에 적합한 당업계에 공지된 임의의 유형의 광학 계측 도구를 포함할 수 있다. 또 다른 예로서, 제2 계측 서브시스템(704)은 고급 이미징 계측(AIM) 도구, 고급 이미징 계측 인-다이(AIMid) 도구, 또는 삼중 고급 이미징 계측(Triple AIM) 도구를 포함할 수 있다.
일 실시예에서, 제2 계측 서브시스템(704)은 광학 조명 빔(818)을 생성하도록 구성된 광학 조명 소스(816)를 포함한다. 광학 조명 빔(818)은 X선, 자외선(UV) 광, 가시광, 또는 적외선(IR) 광을 포함하지만 이에 제한되지 않는 하나 이상의 선택된 파장의 방사선을 포함할 수 있다.
광학 조명 소스(816)는 광학 조명 빔(818)을 생성하기에 적합한 기술 분야에 공지된 임의의 유형의 조명 소스일 수 있다.
조명 소스(816)는 조명 빔(818)을 제공하기에 적합한 임의의 유형의 광학 조명 소스를 포함할 수 있다. 일 실시예에서, 광학 조명 소스(816)는 레이저 소스이다. 예를 들어, 광학 조명 소스(816)는 하나 이상의 협대역 레이저 소스, 광대역 레이저 소스, 초연속 레이저 소스, 백색광 레이저 소스 등을 포함할 수 있지만 이에 제한되지 않는다. 이와 관련하여, 광학 조명 소스(816)는 높은 일관성(coherence)(예컨대, 높은 공간적 일관성 및/또는 시간적 일관성)을 갖는 광학 조명 빔(818)을 제공할 수 있다. 또 다른 실시예에서, 광학 조명 소스(816)는 레이저 지속 플라즈마(laser-sustained plasma; LSP) 소스를 포함한다. 예를 들어, 광학 조명 소스(816)는 레이저 소스에 의해 플라즈마 상태로 여기될 때 광대역 조명을 방출할 수 있는 하나 이상의 요소를 포함하기에 적합한 LSP 램프, LSP 전구 또는 LSP 챔버를 포함할 수 있지만 이에 제한되지는 않는다. 또 다른 실시예에서, 광학 조명 소스(816)는 램프 소스를 포함한다. 예를 들어, 광학 조명 소스(816)는 아크 램프, 방전 램프, 무전극 램프 등을 포함할 수 있으나 이에 제한되지 않는다. 이와 관련하여, 광학 조명 소스(816)는 낮은 일관성(예컨대, 낮은 공간적 일관성 및/또는 시간적 일관성)을 갖는 광학 조명 빔(818)을 제공할 수 있다.
또 다른 실시예에서, 광학 조명 소스(816)는 광학 조명 빔(818)을 조명 경로(820)를 통해 샘플(810)로 지향시킨다. 조명 경로(820)는 광학 조명 빔(818)을 수정 및/또는 조절하기에(conditioning) 적합한 하나 이상의 조명 경로 렌즈(822) 또는 추가적인 광학 컴포넌트(824)를 포함할 수 있다. 예를 들어, 하나 이상의 광학 컴포넌트(824)는 하나 이상의 편광기, 하나 이상의 필터, 하나 이상의 빔 스플리터, 하나 이상의 확산기, 하나 이상의 균질기(homogenizers), 하나 이상의 어포다이저(apodizers) 또는 하나 이상의 빔 쉐이퍼를 포함할 수 있지만 이에 한정되지는 않는다. 조명 경로(820)는 광학 조명 빔(818)을 샘플(810)로 지향시키도록 구성된 대물 렌즈(826)를 더 포함할 수 있다.
또 다른 실시예에서, 샘플(810)은 샘플 스테이지(812) 상에 배치된다. 샘플 스테이지(812)는 제2 계측 서브시스템(704) 내에 샘플(810)을 배치하고 그리고/또는 스캐닝하는데 적합한 임의의 디바이스를 포함할 수 있다. 예를 들어, 샘플 스테이지(812)는 선형 병진 스테이지, 회전 스테이지, 팁/틸트 스테이지(tip/tilt stages) 등의 임의의 조합을 포함할 수 있다.
또 다른 실시예에서, 제2 계측 서브시스템(704)은 수집 경로(828)를 통해 샘플(810)로부터 발산되는 광을 캡처하도록 구성된 검출기(834)를 포함한다. 수집 경로(828)는 샘플(810)로부터 광을 수집하기 위한 하나 이상의 수집 경로 렌즈(836, 830)를 포함할 수 있지만 이에 국한되지 않는다. 예를 들어, 검출기(834)는 하나 이상의 수집 경로 렌즈(836, 830)를 통해 샘플(810)로부터 (예컨대, 정반사, 확산 반사 등을 통해) 반사되거나 산란된 광을 수신할 수 있다. 또 다른 예로서, 검출기(834)는 샘플(810)에 의해 생성된 광(예컨대, 광학 조명 빔(818)의 흡수와 연관된 발광 등)을 수신할 수 있다. 또 다른 예로서, 검출기(834)는 샘플(810)로부터 하나 이상의 회절된 차수의 광(예컨대, 0차 회절, ±1차 회절, ±2차 회절 등)을 수신할 수 있다.
검출기(834)는 샘플(810)로부터 수신된 조명을 측정하기에 적합한 당업계에 알려진 임의의 유형의 검출기를 포함할 수 있다. 예를 들어, 검출기(834)는 CCD 검출기, TDI 검출기, 광전자 증배관(photomultiplier tube; PMT), 애벌란시 광 다이오드(avalanche photodiode; APD), 상보 금속 산화물 반도체(complementary metal-oxide-semiconductor; CMOS) 센서 등을 포함할 수 있지만 이에 제한되지는 않는다. 또 다른 실시예에서, 검출기(834)는 샘플(810)로부터 발산되는 광의 파장을 식별하는데 적합한 분광 검출기를 포함할 수 있다.
일 실시예에서, 검출기(834)는 샘플(810)의 표면에 대략 수직으로 배치된다. 또 다른 실시예에서, 제2 계측 서브시스템(704)은, 대물 렌즈(826)가 동시에 광학 조명 빔(818)을 샘플(810)로 지향시키고 샘플(810)로부터 발산되는 광을 수집할 수 있도록 배향된 빔 스플리터를 포함한다. 또한, 조명 경로(820)와 수집 경로(828)는 하나 이상의 추가 요소(예컨대, 대물 렌즈(826), 개구, 필터 등)를 공유할 수 있다.
도 9는 본 개시의 하나 이상의 실시예에 따른 오버레이를 측정하는 방법(900)의 단계를 묘사하는 프로세스 흐름도이다.
단계(902)에서, 하나 이상의 계측 타겟(100)을 갖는 샘플이 조명된다. 예를 들어, 하나 이상의 계측 서브시스템(712)은 조명 빔을 샘플(810) 상으로 지향할 수 있다. 본 명세서에서 사용되는 바와 같이, 용어 "조명 빔"은 입자 빔(804)과 광학 조명 빔(818)을 포함하나 이에 제한되지 않는 임의의 방사선 빔을 지칭할 수 있다.
단계(904)에서, 계측 타겟(100)의 제1 세트의 패턴 요소(102)로부터 발산되는 조명은 제1 계측 모드에서 검출된다. 예를 들어, 광학 조명 빔(818)은 광학 계측 도구로서 구성된 제2 계측 서브시스템(704)의 검출기(834)에 의해 검출될 수 있다.
단계(906)에서, 계측 타겟(100)의 제2 세트의 패턴 요소(104)로부터 발산되는 조명은 제2 계측 모드에서 검출된다. 예를 들어, 입자 빔(804)은 입자 기반 계측 도구로서 구성된 제1 계측 서브시스템(702)의 입자 검출기(814)에 의해 검출될 수 있다.
일부 실시예에서, 방법(900)은 계측 타겟(100)의 제3 세트의 패턴 요소(502)로부터 발산되는 조명이 제3 계측 모드에서 검출되는 단계(908)를 포함한다. 예를 들어, 하나 이상의 계측 서브시스템(712) 중 하나는 샘플로부터 회절된 방사선을 검출할 수 있다.
단계(910)에서, 계측 타겟(100)의 제1 세트의 패턴 요소(102)의 하나 이상의 오버레이 파라미터가 결정된다. 예를 들어, 하나 이상의 제어기(706)의 하나 이상의 프로세서(708)는 제1 계측 모드에 대응하는 알고리즘을 사용하여 제1 세트의 패턴 요소(102)로부터 발산되는 조명을 나타내는 하나 이상의 신호를 분석할 수 있다. 또 다른 예로서, 하나 이상의 프로세서(708)는 제1 세트의 패턴 요소(102)의 하나 이상의 오버레이 파라미터를 결정하기 위해 하나 이상의 알고리즘(예컨대, AIM, AIMid 알고리즘)을 적용할 수 있다.
단계(912)에서, 계측 타겟(100)의 제2 세트의 패턴 요소(104)의 하나 이상의 오버레이 파라미터가 결정된다. 예를 들어, 하나 이상의 제어기(706)의 하나 이상의 프로세서(708)는 제2 계측 모드에 대응하는 알고리즘을 사용하여 제2 세트의 패턴 요소(104)로부터 발산되는 조명을 나타내는 하나 이상의 신호를 분석할 수 있다. 또 다른 예로서, 하나 이상의 프로세서(708)는 제2 세트의 패턴 요소(104)의 하나 이상의 오버레이 파라미터를 결정하기 위해 하나 이상의 알고리즘(예컨대, SEM 특성화 알고리즘)을 적용할 수 있다.
일부 실시예에서, 방법(900)은 계측 타겟(100)의 제3 세트의 패턴 요소(502)의 하나 이상의 오버레이 파라미터가 결정되는 단계(914)를 포함할 수 있다. 예를 들어, 하나 이상의 프로세서(708)는 제3 계측 모드에 대응하는 알고리즘을 사용하여 제3 세트의 패턴 요소(502)로부터 발산되는 조명을 나타내는 하나 이상의 신호를 분석할 수 있다. 또 다른 예로서, 하나 이상의 프로세서(708)는 제3 세트의 패턴 요소(502)의 하나 이상의 오버레이 파라미터를 결정하기 위해 하나 이상의 알고리즘(예컨대, SCOL 기반 알고리즘)을 적용할 수 있다.
일부 실시예에서, 방법(900)은 단계(910, 912, 또는 914) 중 적어도 하나에서 결정된 하나 이상의 오버레이 파라미터에 기초하여 하나 이상의 오버레이 정정 가능 인자가 제공되는 단계(916)를 포함할 수 있다. 예를 들어, 단계(916)는 하나 이상의 프로세스 도구(예컨대, 리소그래피 도구)의 하나 이상의 파라미터(예컨대, 제조 설정, 구성 등)를 조정하기 위해 하나 이상의 제어 신호(또는 제어 신호에 대한 보정)를 생성하는 제어기(706)를 포함할 수 있다. 제어 신호(또는 제어 신호에 대한 보정)는 피드백 및/또는 피드포워드 제어 루프의 일부로서 제어기(706)에 의해 제공될 수 있다. 제어기(706)는 하나 이상의 프로세스 도구가 하나 이상의 제어 신호(또는 제어 신호에 대한 보정)에 기초하여 하나 이상의 프로세스 도구의 하나 이상의 파라미터에 대한 하나 이상의 조정을 실행하게 할 수 있다. 일부 실시예에서, 제어기(706)는 하나 이상의 조정을 수행하도록 사용자에게 경고할 수 있다. 이러한 의미에서, 하나 이상의 제어 신호는 하나 이상의 프로세스 도구의 하나 이상의 제조 프로세스의 오류를 보상할 수 있고, 따라서 하나 이상의 프로세스 도구가 동일하거나 상이한 로트 내의 후속 샘플에 대한 다수의 노출에 걸쳐 선택된 허용 오차 내에서 오버레이를 유지하게 할 수 있다.
도 10은 본 개시의 하나 이상의 실시예에 따른, 계측 타겟(100)을 형성하는 방법(1000)의 단계를 예시하는 프로세스 흐름도이다.
단계(1002)에서, 제1 계측 모드와 호환되는 제1 세트의 패턴 요소(102)가 형성된다. 예를 들어, 제1 세트의 패턴 요소(102) 중의 패턴 요소(106a-106h)는 예를 들어, 하나 이상의 퇴적 단계, 리소그래피 단계 또는 에칭 단계와 같은 하나 이상의 프로세스 단계를 통해 제조될 수 있지만, 이에 제한되지는 않으며, 여기서 제1 세트의 패턴 요소(102) 중의 패턴 요소(106a-106h)는 계측 타겟(100)의 상이한 층 상에 형성될 수 있다. 패턴 요소(106a-106h)는 하나 이상의 프로세스 도구(예컨대, 리소그래피 도구)를 사용하여 형성될 수 있다.
단계(1004)에서, 제2 계측 모드와 호환되는 제2 세트의 패턴 요소(104)가 형성된다. 예를 들어, 제2 세트의 패턴 요소(104)는 예를 들어, 하나 이상의 퇴적 단계, 리소그래피 단계 또는 에칭 단계와 같은 하나 이상의 프로세스 단계를 통해 제조될 수 있지만, 이에 제한되지는 않으며, 여기서 제2 세트의 패턴 요소(104) 중의 패턴 요소(106a-106h)는 계측 타겟(100)의 상이한 층 상에 형성될 수 있다. 단계(1004)는 제1 세트의 패턴 요소(102)의 형성에 이어 순차적으로 발생하는 제2 세트의 패턴 요소(104)의 형성으로 제한되지 않으며, 제2 세트의 패턴 요소(104)와 제1 세트의 패턴 요소(102)는 동시에 형성될 수 있음에 유의한다.
일부 실시예에서, 방법(1000)은 제3 측정 모드와 호환되는 제3 세트의 패턴 요소(502)가 형성되는 단계(1006)를 포함할 수 있다. 예를 들어, 제3 세트의 패턴 요소(502)는 예를 들어, 하나 이상의 퇴적 단계, 리소그래피 단계 또는 에칭 단계와 같은 하나 이상의 프로세스 단계를 통해 제조될 수 있지만, 이에 제한되지는 않으며, 여기서 제3 세트의 패턴 요소(502)는 계측 타겟(100)의 상이한 층 상에 형성될 수 있다. 단계(1006)는 제2 세트의 패턴 요소(102)의 형성에 이어 순차적으로 발생하는 제3 세트의 패턴 요소(104)의 형성으로 제한되지 않으며, 제1 세트의 패턴 요소(102), 제2 세트의 패턴 요소(104), 및 제3 세트의 패턴 요소(502)는 동시에 형성될 수 있음에 유의한다.
본 개시에 설명된 요지는 때로는 다른 컴포넌트 내에 포함되거나 다른 컴포넌트와 접속되는 상이한 컴포넌트들을 예시한다. 그러한 묘사된 아키텍처는 단지 예시적인 것이며 실제로 동일한 기능성을 달성하는 많은 다른 아키텍처가 구현될 수 있다는 것을 이해해야 한다. 개념적 의미에서, 동일한 기능성을 달성하기 위한 컴포넌트들의 임의의 배열은 원하는 기능성이 달성되도록 효과적으로 "연관된다". 따라서, 여기서 특정 기능성을 달성하기 위해 조합된 임의의 2개의 컴포넌트는 아키텍처 또는 중간 매개 컴포넌트와 관계없이 원하는 기능성이 달성되도록 "서로 연관된" 것으로 볼 수 있다. 유사하게, 이와 같이 연관된 임의의 2개의 컴포넌트는 또한 원하는 기능성을 달성하기 위해 서로 "접속된" 또는 "결합된" 것으로 간주될 수 있고, 그렇게 연관될 수 있는 임의의 2개의 컴포넌트는 또한 원하는 기능성을 달성하기 위해 서로 "결합 가능한" 것으로 간주될 수 있다. 결합 가능의 특정 예는, 물리적으로 상호 작용 가능하고 그리고/또는 물리적으로 상호 작용하는 컴포넌트, 및/또는 무선으로 상호 작용 가능하고 그리고/또는 무선으로 상호 작용하는 컴포넌트, 및/또는 논리적으로 상호 작용 가능하고 그리고/또는 논리적으로 상호 작용하는 컴포넌트를 포함하지만 이에 제한되지는 않는다.
본 개시 및 그 많은 부수적인 장점들은 전술한 설명에 의해 이해될 것으로 믿어지고, 개시되는 요지로부터 벗어나지 않고 또는 그 중요한 장점들을 모두 희생하지 않고 컴포넌트의 형태, 구성 및 배열에 있어서 각종 변화가 이루어질 수 있다는 점은 명백할 것이다. 여기에서 설명된 형태는 단지 설명하기 위한 것이고, 첨부되는 청구항들은 그러한 변화들을 내포하고 포함하는 것으로 의도된다. 또한, 본 발명은 첨부된 청구항들에 의해 한정되는 것으로 이해되어야 한다.

Claims (49)

  1. 계측 타겟에 있어서,
    제1 세트의 패턴 요소 - 상기 제1 세트의 패턴 요소는 하나 이상의 방향을 따라 제1 계측 모드와 호환됨 -; 및
    제2 세트의 패턴 요소 - 상기 제2 세트의 패턴 요소는 하나 이상의 방향을 따라 제2 계측 모드와 호환되고, 상기 제2 세트의 패턴 요소는 상기 제1 세트의 패턴 요소의 제1 부분을 포함하며, 상기 제2 세트의 패턴 요소는 상기 제2 세트의 패턴 요소에 포함되지 않은, 상기 제1 세트의 패턴 요소의 제2 부분에 의해 둘러싸여 있음 -
    를 포함하는, 계측 타겟.
  2. 제1항에 있어서,
    상기 제1 세트의 패턴 요소 중 적어도 일부는 분할(segment)되는 것인, 계측 타겟.
  3. 제1항에 있어서,
    상기 제2 세트의 패턴 요소 중 적어도 일부는 분할되는 것인, 계측 타겟.
  4. 제1항에 있어서,
    상기 제2 세트의 패턴 요소 중 적어도 일부는 분할된 부분의 2개 이상의 세트로 분할되는 것인, 계측 타겟.
  5. 제1항에 있어서,
    상기 제1 계측 모드는 광학 계측 모드를 포함하는 것인, 계측 타겟.
  6. 제1항에 있어서,
    상기 제1 계측 모드는 고급 이미징 계측(advanced imaging metrology; AIM) 또는 삼중 고급 이미징 계측(triple advanced imaging metrology; Triple AIM) 중 적어도 하나를 포함하는 것인, 계측 타겟.
  7. 제1항에 있어서,
    상기 제2 계측 모드는 광학 계측 모드 또는 입자 빔 기반 계측 모드 중 적어도 하나를 포함하는 것인, 계측 타겟.
  8. 제7항에 있어서,
    상기 제2 계측 모드는 고급 이미징 계측 인-다이(advanced imaging metrology in-die; AIMid) 계측을 포함하는 것인, 계측 타겟.
  9. 제7항에 있어서,
    상기 제2 계측 모드는 전자 빔 계측을 포함하는 것인, 계측 타겟.
  10. 제1항에 있어서,
    하나 이상의 방향을 따라 제3 계측 모드와 호환되는 제3 세트의 패턴 요소를 더 포함하고, 상기 제3 세트의 패턴 요소는 상기 제1 세트의 패턴 요소의 적어도 일부를 둘러싸는 것인, 계측 타겟.
  11. 제10항에 있어서,
    상기 제3 계측 모드는 산란계측 기반 오버레이(scatterometry-based overlay; SCOL) 계측을 포함하는 것인, 계측 타겟.
  12. 시스템에 있어서,
    하나 이상의 계측 서브시스템 - 상기 하나 이상의 계측 서브시스템은 샘플의 하나 이상의 계측 타겟으로부터 하나 이상의 계측 신호를 획득하도록 구성되고, 상기 하나 이상의 계측 서브시스템은,
    조명 소스;
    상기 조명 소스로부터 상기 샘플 상으로 조명 빔을 지향시키도록 구성된 하나 이상의 조명 요소;
    하나 이상의 검출기; 및
    상기 샘플로부터 발산되는 조명을 수집하고 상기 조명을 상기 하나 이상의 검출기로 지향시키도록 구성된 하나 이상의 투영 요소를 포함함 -; 및
    상기 하나 이상의 검출기에 통신 가능하게 결합된 하나 이상의 프로세서를 갖는 하나 이상의 제어기
    를 포함하고, 상기 하나 이상의 프로세서는 메모리에 유지되는 프로그램 명령어의 세트를 실행하도록 구성되며, 상기 프로그램 명령어의 세트는 상기 하나 이상의 프로세서로 하여금,
    제1 계측 모드에서 동작하는 상기 하나 이상의 계측 서브시스템으로부터, 상기 샘플의 하나 이상의 계측 타겟의 제1 세트의 패턴 요소로부터 발산되는 상기 조명을 나타내는 하나 이상의 신호를 수신하고;
    제2 계측 모드에서 동작하는 상기 하나 이상의 계측 서브시스템으로부터, 상기 하나 이상의 계측 타겟의 제2 세트의 패턴 요소로부터 발산되는 조명을 나타내는 하나 이상의 신호를 수신하고 - 상기 샘플의 상기 하나 이상의 계측 타겟은 상기 제1 세트의 패턴 요소와 상기 제2 세트의 패턴 요소를 포함하고, 상기 제1 세트의 패턴 요소는 하나 이상의 방향을 따라 상기 제1 계측 모드와 호환되고, 상기 제2 세트의 패턴 요소는 하나 이상의 방향을 따라 상기 제2 계측 모드와 호환되고, 상기 제2 세트의 패턴 요소는 상기 제1 세트의 패턴 요소의 제1 부분을 포함하고, 상기 제2 세트의 패턴 요소는 상기 제2 세트의 패턴 요소에 포함되지 않은 상기 제1 세트의 패턴 요소의 제2 부분에 의해 둘러싸여 있음 -;
    상기 제1 계측 모드에서 상기 제1 세트의 패턴 요소로부터 획득된 하나 이상의 신호에 기초하여 상기 제1 세트의 패턴 요소의 하나 이상의 오버레이 파라미터를 결정하며;
    상기 제2 계측 모드에서 상기 제2 세트의 패턴 요소로부터 획득된 하나 이상의 신호에 기초하여 상기 제2 세트의 패턴 요소의 하나 이상의 오버레이 파라미터를 결정하게
    하도록 구성되는 것인, 시스템.
  13. 제12항에 있어서,
    상기 제1 세트의 패턴 요소 중 적어도 일부는 분할되는 것인, 시스템.
  14. 제12항에 있어서,
    상기 제2 세트의 패턴 요소 중 적어도 일부는 분할되는 것인, 시스템.
  15. 제12항에 있어서,
    상기 제2 세트의 패턴 요소의 적어도 일부는 분할된 부분의 2개 이상의 세트로 분할되는 것인, 시스템.
  16. 제12항에 있어서, 상기 하나 이상의 계측 서브시스템은,
    광학 계측 도구를 포함하는 것인, 시스템.
  17. 제12항에 있어서, 상기 하나 이상의 계측 서브시스템은,
    광학 계측 도구; 및
    입자 기반 계측 도구
    를 포함하는 것인, 시스템.
  18. 제12항에 있어서, 상기 하나 이상의 계측 서브시스템은,
    광학 계측 도구;
    입자 기반 계측 도구; 및
    산란계측 기반 오버레이(scatterometry-based overlay; SCOL) 계측 도구
    를 포함하는 것인, 시스템.
  19. 제16항에 있어서,
    상기 제1 계측 모드는 광학 계측 모드를 포함하는 것인, 시스템.
  20. 제16항에 있어서,
    상기 제1 계측 모드는 고급 이미징 계측(advanced imaging metrology; AIM) 또는 삼중 고급 이미징 계측(triple advanced imaging metrology; Triple AIM) 중 적어도 하나를 포함하는 것인, 시스템.
  21. 제17항에 있어서,
    상기 제2 계측 모드는 광학 계측 모드 또는 입자 빔 기반 계측 모드 중 적어도 하나를 포함하는 것인, 시스템.
  22. 제17항에 있어서,
    상기 제2 계측 모드는 고급 이미징 계측 인-다이(advanced imaging metrology in-die; AIMid) 계측을 포함하는 것인, 시스템.
  23. 제17항에 있어서,
    상기 제2 계측 모드는 전자 빔 계측을 포함하는 것인, 시스템.
  24. 제12항에 있어서,
    상기 하나 이상의 계측 타겟은 하나 이상의 방향을 따라 제3 계측 모드와 호환되는 제3 세트의 패턴 요소를 더 포함하고, 상기 제3 세트의 패턴 요소는 상기 제1 세트의 패턴 요소의 적어도 일부를 둘러싸는 것인, 시스템.
  25. 제24항에 있어서,
    상기 제3 계측 모드는 산란계측 기반 오버레이(scatterometry-based overlay; SCOL) 계측을 포함하는 것인, 시스템.
  26. 제25항에 있어서,
    상기 하나 이상의 제어기는 상기 하나 이상의 계측 서브시스템으로부터의 하나 이상의 신호에 기초하여 상기 제3 계측 모드를 사용하여 상기 제3 세트의 패턴 요소의 하나 이상의 오버레이 파라미터를 결정하도록 구성되는 것인, 시스템.
  27. 제12항에 있어서,
    상기 하나 이상의 프로세서는 프로그램 명령어를 실행하여 상기 하나 이상의 프로세서로 하여금 상기 하나 이상의 오버레이 파라미터에 기초하여 하나 이상의 오버레이 정정 가능 인자(overlay correctables)를 제공하게 하게 하도록 구성되는 것인, 시스템.
  28. 오버레이를 측정하는 방법에 있어서,
    하나 이상의 계측 타겟을 갖는 샘플을 조명하는 단계;
    제1 계측 모드에서, 상기 샘플의 상기 하나 이상의 계측 타겟의 제1 세트의 패턴 요소로부터 발산되는 조명을 검출하는 단계;
    제2 계측 모드에서, 상기 샘플의 상기 하나 이상의 계측 타겟의 제2 세트의 패턴 요소로부터 발산되는 조명을 검출하는 단계;
    상기 제1 세트의 패턴 요소로부터 발산되는 상기 조명에 기초하여 상기 제1 세트의 패턴 요소의 하나 이상의 오버레이 파라미터를 결정하는 단계; 및
    상기 제2 세트의 패턴 요소로부터 발산되는 상기 조명에 기초하여 상기 제2 세트의 패턴 요소의 하나 이상의 오버레이 파라미터를 결정하는 단계
    를 포함하는 것인, 오버레이를 측정하는 방법.
  29. 제28항에 있어서,
    상기 제1 세트의 패턴 요소 중 적어도 일부는 분할되는 것인, 오버레이를 측정하는 방법.
  30. 제28항에 있어서,
    상기 제2 세트의 패턴 요소 중 적어도 일부는 분할되는 것인, 오버레이를 측정하는 방법.
  31. 제28항에 있어서,
    상기 제2 패턴 요소의 적어도 일부는 분할된 부분의 2개 이상의 세트로 분할되는 것인, 오버레이를 측정하는 방법.
  32. 제28항에 있어서,
    상기 제1 계측 모드는 광학 계측 모드를 포함하는 것인, 오버레이를 측정하는 방법.
  33. 제28항에 있어서,
    상기 제1 계측 모드는 고급 이미징 계측(advanced imaging metrology; AIM) 또는 삼중 고급 이미징 계측(triple advanced imaging metrology; Triple AIM) 중 적어도 하나를 포함하는 것인, 오버레이를 측정하는 방법.
  34. 제28항에 있어서,
    상기 제2 계측 모드는 광학 계측 모드 또는 입자 빔 기반 계측 모드 중 적어도 하나를 포함하는 것인, 오버레이를 측정하는 방법.
  35. 제28항에 있어서,
    상기 제2 계측 모드는 고급 이미징 계측 인-다이(advanced imaging metrology in-die; AIMid) 계측을 포함하는 것인, 오버레이를 측정하는 방법.
  36. 제28항에 있어서,
    상기 제2 계측 모드는 전자 빔 계측을 포함하는 것인, 오버레이를 측정하는 방법.
  37. 제28항에 있어서,
    제3 계측 모드에서, 상기 샘플의 상기 하나 이상의 계측 타겟의 제3 세트의 패턴 요소로부터 발산되는 조명을 검출하는 단계, 및 상기 제3 세트의 패턴 요소로부터 발산되는 상기 조명에 기초하여 상기 샘플의 상기 하나 이상의 계측 타겟의 상기 제3 세트의 패턴 요소의 하나 이상의 오버레이 파라미터를 결정하는 단계를 더 포함하는, 오버레이를 측정하는 방법.
  38. 제37항에 있어서,
    상기 제3 계측 모드는 산란계측 기반 오버레이(scatterometry-based overlay; SCOL) 계측을 포함하는 것인, 오버레이를 측정하는 방법.
  39. 계측 타겟을 형성하는 방법에 있어서,
    제1 세트의 패턴 요소를 형성하는 단계 - 상기 제1 세트의 패턴 요소는 하나 이상의 방향을 따라 제1 계측 모드와 호환됨 -; 및
    제2 세트의 패턴 요소를 형성하는 단계 - 상기 제2 세트의 패턴 요소는 하나 이상의 방향을 따라 제2 계측 모드와 호환되고, 상기 제2 세트의 패턴 요소는 상기 제1 세트의 패턴 요소의 제1 부분을 포함하며, 상기 제2 세트의 패턴 요소는 상기 제2 세트의 패턴 요소에 포함되지 않은 상기 제1 세트의 패턴 요소의 제2 부분에 의해 둘러싸여 있음 -
    를 포함하는, 계측 타겟을 형성하는 방법.
  40. 제39항에 있어서,
    상기 제1 세트의 패턴 요소 중 적어도 일부는 분할되는 것인, 계측 타겟을 형성하는 방법.
  41. 제39항에 있어서,
    상기 제2 패턴 요소 중 적어도 일부는 분할되는 것인, 계측 타겟을 형성하는 방법.
  42. 제39항에 있어서,
    상기 제2 패턴 요소의 적어도 일부는 분할된 부분의 2개 이상의 세트로 분할되는 것인, 계측 타겟을 형성하는 방법.
  43. 제39항에 있어서,
    상기 제1 계측 모드는 광학 측정 모드를 포함하는 것인, 계측 타겟을 형성하는 방법.
  44. 제39항에 있어서,
    상기 제1 계측 모드는 고급 이미징 계측(advanced imaging metrology; AIM) 또는 삼중 고급 이미징 계측(triple advanced imaging metrology; Triple AIM) 중 적어도 하나를 포함하는 것인, 계측 타겟을 형성하는 방법.
  45. 제39항에 있어서,
    상기 제2 계측 모드는 광학 계측 모드 또는 입자 빔 기반 계측 모드 중 적어도 하나를 포함하는 것인, 계측 타겟을 형성하는 방법.
  46. 제45항에 있어서,
    상기 제2 계측 모드는 고급 이미징 계측 인-다이(advanced imaging metrology in-die; AIMid) 계측을 포함하는 것인, 계측 타겟을 형성하는 방법.
  47. 제45항에 있어서,
    상기 제2 계측 모드는 전자 빔 계측을 포함하는 것인, 계측 타겟을 형성하는 방법.
  48. 제39항에 있어서,
    하나 이상의 방향을 따라 제3 계측 모드와 호환되는 제3 세트의 패턴 요소를 형성하는 단계를 더 포함하고, 상기 제3 세트의 패턴 요소는 상기 제1 세트의 패턴 요소의 적어도 일부를 둘러싸는 것인, 계측 타겟을 형성하는 방법.
  49. 제48항에 있어서,
    상기 제3 계측 모드는 산란계측 기반 오버레이(scatterometry-based overlay; SCOL) 계측을 포함하는 것인, 계측 타겟을 형성하는 방법.
KR1020227029537A 2020-01-30 2021-01-26 복합 오버레이 계측 타겟 KR20220129635A (ko)

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
US202062967951P 2020-01-30 2020-01-30
US62/967,951 2020-01-30
US202063032217P 2020-05-29 2020-05-29
US63/032,217 2020-05-29
US16/996,254 2020-08-18
US16/996,254 US11809090B2 (en) 2020-01-30 2020-08-18 Composite overlay metrology target
PCT/US2021/015144 WO2021154762A1 (en) 2020-01-30 2021-01-26 Composite overlay metrology target

Publications (1)

Publication Number Publication Date
KR20220129635A true KR20220129635A (ko) 2022-09-23

Family

ID=77061885

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020227029537A KR20220129635A (ko) 2020-01-30 2021-01-26 복합 오버레이 계측 타겟

Country Status (7)

Country Link
US (1) US11809090B2 (ko)
EP (1) EP4078159A4 (ko)
JP (1) JP7446447B2 (ko)
KR (1) KR20220129635A (ko)
CN (1) CN114930161A (ko)
TW (1) TW202133292A (ko)
WO (1) WO2021154762A1 (ko)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11353799B1 (en) * 2019-07-23 2022-06-07 Kla Corporation System and method for error reduction for metrology measurements
US11899375B2 (en) * 2020-11-20 2024-02-13 Kla Corporation Massive overlay metrology sampling with multiple measurement columns
US11460783B2 (en) * 2021-01-07 2022-10-04 Kla Corporation System and method for focus control in extreme ultraviolet lithography systems using a focus-sensitive metrology target
US11703767B2 (en) 2021-06-28 2023-07-18 Kla Corporation Overlay mark design for electron beam overlay
US11862524B2 (en) 2021-06-28 2024-01-02 Kla Corporation Overlay mark design for electron beam overlay
US11720031B2 (en) 2021-06-28 2023-08-08 Kla Corporation Overlay design for electron beam and scatterometry overlay measurements

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100282340B1 (ko) 1994-02-17 2001-04-02 김영환 정렬도 검사 타겟포착용 패턴삽입방법
JP5180419B2 (ja) 2000-08-30 2013-04-10 ケーエルエー−テンカー・コーポレーション 重ね合わせマーク、重ね合わせマークの設計方法および重ね合わせ測定の方法
US7068833B1 (en) 2000-08-30 2006-06-27 Kla-Tencor Corporation Overlay marks, methods of overlay mark design and methods of overlay measurements
US7541201B2 (en) 2000-08-30 2009-06-02 Kla-Tencor Technologies Corporation Apparatus and methods for determining overlay of structures having rotational or mirror symmetry
US20030002043A1 (en) 2001-04-10 2003-01-02 Kla-Tencor Corporation Periodic patterns and technique to control misalignment
US7804994B2 (en) 2002-02-15 2010-09-28 Kla-Tencor Technologies Corporation Overlay metrology and control method
KR100472411B1 (ko) * 2002-08-09 2005-03-10 삼성전자주식회사 반도체 장치의 제조방법 및 오버레이 검사마크를 가진반도체 장치
JP2006245030A (ja) 2005-02-28 2006-09-14 Nikon Corp 計測方法及び計測用パターンを備えた物体
US20070115452A1 (en) 2005-11-23 2007-05-24 Asml Netherlands B.V. Method of measuring the magnification of a projection system, device manufacturing method and computer program product
US7889314B2 (en) 2006-03-23 2011-02-15 Asml Netherlands B.V. Calibration methods, lithographic apparatus and patterning device for such lithographic apparatus
US8181327B2 (en) 2008-02-08 2012-05-22 Zephyros, Inc Mechanical method for improving bond joint strength
KR101076776B1 (ko) 2009-06-30 2011-10-26 주식회사 하이닉스반도체 오버레이 버니어 및 이를 이용한 오버레이 측정 방법
CN103582819B (zh) 2011-04-06 2016-09-14 科磊股份有限公司 用于提供经改进过程控制的质量度量的方法及系统
US9007585B2 (en) * 2012-03-07 2015-04-14 Kla-Tencor Corporation Imaging overlay metrology target and complimentary overlay metrology measurement system
US9093458B2 (en) 2012-09-06 2015-07-28 Kla-Tencor Corporation Device correlated metrology (DCM) for OVL with embedded SEM structure overlay targets
US9214317B2 (en) 2013-06-04 2015-12-15 Kla-Tencor Corporation System and method of SEM overlay metrology
TWI648515B (zh) 2013-11-15 2019-01-21 美商克萊譚克公司 計量目標及其計量量測、目標設計檔案、計量方法及以電腦為基礎之設備
WO2015196168A1 (en) 2014-06-21 2015-12-23 Kla-Tencor Corporation Compound imaging metrology targets
NL2017739A (en) 2015-11-27 2017-06-07 Asml Netherlands Bv Metrology target, method and apparatus, computer program and lithographic system
WO2018004511A1 (en) 2016-06-27 2018-01-04 Kla-Tencor Corporation Apparatus and method for the measurement of pattern placement and size of pattern and computer program therefor
KR20180024961A (ko) * 2016-08-31 2018-03-08 에스케이하이닉스 주식회사 노광 마스크의 디스토션 제어방법
US11112369B2 (en) 2017-06-19 2021-09-07 Kla-Tencor Corporation Hybrid overlay target design for imaging-based overlay and scatterometry-based overlay
EP3451060A1 (en) * 2017-08-28 2019-03-06 ASML Netherlands B.V. Substrate, metrology apparatus and associated methods for a lithographic process
US10565697B2 (en) * 2017-10-22 2020-02-18 Kla-Tencor Corporation Utilizing overlay misregistration error estimations in imaging overlay metrology

Also Published As

Publication number Publication date
EP4078159A4 (en) 2024-01-24
EP4078159A1 (en) 2022-10-26
JP7446447B2 (ja) 2024-03-08
WO2021154762A1 (en) 2021-08-05
CN114930161A (zh) 2022-08-19
JP2023513040A (ja) 2023-03-30
TW202133292A (zh) 2021-09-01
US20210240089A1 (en) 2021-08-05
US11809090B2 (en) 2023-11-07

Similar Documents

Publication Publication Date Title
EP3762780B1 (en) Metrology and control of overlay and edge placement errors
CN111433676B (zh) 用于装置相关叠加计量的系统及方法
US11809090B2 (en) Composite overlay metrology target
US20240035812A1 (en) Metrology target for one-dimensional measurement of periodic misregistration
US11209737B1 (en) Performance optimized scanning sequence for eBeam metrology and inspection
US20240094639A1 (en) High-resolution evaluation of optical metrology targets for process control
KR20230116841A (ko) 온 더 플라이 산란계측 오버레이 계측 타겟

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal