JP5180419B2 - 重ね合わせマーク、重ね合わせマークの設計方法および重ね合わせ測定の方法 - Google Patents

重ね合わせマーク、重ね合わせマークの設計方法および重ね合わせ測定の方法 Download PDF

Info

Publication number
JP5180419B2
JP5180419B2 JP2002524212A JP2002524212A JP5180419B2 JP 5180419 B2 JP5180419 B2 JP 5180419B2 JP 2002524212 A JP2002524212 A JP 2002524212A JP 2002524212 A JP2002524212 A JP 2002524212A JP 5180419 B2 JP5180419 B2 JP 5180419B2
Authority
JP
Japan
Prior art keywords
mark
overlay
working
overlay mark
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
JP2002524212A
Other languages
English (en)
Other versions
JP2004508711A (ja
Inventor
アデル・マイケル
ギノブカー・マーク
ミーハー・ウォルター・ディーン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
KLA Corp
Original Assignee
KLA Tencor Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US09/894,987 external-priority patent/US7068833B1/en
Application filed by KLA Tencor Corp filed Critical KLA Tencor Corp
Publication of JP2004508711A publication Critical patent/JP2004508711A/ja
Application granted granted Critical
Publication of JP5180419B2 publication Critical patent/JP5180419B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching

Description

【0001】
【発明の分野】
本発明は一般的には半導体製造プロセスで用いられる重ね合わせ測定技術に関している。より具体的には本発明は、半導体ウェーハスタックの異なるレイヤまたは同じレイヤ上の異なるパターン間のアラインメント誤差を測定するための重ね合わせマークに関している。
【0002】
【発明の背景】
ウェーハ上のパターン形成された連続するレイヤの重ね合わせ誤差の測定は、集積回路およびデバイスの製造で用いられる最も重要な制御技術のうちの一つである。重ね合わせ精度は一般には、第1パターンレイヤがその上または下に位置する第2パターンレイヤにどのくらい正確にアラインするかの決定か、または第1パターンレイヤがその同じレイヤ上に位置する第2パターンレイヤにどのくらい正確にアラインするかの決定かに関する。現在、重ね合わせ測定は、ウェーハのレイヤとともに印刷されるテストパターンを介して行われる。これらのテストパターンの画像は画像化ツールを介してキャプチャされ、解析アルゴリズムを用いて、キャプチャされた画像からのそのパターンの相対的な変位計算する。
【0003】
もっともよく使われる重ね合わせターゲットパターンは、「ボックスインボックス」ターゲットであり、これはウェーハの連続するレイヤ上に形成された同心正方形(または長方形)のペアを含む。重ね合わせ誤差は一般に、ある正方形の他の正方形に対する位置を比較することによって決定される。
【0004】
議論を進め図1Aは、典型的な「ボックスインボックス」ターゲット10を上から見た図である。示されるようにターゲット10は、中が開いた外側ボックス14内に配置された内側ボックス12を含む。内側ボックス12はウェーハの最上部レイヤ上に印刷され、外側ボックス14はウェーハの最上部レイヤの直下のレイヤ上に印刷されている。一般によく知られるように2つのボックスの重ね合わせ誤差は、例えばx軸に沿えば、外側ボックス14の直線c1およびc2のエッジの位置と、内側ボックス12の直線c3およびc4のエッジ位置とを計算し、直線c1およびc3間の平均距離を、直線c2およびc4間の平均距離と比較することによって決定される。直線c1およびc3間、および直線c2およびc4間の平均距離の差の半分が重ね合わせ誤差(x軸に沿った)である。よって、もし直線c1およびc3間の平均距離が直線c2およびc4間の平均距離と等しいなら、対応する重ね合わせ誤差はゼロになる。説明されないが、y軸に沿った2つのボックスの重ね合わせ誤差も上記手法で決定されえる。
【0005】
「バー内のボックス」および「バー内のバー」の導入もなされた。これらは「ボックスインボックス」と概して同じ形をしている。「バー内のボックス」ターゲットにおいては、「ボックスインボックス」の外側ボックスが複数の平行なバーに分離されている(図1Bを参照)。「バー内のバー」重ね合わせマークにおいては、「ボックスインボックス」ターゲットの外側および内側ボックスの両方が複数の平行なバーに分離されている。改変されたこのタイプのターゲットのさらなる例は、Chenらによる米国特許第6,118,185号に教示されている。
【0006】
近年、デバイスそのもののデザインルールに匹敵するパターンを作り出す分離されたバーの導入がなされた。例えば、Ausschnittらによる米国特許第6,130,750号においては分離されたバーを持つ「ボックスインボックス」タイプのターゲットが開示されている。
【0007】
このようなデザインはうまくいくものの、改善された機能を持つターゲットを提供するための努力が継続されている。例えば、テストパターン上で測定された重ね合わせ誤差と、回路要素の実際の重ね合わせ誤差との間の相関関係を改善できるターゲットを提供することが望ましい。
【0008】
【発明の概要】
本発明は、ある実施形態においては、基板の2つ以上の連続するレイヤ間の相対的ズレを決定する重ね合わせマークに関する。重ね合わせマークは、第1方向における基板の第1および第2レイヤ間の相対的ズレを決定する少なくとも一つのテストパターンを含む。テストパターンはワーキング・ゾーンの第1セットと、ワーキング・ゾーンの第2セットとを含む。ワーキング・ゾーンの第1セットは基板の第1レイヤ上に配置され、対角線上に対向し、空間的に互いにオフセットされた少なくとも2つのワーキング・ゾーンを持つ。ワーキング・ゾーンの第2セットは基板の第2レイヤ上に配置され、対角線上に対向し、空間的に互いにオフセットされた少なくとも2つのワーキング・ゾーンを持つ。ワーキング・ゾーンの第1セットは、ワーキング・ゾーンの第2セットに対して一般に角度をつけられ、よって「X字」形状のテストパターンを形成する。
【0009】
本発明は、他の実施形態においては、重ね合わせマークの画像をキャプチャするように構成された画像装置を用いて基板の2つ以上の連続するレイヤ間の相対的ズレを決定する重ね合わせマークに関する。重ね合わせマークは、基板の第1レイヤ上に配置されたワーキング・ゾーンの第1セットを含む。ワーキング・ゾーンの第1セットは、対角線上に対向し、マークの周縁内に位置する少なくとも2つのワーキング・ゾーンを含む。ワーキング・ゾーンのそれぞれは、その中に位置する粗くセグメント化された要素の周期的構造を含む。粗くセグメント化された要素は第1方向に概ね向いている。重ね合わせマークはさらに、第1ワーキング・グループに対して斜めに位置するワーキング・ゾーンの第2セットを含む。第2ワーキング・グループは基板の第2レイヤ上に配置され、互いに対角線上に対向し、マークの周縁内に位置する少なくとも2つのワーキング・ゾーンを含む。ワーキング・ゾーンのそれぞれは、その中に位置する粗くセグメント化された要素の周期的構造を含む。粗くセグメント化された要素は第1方向に概ね向いている。
【0010】
本発明は、他の実施形態においては、基板の単一レイヤ上の2つ以上の別々に生成されたパターン間の相対的ズレを決定する重ね合わせマークに関する。重ね合わせターゲットは、基板の第1レイヤ上に位置するテスト領域を含む。第1レイヤは典型的には、第1プロセスを用いて第1パターンによって、第2プロセスを用いて第2パターンによって形成される。重ね合わせターゲットはさらに、テスト領域に位置する複数のワーキング・ゾーンを含む。ワーキング・ゾーンは、第1および第2パターン間の相対的なズレを決定するのに用いられるテスト領域の実際のエリアを表す。ワーキング・ゾーンの第1部分は第1プロセスを用いて形成され、ワーキング・ゾーンの第2部分は第2プロセスを用いて形成される。重ね合わせターゲットはさらに、ワーキング・ゾーンのそれぞれの中に位置する周期的構造を含む。周期的構造のそれぞれは、複数の粗くセグメント化された要素を含む。粗くセグメント化された要素のそれぞれは複数の細かくセグメント化された要素によって形成される。
【0011】
本発明は、他の実施形態においては、基板の2つ以上の連続するレイヤ間、または基板の単一レイヤ上の2つ以上の別々に生成されたパターン間の相対的ズレを決定する方法に関する。この方法は、基板上に形成された重ね合わせマークの画像をキャプチャすることを含む。重ね合わせマークは、複数のワーキング・ゾーンを持つ。ワーキング・ゾーンのそれぞれは粗くセグメント化された要素の周期的構造を含む。この方法はさらに、キャプチャされた画像から複数のワーキング・ゾーンを選択することを含み、ここでそれぞれのレイヤまたはパターンから少なくとも一つのワーキング・ゾーンが選択される。この方法はさらに、選択されたワーキング・ゾーンのそれぞれについて情報を持った信号を形成することを含み、ここでそれぞれのレイヤまたはパターンについて少なくとも一つの信号が形成される。この方法はまた第1レイヤまたはパターンからの前記信号を第2レイヤまたはパターンからの信号と比較することによって異なるレイヤまたはパターン間の前記相対的なズレを決定することを含む。
【0012】
本発明は、ある実施形態においては、基板の2つ以上の連続するレイヤ間、または基板の単一レイヤ上に別々に生成された2つ以上のパターン間の相対的ズレを決定する重ね合わせマークに関する。重ね合わせマークは複数のワーキング・ゾーンを含み、これらは基板の第1および第2レイヤ間、または基板の単一レイヤ上の第1および第2パターン間のアライメントを計算するために用いられる。ワーキング・ゾーンのそれぞれはマークの周縁内に配置される。ワーキング・ゾーンのそれぞれはマークの異なる領域を表す。ワーキング・ゾーンはマークの周縁を実質的に埋めることによって、ワーキング・ゾーンの組み合わされた領域が実質的にマークの合計領域に等しいように構成される。
【0013】
本発明は、ある実施形態においては、基板の2つ以上の連続するレイヤ間、または基板の単一レイヤ上に別々に生成された2つ以上のパターン間の相対的ズレを決定するのに用いられる重ね合わせマークを設計する方法に関する。この方法は、第1スケールにしたがってマークの第1要素の幾何学的配置を最適化することを含む。この方法はさらに、第2スケールにしたがってマークの第2要素の幾何学的配置を最適化することを含む。この方法はさらに第3スケールにしたがってマークの第3要素の幾何学的配置を最適化することを含む。
【0014】
本発明は、他の実施形態においては、重ね合わせマークを設計する方法に関する。重ね合わせマークは計測ツールの視野に対応する周縁を持つ。この方法は、重ね合わせマークの周縁を複数のワーキング・ゾーンで埋めることを含む。ワーキング・ゾーンの幾何学的配置は第1デザインスケールに基づく。この方法はさらに、ワーキング・ゾーンのそれぞれを、粗くセグメント化された線の少なくとも一つの周期的構造で埋めることを含む。粗くセグメント化された線の幾何学的配置は第1スケールよりも小さい第2スケールに基づく。この方法はさらに、粗くセグメント化された線を複数の細かくセグメント化された要素に分割することを含む。細かくセグメント化された要素の幾何学的配置は第2スケールよりも小さい第3スケールに基づく。
【0015】
本発明は、他の実施形態においては、重ね合わせマークを設計する方法に関する。この方法は、重ね合わせマークの周縁を規定することを含む。この方法はさらに、重ね合わせマークを複数のワーキング・ゾーンに分割することを含む。ワーキング・ゾーンは、第1プロセスに関連する少なくとも第1ワーキング・ゾーンと、第2プロセスに関連する少なくとも第2ワーキング・ゾーンとを含む。第2プロセスは第1プロセスとは異なる特性を有する。この方法はさらにワーキング・ゾーンの幾何学的配置を調節することを含む。ワーキング・ゾーンの幾何学的配置は少なくとも一部は第1スケールに基づく。この方法はさらにワーキング・ゾーンのそれぞれの中の周期的構造を位置づけることを含む。周期的構造は複数の粗くセグメント化された線を有する。この方法はさらに周期的構造の幾何学的配置を調整することを含む。周期的構造の幾何学的配置は少なくとも一部は第2スケールに基づく。第2スケールは第1スケールとは違う特性を持つ。この方法はさらに粗くセグメント化された線を複数の細かくセグメント化された要素に分割することを含む。この方法はさらに細かくセグメント化された要素の幾何学的配置を調整することを含む。細かくセグメント化された線の幾何学的配置は少なくとも一部は第1および第2スケールと異なっている。
【0016】
本発明は、他の実施形態においては、基板の2つ以上の連続するレイヤ間、または基板の単一レイヤ上に別々に生成された2つ以上のパターン間の相対的ズレを決定する重ね合わせマークに関する。重ね合わせマークは、複数の細かくセグメント化されたバーと少なくとも一つのダークフィールドとによって形成される複数の粗くセグメント化された線を含む。複数の粗くセグメント化された線は複数の細かくセグメント化されたバーおよび少なくとも一つのクリアフィールドによって分離される。
【0017】
本発明は、他の実施形態においては、基板の2つ以上の連続するレイヤ間、または基板の単一レイヤ上に別々に生成された2つ以上のパターン間の相対的ズレを決定する重ね合わせマークに関する。重ね合わせマークは、複数の細かくセグメント化されたバーによって形成され、クリアフィールドによって分離される粗くセグメント化された線の第1グループを含む。重ね合わせマークはさらに複数の細かくセグメント化されたバーによって形成され、ダークフィールドによって分離される粗くセグメント化された線の第2グループを含む。
【0018】
本発明は、ある実施形態においては、基板の2つ以上の連続するレイヤ間、または基板の単一レイヤ上に別々に生成された2つ以上のパターン間の相対的ズレをスキャニングを介して決定する重ね合わせマークに関する。重ね合わせマークは、基板の第1および第2レイヤ間の第1方向における相対的ズレを決定する少なくとも一つのテストパターンを含む。テストパターンは、ワーキング・ゾーンの第1セットおよびワーキング・ゾーンの第2セットを有する。第1セットは、基板の第1レイヤ上に配置され、対角線上に対向し互いに空間的にオフセットされた少なくとも2つのワーキング・ゾーンを有する。第2セットは、基板の第2レイヤ上に配置され、対角線上に対向し互いに空間的にオフセットされた少なくとも2つのワーキング・ゾーンを有する。ワーキング・ゾーンの第1セットはワーキング・ゾーンの第2セットに対して一般に角度がつけられ、それにより「X字」形状のテストパターンを形成する。
【0019】
本発明は、他の実施形態においては、重ね合わせマークの画像をキャプチャするように構成された画像化装置を介して基板の2つ以上の連続するレイヤ間の相対的ズレを決定する重ね合わせマークに関する。重ね合わせマークは、基板の第1レイヤ上に配置されたワーキング・ゾーンの第1セットを含む。ワーキング・ゾーンの第1セットは、対角線上に対向し互いに空間的にオフセットされ、マークの前記周縁内に位置した少なくとも2つのワーキング・ゾーンを含む。ワーキング・ゾーンのそれぞれはそこに位置する粗くセグメント化された要素の周期的構造を有する。粗くセグメント化された要素は第1方向に向いている。重ね合わせマークはさらに、第1ワーキング・グループに対して斜めに位置したワーキング・ゾーンの第2セットを含む。第2ワーキング・グループは、基板の第2レイヤ上に配置され、対角線上に対向し互いに空間的にオフセットされ、マークの前記周縁内に位置した少なくとも2つのワーキング・ゾーンを有する。ワーキング・ゾーンのそれぞれはそこに位置する粗くセグメント化された要素の周期的構造を有する。粗くセグメント化された要素は第1方向に向いている。
【0020】
本発明は例示的に説明されており、これは限定的なものではない。
【0021】
【発明の実施の形態】
本発明は、添付図面に表されるそのいくつかの好ましい実施形態を参照しながら詳細に説明される。以下の記載においては、本発明を完全に理解するために、多くの具体的な詳細が説明されている。しかし本発明は一部またはすべてのこれら具体的な詳細がなくても実施できることが当業者には明らかだろう。あるいは、本発明を不必要にぼやかさないためによく知られたプロセスステップは記載されていない。
【0022】
さまざまな実施形態のそれぞれにおいて、半導体ウェーハの2つのレイヤのそれぞれの上に形成された周期的構造からなる重ね合わせマークを本発明は用いることによって、半導体デバイスのこれらの2つのレイヤ間の重ね合わせ情報を提供する。重ね合わせマークがそれぞれのウェーハレイヤの特定の位置に形成されることによって、2つのレイヤが適切にアラインされるときには、あるレイヤ上の周期的構造が他のレイヤの周期的構造とアラインする。逆に、2つのレイヤが適切にアラインしないときには、それぞれのレイヤ上の周期的構造は互いにズレる。あるいは本発明は、同一レイヤ上の2つの異なるパターン間のアライメント情報を提供するために、2つ以上の異なるプロセスによって単一レイヤ上に形成された周期的構造からなる重ね合わせマークを用いてもよい。周期的構造のそれぞれは複数の構造からなっており、重ね合わせを測定するために用いられる情報量を増やし、あるプロセスが重ね合わせ測定に及ぼす影響を減らすために大きく変更されえる。これら測定のそれぞれは、実際の集積回路の構造とほぼ同じサイズおよび間隔(つまり距離)のサブ構造からなる。実際の回路サイズに近いサイズのサブ構造を持つ周期的構造のそれぞれを形成することによって、そのような回路におけるいかなるアライメント誤差についてもより正確に測定できる。本発明は、重ね合わせマークの画像をキャプチャすることが必要な重ね合わせ測定手法に特に適している。
【0023】
ここで記載される周期的構造およびサブ構造は一般には適当なフォトリソグラフィ技術を用いてパターン形成され、リソグラフィパターンはその後、エッチングおよび成膜のような既存のプロセス技術を用いて他の材料およびレイヤに転写される。最も簡単なアプリケーションにおいては、転写されたパターンはエッチングされた、または成膜された配線またはバイアを構成する。例えば周期的構造およびサブ構造は、ウェーハレイヤ内のフォトレジスト材料による形成物、リセスが形成されたキャビティ形成物、埋め込まれたトレンチおよび/または他の構造でありえる。キャビティによって形成された構造およびサブ構造は、半導体製造プロセス中にいずれかのレイヤに形成されたキャビティでありえる。例えば、キャビティは、フォトレジストレイヤ、誘電体材料レイヤ、または金属レイヤ中に形成されえる。上記プロセスは限定事項ではなく、いかなる適切な製造技術も用いられうることに注意されたい。
【0024】
本発明の実施形態が以下に図1〜図23を参照して説明される。しかし当業者には理解されるように、これらの図についてここに記載された詳細な説明は、例示目的であり、本発明はこれらの限定的な実施形態よりも広い。
【0025】
図2は本発明のある実施形態による重ね合わせマーク70を上から見た平面図である。マーク70は、画像ベースの重ね合わせ測定技術に適する。議論を易しくするために、重ね合わせマーク70はウェーハの試験レイヤが適切なアライメントであるときに生じる構成として示される。重ね合わせマーク70は一般に、基板の2以上の連続するレイヤ間、または基板の単一レイヤ上の別個に形成された2以上のパターン間の相対シフト量を決定するために設けられる。例として、重ね合わせマークは、第1レイヤがその上または下に配置された第2レイヤに対してどの程度正確にアラインしているか、または第1パターンが同じレイヤ上に配置された先行するまたは後続する第2パターンに対してどの程度正確にアラインしているか、を決定するために用いられうる。議論を易しくするために、図2に示す重ね合わせマークは、ウェーハの異なるレイヤ間の重ね合わせを測定する状況で説明される。しかしこの図(および以下のいかなる図も)の重ね合わせマークは、ウェーハの単一レイヤ上の別個に形成された2以上のパターンを測定するためにも用いられうる。一般にウェーハ上のダイの配置に対するマークの向きは、連続するマークの向きがレイヤからレイヤへ、またはパターンからパターンへと同じである限り、便利なものがなんでも用いられうる。
【0026】
重ね合わせマーク70は周縁71によって規定される。周縁71は典型的には計測ツールの限界および回路デザインルールに基づく。例えば周縁の上限は、重ね合わせを測定するのに用いられる計測ツールの視野(FOV)および/またはスクライブライン・バジェットによって設定されえる。FOVは一般に、計測ツールを介して画像をキャプチャするのに利用可能な範囲を規定する光学的周縁をいう。マークは典型的にはウェーハのスクライブラインの内側に位置する、つまりスクライブラインは、ソーイングまたはダイシングでウェーハがダイに分離されるウェーハ上の場所であり、そこには回路自体はパターン形成されない。よってスクライブライン・バジェットとは一般にマーク配置のためにスクライブラインによって許される可能なスペースをいう。さらに周縁の下限は、マークを画像化するために(例えば適切な信号または測定品質を確保するために)計測ツールによって必要とされる最小限の領域によって設定される。
【0027】
重ね合わせ測定に用いられる情報量を最大化するために周縁71はなるべく大きくなければならないと一般に考えられる。周縁71のサイズおよび形状は大きく変更されえる。例えば、周縁は正方形、円形、三角形、長方形、多角形などの形状をとりうる。周縁のサイズはいずれの方向においても、一般的には約10から約100ミクロンであり、より具体的には約20から約50ミクロンである。記載されている実施形態においては、周縁71は計測ツールのFOV79のサイズおよび形状に直接に対応する、つまりFOVが周縁を規定する。多くの場合、FOVはCCDのアスペクト比のために長方形である。しかしこれには限定されず、FOVはマークの周縁71よりも実質的に大きくてもよい。例えばマークの周縁はスクライブライン上の領域によって制限される。
【0028】
重ね合わせマーク70はさらに、複数のワーキング・ゾーン72を含み、これらはマーク70の周縁71を分割し、実質的に埋めるように構成される(中心部から周辺部にわたり)。例えば、複数のワーキング・ゾーンの合計領域がマークの全体領域に実質的に等しいようにワーキング・ゾーンがマークの周縁を埋めるように構成されえる。ワーキング・ゾーン72は、ウェーハの異なるレイヤ間のアライメントを計算するために用いられるマークの実際の領域を表す。そのためワーキング・ゾーン72は、重ね合わせ測定がなされる2つのレイヤに関する情報を典型的に含む。例えばいくつかのワーキング・ゾーンは、ウェーハの一つのレイヤ内に位置する(実線で表示)が、いくつかのワーキング・ゾーンは、ウェーハの異なるレイヤ内に位置する(点線で表示)。
【0029】
多くの場合、ワーキング・ゾーン72は、空間的に互いに分離されていて、その結果、それらは隣接するワーキング・ゾーンの部分とは重なり合うことがない(つまりワーキング・ゾーンのそれぞれはマークの異なる領域を表す)。これは、ワーキング・ゾーンのそれぞれが適切に計測ツールによって画像化されるのを確実にするために典型的にはなされる。いつも必要ではないが、ワーキング・ゾーン72は除外ゾーン80によって空間的に分離されて、その結果、ワーキング・ゾーンのそれぞれが区別されてもよい。除外ゾーン80は、物理的ターゲット構造または対応する光学的信号が歪まされ、そのためそれが重ね合わせ計算から除外されるターゲットイメージの領域である。除外ゾーンのサイズは、重ね合わせの測定のためにできるだけ多くの情報を与えるために、ワーキング・ゾーンのサイズと典型的にはバランスが取られる。すなわち、より大きいワーキング・ゾーンとより小さい除外ゾーンとを持つことが一般には望まれる。場合によっては、SEM断面によって重ね合わせ測定の正確さを確認できるように、隣接するワーキング・ゾーン間で少量の重複部を持つことも望ましい。
【0030】
ある実施形態において、ワーキング・ゾーンのサイズ、形状および分布を含む幾何学的配置は、マークにわたっておこりうる不均一性または非対称性の特性をバランスをとり、または補償するように構成される。非対称性は、プロセスに起因する構造的特徴(ウェーハに起因するズレ)とともに、計測ツールにおける光学的収差および照度非対称性(ツールに起因するズレ)から起こりえる。
【0031】
ツールに起因するズレ(TIS)は、不均一照度および/または光学的収差のような計測ツールの問題の結果、マークの見かけ位置がどのくらい移動またはシフトするかを一般にいう。照度は、その光がターゲットにどのように分布するかに一般に関する。収差は、その光がどのように収束し、集められるかに関する。不均一照度は、光源からウェーハへの光の伝達に関する光学要素の一つにおける欠陥またはミスアライメントによって生じうる。不均一な光学的収差は、光学系の対物レンズにおける欠陥またはミスアライメントによって生じうる。例を挙げれば収差は、球面収差、非点収差およびコマ収差を含む。コマ収差は、計測ツールのFOVにわたるその非対称性の性質ゆえに、TISにはより大きな影響を与えうる。
【0032】
ウェーハに起因するズレ(WIS)は、化学機械研磨(CMP)およびスパッタリング成膜によって生じる歪みのようなプロセスのバラツキの結果、マークの見かけ位置がどのくらい移動またはシフトするかを一般にいう。
【0033】
不均一性、非対称性、およびバラツキは、それぞれのレイヤの異なる配線セットの高さ間の違いから生じることもある。低いレイヤの配線はときとしてウェーハの中間レイヤを通してでないと見えないことがある。これは中間レイヤの材料が低いレイヤの配線を覆うからである。いっぽう上の配線は低いレイヤの上に施されたフォトレジストから一般に形成される。
【0034】
したがって重ね合わせ計測ツールの視野内の2つのレイヤまたはパターンからの重ね合わせ情報のサイズ、形状および分布を調節することによって、リソグラフィおよび/またはプロセスの不均一性、非対称性、およびバラツキの影響を低減することが可能である。場合によっては、重ね合わせ測定を向上させることさえ可能である。レジストパターンはプロセスのバラツキの影響を受けにくく、よってそれらはマークの捕捉エリアとして潜在的にはより有用である。
【0035】
ある実施形態においては、同じレイヤのワーキング・ゾーンをマークの周縁の近傍の異なる場所に選択的に配置することによって、バランスを取ることが達成される。例えばワーキング・ゾーンは、FOVにわたって変化する収差と、照度およびプロセス結果との間で可能な最良のバランスを得るために、FOV内の異なる場所に配置することができる。図示された実施形態(図2)においては、同じレイヤのワーキング・ゾーンは互いに反対に配置され、これによりFOVの左から右へ、上から下へ、または内側から外側へと起こる(逆の場合も含む)非対称性のバランスを取ることができる。ある実現例においては、非対称性をバランスさせるための最適分布は、ツールに起因するズレ(例えば照度および/または収差のようなツールの問題の結果、マークの見かけの位置がどのくらい動くか)の光学的シミュレーションを行うことによって決定される。他の実現例では、非対称性をバランスさせるための最適分布は、実験(例えばウェーハのサンプルを流す)を行うことによって決定される。さらに、許容できない収差および照度および/またはプロセスダメージを持つマークの部分がFOV内に存在することもあり、そのためにこれらの領域を回避するためにワーキング・ゾーンはFOV内の特定の場所に配置されえる。
【0036】
上述のように、ワーキング・ゾーンのそれぞれは、重ね合わせマークの連続する2つのレイヤの一つを表すように構成される。ある実施形態においては、ワーキング・ゾーンは第1レイヤまたはパターン、および第2レイヤまたはパターンと等しい数を表し、すなわち、第1レイヤのそれぞれのワーキング・ゾーンについて、第2レイヤには対応するワーキング・ゾーンが存在する。これはレイヤ群および/または計測ツールに存在するバラツキ、不均一性、および/または非対称性をバランスさせるために典型的に行われる。そのためワーキング・ゾーンの数は、例えば2、4、8、16のように一般に2の因数に基づく。視野内で領域をより多くの点に分布させることによって、照度、収差およびプロセスによって生じる不均一性をよりバランスさせることができると一般に信じられている。例えばワーキング・ゾーンのサイズ(正方形)は一般に約2から約24ミクロンであり、より具体的には約4から約15ミクロンである。多くの場合、ワーキング・ゾーンのサイズはワーキング・ゾーンの数に反比例し、つまり数が増えるとサイズは小さくなる。例として、ワーキング・ゾーンのサイズは、4ワーキング・ゾーンの場合、一般に約10から約24ミクロンであり、8ワーキング・ゾーンの場合、約5から約12ミクロンであり、16ワーキング・ゾーンの場合、約2.5から約6ミクロンである。
【0037】
さらに第1および第2レイヤのワーキング・ゾーンの数が等しくない必要がある場合も存在しえ、この場合、4つの第1レイヤワーキング・ゾーンおよび2つの第2レイヤワーキング・ゾーンのようになる。またワーキング・ゾーン全体の数が等しくない必要がある場合も存在しえ、この場合、2つの第1レイヤワーキング・ゾーンおよび1つの第2レイヤワーキング・ゾーンのようになる。またワーキング・ゾーンのサイズが等しくない必要がある場合も存在しえる。例えばワーキング・ゾーンの第1グループが第1サイズを有し、ワーキング・ゾーンの第2グループが第2サイズを有し、ここで第2サイズは第1サイズよりも小さいかまたは大きい。
【0038】
ワーキング・ゾーンは一般にFOV(例えばマークの周縁)によって制約を受けるが、ワーキング・ゾーンの形状はそれぞれのマークの特定の要求に応じて変えることができる。例としてゾーンは、正方形(図示のように)の形状、L字形、長方形の形状、三角形の形状、円の形状、多角形の形状などでもよい。多くの場合、ワーキング・ゾーンの形状およびサイズは同一である。これは典型的にはレイヤおよび/または計測ツール中に存在するバラツキ、不均一性および/または非対称性のバランスをとるためになされる。しかしこれは限定事項ではなく、一部または全部のワーキング・ゾーンは異なる形状をとりうることに注意されたい。例えばいくつかのワーキング・ゾーンは長方形の形状であり、他のワーキング・ゾーンは正方形の形状でありえる。
【0039】
さらに、異なるレイヤを表すワーキング・ゾーンは典型的には互いに並置される。例として、マークは少なくとも2つの並置されたワーキング・ゾーンを含みうる。すなわち、第1レイヤを表す右領域と、第2レイヤを表す左領域とである。さらにマークは、第1レイヤを表す上部ワーキング・ゾーンと、第2レイヤを表す下部ワーキング・ゾーンとを含みうる。ある実施形態においては、並置された領域は、ターゲットの中心(つまりFOVの中心)から等距離に配置される。しかし並置は限定事項ではなく、ワーキング・ゾーンの位置はそれぞれのマークの特定の要求にしたがって変えられることに注意されたい。例えば、第1レイヤを表すワーキング・ゾーンが、第1レイヤを表す他のワーキング・ゾーンに対して並置されることが必要な場合も存在しうる。
【0040】
図2を参照して、ワーキング・ゾーン72Aおよび72D(点線で表される)はウェーハのあるレイヤに形成され、ワーキング・ゾーン72Bおよび72C(実線で表される)はウェーハの別のレイヤに形成される。示されるように、ワーキング・ゾーン72AおよびDはワーキング・ゾーン72BおよびCに対して角度がつけられている。すなわちワーキング・ゾーン72AおよびDはワーキング・ゾーン72BおよびCに対して斜めに位置する。さらに、同一の第1レイヤ上に設けられているワーキング・ゾーン72Aおよび72Dは互いに反対に位置し、いっぽう同一の第2レイヤ上に設けられているワーキング・ゾーン72Bおよび72Cは互いに反対に位置する。すなわち、ワーキング・ゾーン72Aはワーキング・ゾーン72Dと対角線上に対置され、ワーキング・ゾーン72Bはワーキング・ゾーン72Cと対角線上に対置される。さらにワーキング・ゾーン72Aは、空間的にワーキング・ゾーン72Dからずらされ、ワーキング・ゾーン72Bは、空間的にワーキング・ゾーン72Dからずらされている。例えばワーキング・ゾーン72Dの中心は、ワーキング・ゾーン72Aの中心より下に、かつ右に位置し、ワーキング・ゾーン72Cの中心は、ワーキング・ゾーン72Bの中心より下に、かつ左に位置する。交差するように位置するこれらの構造は「X」字形状のパターンを形成する。
【0041】
この具体的な「X」字構成は例示のために示されたのであって、限定のためではないことに注意されたい。すなわちワーキング・ゾーンおよびその周期的構造のサイズ、形状および分布はそれぞれのマークの特定の要求にしたがって変わりうる。例えばワーキング・ゾーンは異なるサイズの、かつ異なる形状のさまざまなFOVを埋めるように構成されえる。プロセスのロバスト性および情報最適化の理由でできるだけ多くの情報で視野を埋めることが一般に望ましい。ワーキング・ゾーンはまた、長方形、三角形、平行四辺形、台形、正多角形、円などの他の形状をとってもよい。さらに対向する周期的構造は他のレイヤ上に設けられてもよい。例えばワーキング・ゾーン72Bおよび72Cが第1レイヤ(点線)に設けられ、ワーキング・ゾーン72Aおよび72Dが第2レイヤ(実線)に設けられてもよい。さらに、ワーキング・ゾーンは視野の一部しか埋めなくてもよい。しかも、除外ゾーンがなくされて、ワーキング・ゾーンがそれぞれの境界にそって互いに隣り合って配置されても(例えば完全に領域を埋め、よってFOVを埋めても)よく、または断面の正確さのために線の末端が重なり合うように一部が互いに重なってもよい。
【0042】
ワーキング・ゾーン72のそれぞれは、例えば周期的構造74A〜Dのような個々の周期的構造74を包含する。示されるように、周期的構造74のそれぞれは、その対応するワーキング・ゾーン72の周縁を実質的に埋める。さらに周期的構造74のそれぞれは、粗くセグメント化された複数の線群76を含み、これらは重ね合わせ測定に用いられうる情報量を増す。さらに周期的構造からマークを構築することによって、マークのより高い情報密度の利益を最大化する、より幅広い重ね合わせ測定アルゴリズムを実現することが可能である。粗くセグメント化された線群76のそれぞれは多くのサブ構造、つまり細かくセグメント化された要素78によって形成される。
【0043】
細かくセグメント化された要素78のいくつかは点線によって表されるが、それぞれの周期的構造内の細かくセグメント化された要素78は、一定の間隔でセグメント化される不連続な直線状の組では必ずしもない。点線は、周期的構造のそれぞれの中の連続した直線状の組を表しうる。しかし代わりの実施形態では、それぞれの周期的構造内の細かくセグメント化された要素78はさまざまな形状およびサイズをとりえて、これらは一定の間隔でセグメント化される不連続な直線状の組も含む。これらはより詳細に以下で説明される。
【0044】
ある実施形態においては、周期的構造の幾何学的配置、つまり線幅および間隔は、計測ツールの画像解像度とプロセスのロバスト性との適切なバランスを見いだすように構成される。例えば多くの場合、大きな幾何学的配置(例えば大きな線幅および間隔)を持つことで、周期的構造がツールによって光学的に解像されることが望ましく、いっぽう小さな幾何学的配置(例えば小さな線幅および間隔)を持つことで、マークへのプロセスの影響が最小化されることが望ましい。画像解像度に関して、それぞれの計測ツールが粗くセグメント化された線群を解像するために持つ最小サイズ要件が存在する。周期的構造の周期が小さくなるにつれ、計測ツールの解像度が低下する、つまり計測ツールの解像度が効果的に作用しなくなる点が存在することが一般に知られている。プロセスのロバスト性に関して、新しいプロセスが半導体製造に導入されるたびに、重ね合わせマークへのある種の影響が存在する。ターゲットを測定する能力は、画像ツールにおけるその視界またはコントラストに依存する。金属配線のようなプロセスのいくつかは、コントラストが低下する傾向にあり、よって精度に影響を与える。化学機械研磨(CMP)のような他のプロセスはマークをぼやけさせたり、歪ませたりする傾向にあり、よって正確さに影響を与える。これらのプロセスはまた、構造を非対称にしたり、もともとパターンが作られたトレンチまたは配線(例えば回路パターン)の中心に対して、見かけの、光学的に測定された空間的対応物を作り出す。
【0045】
アルミニウムでコーティングされ、化学機械研磨されたタングステンのような特定のプロセスについては、研磨およびアルミニウム成膜プロセスから生じる非対称性の影響を低減させるために、これらの構造の特性寸法はほぼ1から2ミクロン以下であることが有利である。しかしもしトレンチの幅が小さすぎると、アルミニウムレイヤの上部における残りのトポグラフィが小さすぎるため光学的に適切なコントラストを与えられなくなり、よってマークが適切な重ね合わせ情報を提供しない。いっぽうでこのスケールにおける構造の特性寸法についての下限は計測ツールの解像度の限界によって決定される。例えば開口数(NA)が0.9で、平均照明波長が550nmである重ね合わせツールについては、レイリー解像度限界または基準がほぼ0.4ミクロンになる。この特定の場合においては、コントラスト、よって信号対雑音を低減させないために、線幅0.5ミクロンを維持するのが好ましく、研磨およびアルミニウム成膜プロセスから生じる非対称性の影響を低減させるために1〜2ミクロン未満に維持するのが好ましい。しかしこれは例としてであって、限定のためではなく、レイリー解像度限界よりもよいものを達成することが可能であることに注意されたい。
【0046】
ある実施形態においては、周期的構造の幾何学的配置は実験によって決定される。TISの変化が最小である周期を見つけるために、つまりツールに起因するずれの変化をウェーハ上の複数の場所で測定することによって、TIS変化およびプロセス変化を最小にするピッチを選択するために、例えばいくつかのウェーハがプロセスに通される
【0047】
ある実施形態においては、周期的構造の周期および位相は高周波エッジをフィルタリングするように構成される。
【0048】
図示された実施形態においては、周期的構造74A〜Dのそれぞれは同じ周期およびデューティサイクルを持つ。すなわち周期的構造74のそれぞれは、等しい数の粗くセグメント化された線76からなり、これらは平行であり、等しい線幅とそれらの間の等しい間隔とを持つ。周期、線幅および間隔は大きく変更されえる。例として、周期の大きさは約1から約3ミクロンでありえ、線幅および間隔の大きさは約0.3から約2ミクロンでありえ、より具体的には約0.5から約1ミクロンである。
【0049】
周期的構造のそれぞれについての等しい周期、線幅および間隔は限定事項ではなく、それらはそれぞれのマークの特定の要求に応じて変更されえる。例えば周期的構造のそれぞれは異なる周期またはデューティサイクルを持ちえる。あるいは周期的構造のいくつかは等しい周期またはデューティサイクルを持ちえ、いっぽう他の周期的構造は異なる周期またはデューティサイクルを持ちえる。さらに周期的構造は、周期的構造にわたって変化する周期を持ちえる。例として周期的構造はチャープ化された周期的構造(例えば小から大へ)でありえる。前述のように、周期、線幅および間隔は一般に、計測ツールのプロセスロバスト性要件およびコントラスト要件にしたがって最適化される。
【0050】
それぞれの周期的構造内部の線の数はそれぞれのマークの特定の要求に合うように変更されえる。線の数は要求される解像度と所望の信号対雑音比とに依存するすると一般には考えられる。たいていの画像ツールは約0.3から約0.9の間に解像度限界を持つ。線の数はまた、グループのうち内側の線セグメントよりも最も外側の線セグメントにより影響を大きく与える化学機械研磨歪みのようなプロセス要件によって決定される。線セグメントのグループ内で用いられる線の最大数に影響する一つのファクタは、計測ツールの解像度である。動作に必要な線の最小数の観点からは、その数は2である。示された実施形態においては、周期的構造74A〜Dのそれぞれは、5つの粗くセグメント化された線を含む。場合によっては線の異なる数、つまり5つの線を持つ第1周期的構造と、2つの線を持つ第2周期的構造とを持つ周期的構造を有することさえ望ましいかもしれない。
【0051】
示された実施形態においては、周期的構造74A〜Dの線群は互いに平行であり、その結果、ある単一の向きにおける位置情報を提供する。理解されるように、図2の線群はX軸測定のために構成されている。なぜなら線群は測定の軸と非平行(例えば垂直または直交)だからである。この構成が与えられると、X方向における2つの連続するレイヤの間のいかなるズレも、周期的構造74AおよびDの第1セットと、周期的構造74BおよびCの第2セットとの間に存在する。よって、X方向のウェーハの2つのレイヤの間のアライメントは周期的構造の2つのグループの相対的位置を比較することによって決定されうる。例えば、第1レイヤ上に配置される周期的構造74AおよびDの位置が、第2レイヤ上に配置される周期的構造74BおよびCの位置(例えば対称の中心)と比較されることによって、連続するレイヤ群間のX方向におけるアライメントが決定される。
【0052】
ある実施形態において、レイヤ間の重ね合わせアライメントは同じレイヤ上の対向する領域のそれぞれの対称の中心を計算し、それから2つの平均化された対称の中心間の差を計算することによって決定されうる。例えばワーキング・ゾーン72AおよびDとワーキング・ゾーン72BおよびCとの両方についての対称の中心は、画像群を折りたたむことによってみつかり、これら2つの対称の中心の差は重ね合わせ誤差を決定しえる。もし重ね合わせがゼロであるなら、同じレイヤの2つの対向するグループのそれぞれの対称の中心は、マークの中央を通るY軸、つまり左のワーキング・ゾーンおよび右のワーキング・ゾーン間を通るY軸と一致しなければならない。
【0053】
あるいは、互いに平行で、異なるレイヤ上にある周期的構造群が比較される。すなわち周期的構造74Aは周期的構造74Bと比較され、周期的構造74Cは周期的構造74Dと比較される。さらに互いに上下にある周期的構造群が比較される。すなわち周期的構造74Aは周期的構造74Cと比較され、周期的構造74Bは周期的構造74Dと比較される。
【0054】
X方向における重ね合わせを測定することは限定事項ではなく、重ね合わせマーク70は90度回転されて、Y方向におけるウェーハの2つのレイヤ群間の重ね合わせ誤差を決定してもよいことに注意されたい。さらに、一つがもう一つから90度回転された2つの重ね合わせマークを用いて、2つの方向、例えばXおよびY方向における連続するレイヤ群間のアライメントを決定してもよい。第1および第2マークの向きが同じレイヤからレイヤへ向かう限り、第2マークは第1マークに対してさまざまな位置に配置されえる(例えば横に並ぶ、またはもしスペースが限られるならウェーハ上の異なる位置に)。
【0055】
さらに、もし図2において実線示された線群が半導体ウェーハの第1レイヤ上に印刷され、実線で示された線群が第2レイヤ上にあるなら、第3レイヤ上に他のセットの線群(図2では実線示される)が第1レイヤの線群上を覆うように印刷されることもあることに注意されたい。このとき、第2レイヤの線群は第3レイヤの線群と関連して用いられる。よって半導体ウェーハのレイヤ上のそれぞれの線群のセット(第1および最後のレイヤ上のそれらを除く)は、半導体ウェーハの2つのレイヤ上、つまり一つ上および一つ下のレイヤ上の線群と関連して用いられる。この実現例は、もし第1レイヤが第3レイヤの下であって光学的に検出できないなら最もよく機能する。あるいは、もし半導体ウェーハ表面上にじゅうぶんなスペースがあるなら、ウェーハ上の隣接するレイヤのそれぞれのペアのグレーティングペアは、ウェーハ上の異なる場所に位置させることで、関心のある2つのトップレイヤの測定に対する、第3レイヤからの「染みだし」干渉を最小化することができる。
【0056】
さらに説明すれば、粗くセグメント化された線群76をそれぞれ形成するために用いられる細かくセグメント化された要素78は、より正確にウェーハレイヤ群間のアライメントの度合いを表現する重ね合わせ測定を重ね合わせマーク70が促進するように構成される。すなわち細かくセグメント化された要素78は、2つのレイヤのそれぞれの上に形成された集積回路のパターンのアライメントにより密接に一致するアライメント情報を提供するようにはたらく。細かくセグメント化された要素78は、部分的にはいくつかの理由により、より典型的な測定を考慮する。
【0057】
より小さい重ね合わせマークがより正確な重ね合わせ測定を提供する一つの理由は、より小さいサイズの細かくセグメント化された要素は、集積回路のパターンが形成されるときに生じるレンズパターン配置誤差とより類似するレンズパターン配置誤差とともに半導体レイヤ上に形成されるからである。パターンはウェーハレイヤ上に、「ステッパ」のようなリソグラフィ装置で形成される。半導体ウェーハ上に形成されるパターンのレンズ配置誤差は、ステッパレンズにおける収差のためにパターンの大きさおよび間隔とともに変化し、またリソグラフィ用マスク上で規定される回路パターンを露光するのに用いられる照度条件(軸をずれた照明および部分可干渉性を含む)とともに変化する。集積回路要素の微小寸法のそれに匹敵する最小寸法サイズおよびピッチを持つマークを作ることは、回路の最小寸法と同じまたは類似のマスクパターン技術を用いること(例えば同じまたは類似の光学的近接補正またはフェーズシフトマスクパターンを用いること)と同様、より似た度合いのレンズパターン配置誤差とともに形成されたマークおよび集積回路パターンを生むことになる。このようにウェーハの異なるレイヤ群上のマーク間のアライメントは、回路パターン間のアライメントをより正確に表す。ステッパレンズ収差による歪みのより詳細な情報については、Harry J. LevinsonによるLithography Process Controlを参照されたい。
【0058】
ある実施形態においては、細かくセグメント化された要素の最小寸法サイズおよびピッチ(例えば細かくセグメント化された要素の中心間の距離)は、テストされるレイヤ上について行われるパターン形成ステップのクリチカルなデバイス最小寸法のそれらと実質的に等しい。すなわち細かくセグメント化された要素78の寸法は回路パターンの寸法に匹敵する。ある実現例においては、線は、集積回路の配線の幅にほぼ等しい幅を持つ。現在、回路配線は0.13μmにほぼ等しいか、またはこれより小さい幅を持つ。本発明の細かくセグメント化された要素は0.05〜0.2μmの幅を持つようにできる。しかし理解されるように、半導体製造プロセスの進歩はさらにこれらの寸法を小さくする可能性が高いので、これらの寸法は例としてであって、限定するためのものではない。
【0059】
より小さい重ね合わせマークがより正確な重ね合わせ測定を提供する他の理由は、重ね合わせ測定におけるウェーハ製造非対称性の効果が低減されるからである。ウェーハ製造非対称性は、ウェーハレイヤ上に形成された構造またはパターンの形状およびサイズがさらなるプロセスのためにズレが生じることである。重ね合わせマークに対するウェーハ製造プロセスの影響は、重ね合わせマーク構造およびサブ構造のサイズ、間隔、および密度に依存する。形状およびサイズのこれらのズレは、重ね合わせマークに影響を与え、重ね合わせ測定の精度を低下させる。
【0060】
ウェーハ構造に非対称プロファイルを生じる例示的なウェーハ製造技術は、スパッタ成膜プロセスである。スパッタリングプロセスは一般に材料のレイヤ(つまり金属)を既存のウェーハレイヤの上に施すために用いられる。通常、スパッタされる材料源、ターゲットは、ウェーハの中心の上に位置する。スパッタされる材料はターゲットからウェーハの外周縁に向かって移動し、それにより凹状のチャネル内、またはリッジ状の凸部上で、材料の非対称堆積を生じる。具体的には、へこみのあるチャネルの側壁間の堆積された材料の不均一な累積は、凹状チャネルの見かけの位置をチャネルの一方の側へずらしえる。
【0061】
非対称寸法を生じえる他の例示的な製造技術は、ウェーハレイヤの機械化学平坦化(CMP)である。ある状況下で、ウェーハレイヤは、材料の次レイヤが堆積される前にCMPを経る。CMP装置は一般にウェーハレイヤにわたり特定の向きに移動する。したがってCMP装置は最初に重ね合わせマークの片面に遭遇し、それからマークの対向する側へと移る。これにより重ね合わせマークのズレと、見かけのサイズの変化とが生じる。なぜなら最初に遭遇された重ね合わせマークの側の材料が、マークの対向する側よりもより多く、またはより少なく除去されえるからである。
【0062】
どちらの状況においても、重ね合わせマークに製造プロセスの結果として生じる非対称性は、より小さいマークを形成することによって低減されえる。スパッタリングプロセスに関しては、凹状チャネルまたはリッジがより小さいほど、それぞれの側壁表面上により累積するスパッタ材料がより少なくなるので、それにより形状およびサイズの非対称性のズレもより小さくなる。CMPプロセスに関しては、より小さい寸法を持つマークはやはりより小さい度合いしかズレない。逆に、例えば大きな粒度の金属レイヤの場合のように、重ね合わせマークの線を広げることによってプロセス変化に対してよりロバストになるプロセス状況も存在する。より詳細な情報については、Harry J. LevinsonによるLithography Process Controlを参照されたい。
【0063】
示された実施形態において、細かくセグメント化された要素78は空間的に離れた、互いに平行な細い線群である。しかし、線群は限定事項ではなく、細かくセグメント化された要素の形状は、それぞれのマークの特定の要求にしたがって変更されうることに注意されたい。例えば、細かくセグメント化された要素は正方形、長方形、三角形、多角形、円形、楕円形などの形状をとりうる。理解されるように、細かくセグメント化された要素78は完全に対称な形状を持つ必要はない。なぜならそれらは典型的にはリソグラフィおよびパターン転写プロセスによって形成されるからである。
【0064】
さらなるバリエーションとして、単一の重ね合わせマーク内に形成されたさまざまな形状の要素がある。例えばある周期的構造は、直線的な形状の要素を含み、一方、別の周期的構造は円い形状の要素を含みえる。さらに、ある周期的構造は、円い形状の要素を含み、別の周期的構造は正方形状の要素を含みえる。さらに、ある周期的構造は、直線的な形状の要素を含みえ、一方、別の周期的構造は正方形状の要素を含みえる。またさらなるバリエーションとして、単一の周期的構造内に形成されたさまざまな形状の要素がある。例えばある単一の周期的構造は、直線的な形状の要素によって形成されたある粗くセグメント化された線と、正方形状の要素によって形成された別の粗くセグメント化された線とを含みえる。さらなるバリエーションとして、細かくセグメント化された要素から構成される周期的構造と、細かくセグメント化された要素から構成されず、単一の太い線で構成されるいくつかの周期的構造とを含む。
【0065】
図3を参照すると、細かくセグメント化された要素78がより詳細に説明される。図3は本発明のある実施形態による図2に示される周期的構造74のいずれかの部分側面立面図である。示されるように、粗くセグメント化された線76は、複数の細かくセグメント化された要素78によって形成される。この特定の実施形態においては、細かくセグメント化された要素78は、粗くセグメント化された線76の中心に対して対称的に分布され、等しく細かい幅wと細かいピッチp(中心間の距離)とをその間に持つバー群を表す。しかしこれは限定事項ではなく、幅とピッチとは、その分布とともに、それぞれの装置の具体的な要求にしたがって変化しえることに注意されたい。粗くセグメント化された線76の線幅dは、複数の細かくセグメント化されたバー78のうちのいちばん最初およびいちばん最後のバー78’および78”の外側端の間の距離として規定される。示された実施形態においては、10の細かくセグメント化されたバーが存在する。
【0066】
コマ収差のようなステッパ収差(計測ツール収差ではなく)は、重ね合わせマークが細かくセグメント化されている場合に見かけの重ね合わせ誤差を生じえることがわかっている。すなわちパターン配置誤差を生む傾向を持つことに加えて、ステッパコマ収差も細かくセグメント化された要素、特に粗くセグメント化された線を構成する最初および最後のバー(例えばバー78’および78”)の寸法を変える傾向を持つ。「寸法を変える」とは、一般に、最初および最後のバーが細くなったり広くなったりしえることを意味する。多くの場合、一方のバーが広くなると、他方のバーは細くなる。例えばコマ収差は最後のバーを広くし、最初のバーを細くさせる。これはまた、粗くセグメント化された線群の間のオープンスペースの近傍にバーがあることによっても生じえる。理解されるように、このタイプの変化は見かけの重ね合わせズレを導入しがちであり(つまり、線が左から右へとずれたように見える)、よって線は正しく測定されない。ある実施形態においては、周期的構造のレイアウトはこの見かけのズレを補償するように再構成されえる(図4および5を参照)。
【0067】
リソグラフィにおいては、クリアフィールドとは一般にオープンスペース(例えばエッチングされている)によって囲まれた一連の周期的構造をいい、ダークフィールドとは一般にクローズドスペース(例えばエッチングされていない)によって囲まれた一連の周期的構造をいう。クリアフィールドは一般に明るく見え、ダークフィールドは一般に暗く見える。この特定の実施形態においては、クリアフィールドは、図3のバーのような細かくセグメント化された要素のグループ間の空き地であり、ダークフィールドは、図3のバーのような細かくセグメント化された要素のグループ間の閉じた空間である。クリアフィールドおよびダークフィールドは、広くなったり細くなったりしたバーによって生じる見かけのズレが打ち消しあったりバランスを取ってなくなるように、線群の形成を変えるよう構成されえると一般に考えられる。ある実現例においては、バランスはそれぞれの周期的構造内で取られる。例えばワーキング・ゾーンのそれぞれは、クリアフィールドおよびダークフィールドの両方を備えた細かいセグメントを含む。例えば粗くセグメント化された線のいくつかはクリアフィールドを持ち、いくつかはダークフィールドを持つ。別の実現例においては、異なるワーキング・ゾーンの2つの周期的構造間でバランスが取られる。例えば、少なくとも第1ワーキング・ゾーンはクリアフィールドを備えた細かいセグメントを持つ周期的構造を含み、少なくとも第2ワーキング・ゾーンはダークフィールドを備えた細かいセグメントを持つ周期的構造を含む。たいていの場合、第1および第2ワーキング・ゾーンは、同じレイヤ上にある対向したワーキング・ゾーンである。これらの実現例は、図4および5を参照して以下に詳細に説明される。
【0068】
図4は本発明のある実施形態による図2に示される周期的構造74のいずれかの部分側面立面図である。例として、図4は一般に上述の第1実現例に対応する。示されるように、粗くセグメント化された線76は、複数の細かくセグメント化されたバー78と、少なくとも一つのダークフィールド81とによって形成される。粗くセグメント化された線群76は、複数の細かくセグメント化されたバー78と、少なくとも一つのクリアフィールド82とを含むセパレーションSによって分割される。細かくセグメント化された線群、ダークフィールドおよびクリアフィールドの幾何学的配置は大きく変えることができる。これらの要素の幾何学的配置は一般に、照明の部分可干渉性と、ステッパレンズの光学系のコマ収差とに依存する。線群とスペース群とが部分的にセグメント化されるデュアルトーン構造は、それらのどちらか一つしか備えない構造よりも、装置構造によってもたらされるパターン配置誤差をより改善する傾向にある。
【0069】
図5AおよびBは本発明のある実施形態による2つの別個の周期的構造群84の部分側面立面図である。たいていの場合、周期的構造群84および85は、対向するワーキング・ゾーンからなり、同じレイヤ内に位置する周期的構造を表す。例えば周期的構造84は、周期的構造74Aに対応しえ、一方、周期的構造85は周期的構造72Dに対応しえる。しかしこれは限定事項ではないことに注意されたい。
【0070】
図5Aを参照して、第1周期的構造84は、粗くセグメント化された線群76を含み、これら線群は複数の細かくセグメント化されたバー78によって形成され、これら線群はクリアフィールド82によって分離されている。図5Bを参照して、第2周期的構造85は、粗くセグメント化された線群76を含み、これら線群は複数の細かくセグメント化されたバー78によって形成され、これら線群はダークフィールド81によって分離されている。細かくセグメント化された線、ダークフィールドおよびクリアフィールドの幾何学的配置は大きく変えることができる。この特定の実施形態においては、ダークフィールドのサイズ・形状(反対ではあるが)および位置は、クリアフィールドのサイズ・形状(反対ではあるが)および位置に一般に対応する。
【0071】
上記説明からわかるように、X字構成の効果は多い。例えば、X字構成は、マークの周縁を中心からエッジまで満たす標準的なボックスインボックスターゲットよりもより多くの情報を提供する。またその長さとともにエッジ(例えば粗くセグメント化された線)の数を増すことによって、より多くの情報を提供する。エッジの数とその長さを増すことによってより多くの情報を提供すること以外に、X字構成は、もともと備わっている追加の対称性のおかげで、通常のボックスインボックスターゲットよりもさらなる効果を提供する。すなわち、通常のボックスインボックス構造においては、内側および外側のレイヤが存在する。これらが入れ替わると、内側および外側マークの異なる情報分布のため、および視野中心に近い領域および視野中心から遠い領域における計測ツールの異なる光学的ふるまいのため、異なるパターンになり、よって異なる重ね合わせ結果を生む。この点から、X字ターゲット構造は基本的にレイヤ入れ替え(鏡像変換まで)に関して不変である。さらにX字構成は、非対称コマパターンを克服するのに役立つ、つまりコマ収差が打ち消し合う180度回転対称性を示す。
【0072】
図6は、本発明の他の実施形態による重ね合わせマーク90の上から見た平面図である。例として、重ね合わせマーク90は図2に示す重ね合わせマークに概ね対応する。重ね合わせマーク90は、2つのウェーハレイヤ(一つはクロスハッチングされており、もう一つはされていない)間のレジストレーション誤差を決定するための4つのワーキング・ゾーン92A〜Dを含む。ワーキング・ゾーンのそれぞれは、複数の粗くセグメント化された線96によって構成される周期的構造94を含む。図2のX字ターゲットと似て、第1および第4ゾーン92AおよびDに位置する周期的構造94AおよびDは、ウェーハの第1レイヤ内に配置され、一方、第2および第3ゾーン92BおよびCに位置する周期的構造94BおよびCは、ウェーハの第2レイヤ内に配置される。さらに同じレイヤ上の周期的構造は、例えば構造94AおよびDのように、対角線上に対向して位置し、それによりX字構成で重ね合わせマークを形成する。
【0073】
この特定の実施形態においては、粗くセグメント化された線は水平に位置し、それによりこれらはY方向の重ね合わせを測定するように構成される。しかし、これは限定事項ではなく、ターゲットは回転されてX方向の重ね合わせを測定してもよいことに注意されたい。またこの実施形態においては、粗くセグメント化された線が伸長され、形状が長方形の中身のつまった構造であるが、これは限定事項ではなく、粗くセグメント化された線は、図4において説明された細かくセグメント化された要素にしたがって作られる複数の細かくセグメント化された要素によって形成されてもよいことに注意されたい。
【0074】
図2のマークと対照的に、ワーキング・ゾーン92のそれぞれは、特に図6の周期的構造94のそれぞれは、マーク90の中心に追加構造96を収めるために「L字形状の」輪郭または形状を持つ。図示された実施形態において追加構造96は、本願の背景において説明された標準的なボックスインボックス構造を表す。よって「X字」で構成されたマーク90は、標準的なボックスインボックス計測ツールおよびアルゴリズムによって捕捉され測定されえる、つまり既存の装置およびソフトウェアが使用可能である。
【0075】
図7は、本発明の他の実施形態による重ね合わせマーク100の上から見た平面図である。例として重ね合わせマーク100は図2に示された重ね合わせマークと概ね対応する。重ね合わせマーク100は、2つのウェーハレイヤ(一つはクロスハッチングされており、もう一つはされていない)間のレジストレーション誤差を決定するための4つのワーキング・ゾーン102A〜Dを含む。ワーキング・ゾーンのそれぞれは、複数の粗くセグメント化された線106によって構成される周期的構造104を含む。図2のX字ターゲットと似て、第1および第4ゾーン102AおよびDに位置する周期的構造104AおよびDは、ウェーハの第1レイヤ内に配置され、一方、第2および第3ゾーン102BおよびCに位置する周期的構造104BおよびCは、ウェーハの第2レイヤ内に配置される。さらに同じレイヤ上の周期的構造は、例えば構造104AおよびDのように、対角線上に対向して位置し、それによりX字構成で重ね合わせマークを形成する。
【0076】
この特定の実施形態においては、粗くセグメント化された線106は水平に位置し、それによりこれらはY方向の重ね合わせを測定するように構成される。しかし、これは限定事項ではなく、マーク100は回転されてX方向の重ね合わせを測定してもよいことに注意されたい。またこの実施形態においては、粗くセグメント化された線が伸長され、形状が長方形の中身のつまった構造である。これは限定事項ではなく、粗くセグメント化された線は、図4において説明された細かくセグメント化された要素にしたがって作られる複数の細かくセグメント化された要素によって形成されてもよいことに注意されたい。
【0077】
図2のマークと対照的に、ワーキング・ゾーン102のそれぞれは、特に図7の周期的構造104のそれぞれは、マーク100の中心に追加構造108を収めるために「長方形の」輪郭または形状を持つ。図示された実施形態において追加構造108は、本願の背景において説明された標準的なボックスインボックス構造を表す。よって「X字」で構成されたマーク100は、標準的なボックスインボックス計測ツールおよびアルゴリズムによって捕捉され画像化されえる。しかしこれは限定事項ではなく、追加構造は他の構造、例えば、光学的パターン認識ツールおよびアルゴリズムによって認識および捕捉されえるパターン認識構造を表しえる。いずれの構成も、既存の装置およびソフトウェアに変更の必要がないという効果を有する。
【0078】
図8は、本発明の他の実施形態による重ね合わせマーク110の上から見た平面図である。例として重ね合わせマーク110は図2に示されたマークと対応しえる。しかし図2のマークとは異なり、重ね合わせマーク110は重ね合わせを2つの異なる向きについて測定するように構成されることに注意されたい。よってマーク110は重ね合わせが測定されるのに必要な、それぞれの向きのための一つのマークの必要性をなくす。重ね合わせマーク110は、ウェーハのテストされるレイヤが完全にアライメントしているときに結果として生じる構成において示されている。
【0079】
重ね合わせマーク110は、2つの異なる向きにおける2つのウェーハレイヤ間のレジストレーション誤差を決定するための複数のワーキング・ゾーン112を含む。示された実施形態において重ね合わせマーク110は、4つの正方形状のワーキング・ゾーン112を含み、これらは重ね合わせマーク110を画像化するのに用いられる計測ツールの視野(不図示)を実質的に埋めるように構成される。ワーキング・ゾーン112は、ウェーハの異なるレイヤ間のアライメントを計算するの用いられるマークの実際の領域を表す。前述のように、ワーキング・ゾーン112は空間的に互いに分離され、その結果、それらは第2レイヤの隣接するワーキング・ゾーンの部分と重ならないようになっている。
【0080】
この実施形態においては、ワーキング・ゾーンは、例えばXおよびY方向の2つの向きにおける重ね合わせ情報を提供するように構成される。4つのワーキング・ゾーン112A〜Dのうち、2つのワーキング・ゾーン112AおよびDは第1レイヤに配置され、2つのワーキング・ゾーン112BおよびCは第2レイヤに配置される(第1レイヤは中が塗りつぶされており、第2レイヤは中が塗りつぶされていない)。同じ第1レイヤ上に配置されるワーキング・ゾーン112AおよびDは第1垂直角で互いに対向し、同じ第2レイヤ上に配置されるワーキング・ゾーン112BおよびCは第2垂直角で互いに対向する(例えば対角線上に)。これらの交わって配置された構造は「X字」形パターンを形成する。
【0081】
ワーキング・ゾーン112のそれぞれは、例えば周期的構造114A〜Dのような個別の周期的構造114を含む。示されるように、周期的構造114のそれぞれは実質的にその対応するワーキング・ゾーン112の周縁を埋める。理解されるように、周期的構造114のそれぞれはその対応するワーキング・ゾーン112のレイヤ内に形成される。周期的構造114は、ロウとカラムとに分割されて間隔が空けられて配置された粗くセグメント化された要素116を含む。粗くセグメント化された要素116のそれぞれは、こんどは、細かくセグメント化された要素118によって形成される。細かくセグメント化された要素118はまた、ロウとカラムとに分割されて間隔が空けられて配置される。個別の粗くセグメント化された要素116および細かくセグメント化された要素118は、さまざまなサイズ、形状および分布で構成されえる。示された実施形態においては、粗くセグメント化された要素116および細かくセグメント化された要素118の両方は、正方形の形をして、隣接する要素から等しく距離を置かれている。理解されるように、重ね合わせマーク110は、同じ繰り返し構造パターンを直交する向きに持つので、互いに垂直である2つの異なる向きにおけるミスレジストレーション値を測定するのに使用可能である。
【0082】
図9は、本発明の他の実施形態による重ね合わせマーク130の上から見た平面図である。例として重ね合わせマーク130は図2のマークと対応する。しかし図2のマークとは異なり、重ね合わせマーク110は重ね合わせを2つの異なる向きについて測定するように構成されることに注意されたい。よってマーク130は重ね合わせが測定されるのに必要な、それぞれの向きのための一つのマークの必要性をなくす。重ね合わせマーク130は、ウェーハのテストされるレイヤが完全にアライメントしているときに結果として生じる構成において示されている。重ね合わせマーク130は一般に、ウェーハの2つ以上の連続するレイヤ間の、またはウェーハの単一のレイヤ上に別個に生成された2つ以上のパターン間の相対的なズレを決定するのに提供される。議論を簡単にするために、重ね合わせマーク130は、基板の異なるレイヤ間の重ね合わせを測定する場合において説明される。しかしこの図の重ね合わせマークはまた、基板の単一のレイヤ上の2以上の個別に生成されたパターンを測定するのに用いられてもよいことに注意されたい。
【0083】
重ね合わせマーク130は、2つの異なる向きにおける2つのウェーハレイヤ間のレジストレーション誤差を決定するための複数のワーキング・ゾーン132を含む。示された実施形態において重ね合わせマーク130は、8つの長方形状のワーキング・ゾーン132を含み、これらはその周縁71を実質的に埋めるように構成される。ワーキング・ゾーン132は、ウェーハの異なるレイヤ間のアライメントを計算するの用いられるマークの実際の領域を表す。前述のように、ワーキング・ゾーン132は空間的に互いに分離され、その結果、それらは第2レイヤの隣接するワーキング・ゾーンの部分と重ならないようになっている。この特定の構成においては、ワーキング・ゾーンのいくつかは除外ゾーンを介して分離されており、一方、他のワーキング・ゾーンは隣接ワーキング・ゾーンの隣に位置する。例えばワーキング・ゾーン132Bはワーキング・ゾーン132EおよびFから除外ゾーン133を介して分離されており、一方、ワーキング・ゾーン132EおよびFはそのエッジにおいて互いに隣り合って位置する。
【0084】
議論を進めるために、ワーキング・ゾーン132は、第1ワーキング・グループ134および第2ワーキング・グループ136にグループ分けされる。第1ワーキング・グループ134は、第1方向における重ね合わせ情報を提供するように構成される4つのワーキング・ゾーン132A〜Dを含む。例として、第1方向はY方向でありえる。4つのワーキング・ゾーン132A〜Dのうち、2つのワーキング・ゾーン132AおよびDは第1レイヤに設けられ、2つのワーキング・ゾーン132BおよびCは第2レイヤに設けられる(第1レイヤはクロスハッチングで表され、第2レイヤはクロスハッチングなしで表される)。理解されるように、このマーク構成について、重ね合わせ誤差がゼロの場合(図示のとおり)、ワーキング・ゾーン132AおよびD、およびワーキング・ゾーン132BおよびCの対称の中心135は正確に一致する。第2ワーキング・グループ136は、第1方向と垂直な第2方向における重ね合わせ情報を提供するように構成される4つのワーキング・ゾーン132E〜Hを含む。例として、第2方向はX方向でありえる。4つのワーキング・ゾーン132E〜Hのうち、2つのワーキング・ゾーン132EおよびHは第1レイヤに設けられ、2つのワーキング・ゾーン132FおよびGは第2レイヤに設けられる(第1レイヤはクロスハッチングで表され、第2レイヤはクロスハッチングなしで表される)。上述のように、このマーク構成について、重ね合わせ誤差がゼロの場合(図示のとおり)、ワーキング・ゾーン132EおよびH、およびワーキング・ゾーン132FおよびGの対称の中心137は正確に一致する。
【0085】
理解されるように、グループ134および136のそれぞれは「X字」構成のマークを表す(オフセットはあるが)。例えばワーキング・グループ134は、同じ第1レイヤ上にあり、互いに対角線上に対向する位置にあるワーキング・ゾーン132AおよびDと、同じ第2レイヤ上にあり、互いに対角線上に対向する位置にあるワーキング・ゾーン132BおよびCを含む。さらにワーキング・ゾーン132AおよびDは、ワーキング・ゾーン132BおよびCに対して角度がつけられている。さらに、ワーキング・ゾーン132Aは空間的にワーキング・ゾーン132Dからオフセットが設けられており、ワーキング・ゾーン132Bは空間的にワーキング・ゾーン132Dからオフセットが設けられている。
【0086】
さらにワーキング・ゾーン136は、同じ第1レイヤ上にあり、互いに対角線上に対向する位置にあるワーキング・ゾーン132EおよびHと、同じ第2レイヤ上にあり、互いに対角線上に対向する位置にあるワーキング・ゾーン132FおよびGを含む。さらにワーキング・ゾーン132EおよびHは、ワーキング・ゾーン132FおよびGに対して角度がつけられている。さらに、ワーキング・ゾーン132Eは空間的にワーキング・ゾーン132Hからオフセットが設けられており、ワーキング・ゾーン132Fは空間的にワーキング・ゾーン132Gからオフセットが設けられている。本質的に、この特定のマークは、互いに対角線上にある2つの「X字」構成のマーク群、つまりワーキング・グループ194およびワーキング・グループ196を作る。
【0087】
さらに詳述するなら、あるレイヤ上のワーキング・ゾーンは、一般に他のレイヤ上のワーキング・ゾーンに対して並置される。例えば第1ワーキング・グループにおいて、ワーキング・ゾーン132Aはワーキング・ゾーン132Bに対して並置され、ワーキング・ゾーン132Cはワーキング・ゾーン132Dに対して並置される。同様に、第2ワーキング・グループにおいて、ワーキング・ゾーン132Eはワーキング・ゾーン132に対して並置され、ワーキング・ゾーン132はワーキング・ゾーン132Gに対して並置される。2つの並置されたペアのうち、第2レイヤ上のワーキング・ゾーンは典型的には第1レイヤ上のワーキング・ゾーンよりもFOVの中心により近く位置する。例えば、ワーキング・ゾーン132BおよびCおよびワーキング・ゾーン132FおよびGはそれぞれ、それらの並置されたワーキング・ゾーン132AおよびDおよびワーキング・ゾーン132EおよびHよりもFOV144の中心142により近く位置する。さらに、ワーキング・グループ群のそれぞれの中で、並置されたペアはグループ内の他の並置されたペアに対して対向する関係に(例えば対角線上に)位置する。例えば、並置されたペア132AおよびBは、並置されたペア132CおよびDに対向して位置し、並置されたペア132EおよびFは、並置されたペア132GおよびHに対向して位置する。
【0088】
理解されるように、この特定のマークにおいて、ワーキング・ゾーンの構成は回転対称(マークの中心を軸に±90、180、270、360度)である。これは典型的には、計測ツールの視野にわたる半径方向および軸方向のバラツキ、例えば、不均一光学収差およびツールに起因するズレ(TIS)を起こす照明によって引き起こされる半径方向および軸方向のバラツキの影響を低減するためになされる。半径方向のバラツキとは一般に、マークの中心からマークの外周領域へと広がるバラツキをいう。軸方向のバラツキとは一般に、マークの軸に沿った向きにおいて発生するバラツキをいい、例えばX方向においてはマークの左から右の部分へであり、Y方向においてはマークの下から上の部分へである。
【0089】
ワーキング・ゾーン132A〜Hのそれぞれは、複数の粗くセグメント化された線群140によって構成される周期的構造138を含む。粗くセグメント化された線群の線幅Dおよび間隔sは大きく変更しえる。示されるように、周期的構造138のそれぞれは実質的にその対応するワーキング・ゾーン132の周縁を埋める。理解されるように、周期的構造138はまたその対応するワーキング・ゾーン132のレイヤ上に配置される。
【0090】
議論を簡単にするために、周期的構造138は、第1ワーキング・グループ134と関連する第1周期的構造138Aと、第2ワーキング・グループと関連する第2周期的構造138Bとに分類されえる。示されるように、第1周期的構造138Aはすべて同じ向きに方向づけられている。つまり粗くセグメント化された線群140は平行で互いに水平に位置する。第2周期的構造138Bもすべて同じ向きに方向づけられている(第1周期的構造とは異なるが)。つまり粗くセグメント化された線群140は平行で互いに垂直に位置する。よって第1ワーキング・グループ134内の周期的構造138Aは、第2ワーキング・グループ136内の周期的構造138Bに対して直交する。
【0091】
ある実施形態においては、並置される周期的構造の粗くセグメント化された線群は互いにアラインされている。つまりもし異なるレイヤを無視するなら、連続的なグレーティングをなすように見える。例えばワーキング・ゾーン132Aの粗くセグメント化された線は、ワーキング・ゾーン132Bの粗くセグメント化された線とアラインされ、ワーキング・ゾーン132Cの粗くセグメント化された線は、ワーキング・ゾーン132Dの粗くセグメント化された線とアラインされる。さらにワーキング・ゾーン132Eの粗くセグメント化された線は、ワーキング・ゾーン132Fの粗くセグメント化された線とアラインされ、ワーキング・ゾーン132Gの粗くセグメント化された線は、ワーキング・ゾーン132Hの粗くセグメント化された線とアラインされる。
【0092】
図10は、本発明の他の実施形態による重ね合わせマーク150の上から見た平面図である。この特定の実施形態においては、粗くセグメント化された線140は複数の細かくセグメント化された要素152によって形成される。細かくセグメント化された要素152は図2で説明された細かくセグメント化された要素178に概ね対応する。
【0093】
図11は、本発明の他の実施形態による重ね合わせマーク170の上から見た平面図である。例として、重ね合わせマーク170は図6および9に示された重ね合わせマークに概ね対応する。重ね合わせマーク130と同様に、重ね合わせマーク170は、2つの異なる向きにおける2つのウェーハレイヤ間のレジストレーション誤差を決定するための8つのワーキング・ゾーン172A〜Hを含む(一方のレイヤはクロスハッチングで表され、もう一方はなしで表される)。ワーキング・ゾーンのそれぞれは、複数の粗くセグメント化された線176によって構成された周期的構造174を含む。重ね合わせマーク90と同様に、ワーキング・ゾーン172のそれぞれは、マーク170の中心に追加構造178を収めるように構成される。図示された実施形態においては、ワーキング・ゾーン172A〜Hは、マークの外側領域周辺に配置され、一方、追加構造178はマークの中心に配置される。追加構造178は、本願の背景で説明された標準的なボックスインボックス構造を表す。よってマーク170は、標準的なボックスインボックス計測ツールおよびアルゴリズムによって捕捉され測定されえる、つまり既存の装置およびソフトウェアが使用可能である。
【0094】
図12は、本発明の他の実施形態による重ね合わせマーク190の上から見た平面図である。例として、重ね合わせマーク190は図9のマークに対応する。図9のマークのように、図12の重ね合わせマーク170は、2つの別々の向きにおける重ね合わせを測定するように構成される。よって、マーク190は重ね合わせが測定されるのに必要な、それぞれの向きのための一つのマークの必要性をなくす。図9のマークとは対照的に、マーク190は三角形の形をしたワーキング・ゾーンを含む。重ね合わせマーク190は、テストされているウェーハのレイヤが完全なアライメントにあるときに生じる構成で表されている。
【0095】
重ね合わせマーク190は、2つの異なる向きにおける2つのウェーハレイヤ間のレジストレーション誤差を決定するための複数のワーキング・ゾーン192を含む。示された実施形態において、重ね合わせマーク140は、その周縁を実質的に埋めるように構成された8つの三角形の形をしたワーキング・ゾーン192を含む。ワーキング・ゾーン192は、ウェーハの異なるレイヤ間のアライメントを計算するの用いられるマークの実際の領域を表す。前述のように、ワーキング・ゾーン192は空間的に互いに分離され、その結果、それらは隣接するワーキング・ゾーンの部分と重ならないようになっている。この特定の実施形態においては、ワーキング・ゾーン192のすべてが除外ゾーン193を介して分離されている。
【0096】
議論を進めるために、ワーキング・ゾーン192は、第1ワーキング・グループ194および第2ワーキング・グループ196にグループ分けされる。第1ワーキング・グループ194は、第1方向における重ね合わせ情報を提供するように構成される4つのワーキング・ゾーン192A〜Dを含む。例として、第1方向はY方向でありえる。4つのワーキング・ゾーン192A〜Dのうち、2つのワーキング・ゾーン192AおよびDは第1レイヤに設けられ、2つのワーキング・ゾーン192BおよびCは第2レイヤに設けられる(第1レイヤは実線で表され、第2レイヤは点線で表される)。理解されるように、このマーク構成について、重ね合わせ誤差がゼロの場合(図示のとおり)、ワーキング・ゾーン192AおよびD、およびワーキング・ゾーン192BおよびCの対称の中心195は正確に一致する。第2ワーキング・グループ196は、第1方向と垂直な第2方向における重ね合わせ情報を提供するように構成される4つのワーキング・ゾーン192E〜Hを含む。例として、第2方向はX方向でありえる。4つのワーキング・ゾーン192E〜Hのうち、2つのワーキング・ゾーン192EおよびHは第1レイヤに設けられ、2つのワーキング・ゾーン192FおよびGは第2レイヤに設けられる(第1レイヤは実線で表され、第2レイヤは点線で表される)。上述と同様に、このマーク構成について、重ね合わせ誤差がゼロの場合(図示のとおり)、ワーキング・ゾーン192EおよびH、およびワーキング・ゾーン192FおよびGの対称の中心197は正確に一致する。さらにワーキング・ゾーン192の全てはマークの中心に対して等しく位置する。
【0097】
理解されるように、グループ194および196のそれぞれは「X字」構成のマークを表す。例えばワーキング・グループ194は、同じ第1レイヤ上にあり、互いに対角線上に対向する位置にあるワーキング・ゾーン192AおよびDと、同じ第2レイヤ上にあり、互いに対角線上に対向する位置にあるワーキング・ゾーン192BおよびCを含む。さらにワーキング・ゾーン192AおよびDは、ワーキング・ゾーン192BおよびCに対して角度がつけられている。さらに、ワーキング・ゾーン192Aは空間的にワーキング・ゾーン192Dからオフセットが設けられており、ワーキング・ゾーン192Bは空間的にワーキング・ゾーン192Dからオフセットが設けられている。
【0098】
さらにワーキング・グループ136は、同じ第1レイヤ上にあり、互いに対角線上に対向する位置にあるワーキング・ゾーン192EおよびHと、同じ第2レイヤ上にあり、互いに対角線上に対向する位置にあるワーキング・ゾーン192FおよびGを含む。さらにワーキング・ゾーン192EおよびHは、ワーキング・ゾーン192FおよびGに対して角度がつけられている。さらに、ワーキング・ゾーン192Eは空間的にワーキング・ゾーン192Hからオフセットが設けられており、ワーキング・ゾーン192Fは空間的にワーキング・ゾーン192Gからオフセットが設けられている。本質的に、この特定のマークは、互いに対角線上にある2つの「X字」構成のマーク群、つまりワーキング・グループ194およびワーキング・グループ196を作る。
【0099】
さらに詳述するなら、あるレイヤ上のワーキング・ゾーンは、一般に他のレイヤ上のワーキング・ゾーンに対して並置される。例えば第1ワーキング・グループにおいて、ワーキング・ゾーン192Aはワーキング・ゾーン192Bに対して並置され、ワーキング・ゾーン192Cはワーキング・ゾーン192Dに対して並置される。同様に、第2ワーキング・グループにおいて、ワーキング・ゾーン192Eはワーキング・ゾーン192Hに対して並置され、ワーキング・ゾーン192Fはワーキング・ゾーン192Gに対して並置される。このマーク構成について、重ね合わせがゼロの場合(図示のように)、ワーキング・ゾーン192のすべてがマークの中心に対して等しく位置する。さらに、ワーキング・グループ群のそれぞれの中で、並置されたペアはグループ内の他の並置されたペアに対して対向する関係に(例えば上/下および右/左に)位置する。例えば、並置されたペア192AおよびBは、並置されたペア192CおよびDに対向して位置し、並置されたペア192EおよびFは、並置されたペア192GおよびHに対向して位置する。
【0100】
理解されるように、この特定のマークにおいて、ワーキング・ゾーンの構成は中心または周縁を他のレイヤについてずらせることなく、回転対称(マークの中心を軸に±90、180、270、360度)である。つまりマークは不変である。これは典型的には、計測ツールの視野にわたる半径方向および軸方向のバラツキ、例えば、不均一光学収差およびツールに起因するズレ(TIS)を起こす照明によって引き起こされる半径方向および軸方向のバラツキの影響を低減するためになされる。
【0101】
ワーキング・ゾーン192のそれぞれは、複数の粗くセグメント化された線群200によって構成される周期的構造198を含む。粗くセグメント化された線群の線幅Dおよび間隔sは大きく変更しえる。示されるように、周期的構造198のそれぞれは実質的にその対応するワーキング・ゾーン192の周縁を埋める。理解されるように、周期的構造198はまたその対応するワーキング・ゾーン192のレイヤ上に配置される。
【0102】
議論を簡単にするために、周期的構造198は、第1ワーキング・グループ194と関連する第1周期的構造198Aと、第2ワーキング・グループ196と関連する第2周期的構造198Bとに分類されえる。示されるように、第1周期的構造198Aはすべて同じ向きに方向づけられている。つまり粗くセグメント化された線群190Aは平行で互いに水平に位置する。第2周期的構造198Bもすべて同じ向きに方向づけられている(第1周期的構造とは異なるが)。つまり粗くセグメント化された線群198Bは平行で互いに垂直に位置する。よって第1ワーキング・グループ194内の周期的構造198Aは、第2ワーキング・グループ196内の周期的構造198Bに対して直交する。さらに、FOV内にそれぞれのゾーンを収めるために、粗くセグメント化された線190は、マークの外側領域からマークの内側領域へと移るにつれてその長さを減少させる。図示はされないが、このマークをさらに改善するために、粗くセグメント化された線は、複数の細かくセグメント化された要素によって形成されえる。
【0103】
図13は、本発明の他の実施形態による重ね合わせマーク210の上から見た平面図である。示されるようにマーク210は、図12のマーク190と同じおおまかなレイアウトおよび特徴を持つ。つまり8つの三角形の形をしたワーキング・ゾーンを持つ。しかしマーク210はマークの中心を2つのレイヤのうちの一つの上に形成されたグレーティングパターン212についてずらしている点でマーク190とは異なる。グレーティングパターン212は、コントラストまたは粒度のために、あるレイヤにおけるマークの質が他のレイヤにおけるマークの質よりも低いときに典型的には用いられる。すなわちコントラストが低いときのレイヤ内の情報(例えばエッジ)は増す。あるいは、あるレイヤについてFOVの中心をずらすことはプロセスダメージからそれを保護するかもしれない。グレーティングパターン212は大きく変更しえる。例えばグレーティングパターンはいかなる本数の線群をも、いかなる数の分布およびサイズで含みえる。この特定の実施形態においては、グレーティングパターンは第2レイヤ上に形成され、マークの中心の周りに方向が交互に変わる(例えばXおよびY方向)2つの粗くセグメント化された線214のグループからなる。
【0104】
図14は、本発明の他の実施形態による重ね合わせマーク220の上から見た平面図である。例として、重ね合わせマーク220は図9に示す重ね合わせマークに概ね対応する。図9のマークのように、重ね合わせマーク220は、2つの別々の向きにおける重ね合わせを測定するように構成される。よって、マーク220は重ね合わせが測定されるのに必要な、それぞれの向きのための一つのマークの必要性をなくす。重ね合わせマーク220は、テストされているウェーハのレイヤが完全なアライメントにあるときに生じる構成で表されている。
【0105】
重ね合わせマーク220は、2つの異なる向きにおける2つのウェーハレイヤ間のレジストレーション誤差を決定するための複数のワーキング・ゾーン222を含む。示された実施形態において、重ね合わせマーク222は、その周縁を実質的に埋めるように構成された16個の正方形の形をしたワーキング・ゾーン222を含む。ワーキング・ゾーン222のそれぞれは粗くセグメント化された線の周期的構造を含む。図示はされないが、ある実施形態では粗くセグメント化された線は複数の細かくセグメント化された要素によって、例えばここで説明されたり図示されたりしたいかなる構成(図3〜5)によって形成されてもよい。
【0106】
16個のワーキング・ゾーンのうち、8個のワーキング・ゾーン222AはX方向を向き、8個のワーキング・ゾーン222BはY方向を向いている(そこに配置される周期的構造によって示されるように)。8個のワーキング・ゾーン222のうち、与えられたいかなる向き(AまたはB)においても、4つのワーキング・ゾーン222’は第1レイヤ上に印刷され(クロスハッチングで表される)、一方、4つのワーキング・ゾーン222”は第2レイヤ上に印刷される(クロスハッチングなしで表される)。ワーキング・ゾーンの向きはさまざまな方法で説明されえる。例えばワーキング・ゾーン222は、正方形の形をしたマーク220の4つの角を形成する4つのグループ224A〜Dに分布されえる。これらのグループ224A〜Dのそれぞれは、異なるレイヤ上に異なる方向に形成されるワーキング・ゾーンによって等しく代表される。すなわち、それぞれのグループは、例えばワーキング・ゾーン222A’、222A”、222B’および222B”の、4つの異なるワーキング・ゾーンを含む。
【0107】
ワーキング・ゾーン222は4つのグループ224E〜Hに分布されてもよく、これらのそれぞれは「X字」構成のマーク(オフセットされてはいるが)を表す。この場合、「X字」構成のマークは3x3のワーキング・ゾーングループの角によって形成される。4つのグループのうち、2つのグループはX方向における重ね合わせを決定し、2つのグループはY方向における重ね合わせを決定する。例えば、対角線上に対向し、空間的にオフセットされたワーキング・ゾーン222B’および222B”を含むワーキング・グループ224EおよびFは、Y方向における重ね合わせを決定する。さらに対角線上に対向し、空間的にオフセットされたワーキング・ゾーン222A’および222A”を含むワーキング・グループ224GおよびHは、X方向における重ね合わせを決定する。
【0108】
図15は、本発明の他の実施形態による重ね合わせマーク240の上から見た平面図である。例として、重ね合わせマーク240は図14に示す重ね合わせマークに概ね対応する。図13の重ね合わせマークのように、重ね合わせマーク240は、2つの別々の向きにおける重ね合わせを測定するように構成される。よって、マーク240は重ね合わせが測定されるのに必要な、それぞれの向きのための一つのマークの必要性をなくす。重ね合わせマーク240は、テストされているウェーハのレイヤが完全なアライメントにあるときに生じる構成で表されている。
【0109】
重ね合わせマーク240は、2つの異なる向きにおける2つのウェーハレイヤ間のレジストレーション誤差を決定するための複数のワーキング・ゾーン242を含む。示された実施形態において、重ね合わせマーク240は、その周縁を実質的に埋めるように構成された16個の正方形の形をしたワーキング・ゾーン242を含む。ワーキング・ゾーン242のそれぞれは粗くセグメント化された線の周期的構造を含む。図示はされないが、ある実施形態では粗くセグメント化された線は複数の細かくセグメント化された要素によって形成されてもよい。
【0110】
16個のワーキング・ゾーンのうち、8個のワーキング・ゾーン242AはX方向を向き、8個のワーキング・ゾーン242BはY方向を向いている(そこに配置される周期的構造によって示されるように)。8個のワーキング・ゾーン242のうち、与えられたいかなる向き(AまたはB)においても、4つのワーキング・ゾーン242’は第1レイヤ上に印刷され(クロスハッチングで表される)、一方、4つのワーキング・ゾーン242”は第2レイヤ上に印刷される(クロスハッチングなしで表される)。ワーキング・ゾーンの向きはさまざまな方法で説明されえる。例えばワーキング・ゾーン242は、正方形の形をしたマーク240の4つの角を形成する4つのグループ244A〜Dに分布されえる。垂直な角度で対向するグループは同じであり、つまり、そこでのワーキング・ゾーンは同じように向いている。それぞれのグループ244A〜Dにおける4つのワーキング・ゾーンのうち、それらの2つは同じレイヤおよび向きを表し、それらの2つは異なるレイヤおよび向きを表す。垂直な角度で互いに対向するこれらのグループのワーキング・ゾーンは同一であり、すなわち、それらは同じレイヤおよび向きを表す。例えば、グループ244AおよびDは、対向するワーキング・ゾーン242A’および対向するワーキング・ゾーン242B”を含み、グループ244BおよびCは、対向するワーキング・ゾーン242B’および対向するワーキング・ゾーン242A”を含む。
【0111】
ワーキング・ゾーン242は4つのグループ244E〜Hに分布されてもよく、これらのそれぞれは「X字」構成のマーク(オフセットされてはいるが)を表す。この場合、「X字」構成のマークは3x3のワーキング・ゾーングループの角によって形成される。4つのグループのうち、2つのグループはX方向における重ね合わせを決定し、2つのグループはY方向における重ね合わせを決定する。例えば、対向するワーキング・ゾーン242B’および242B”を含むワーキング・グループ244EおよびFはY方向における重ね合わせを決定する。さらに、対向するワーキング・ゾーン242A’および242A”を含むワーキング・グループ244GおよびHはX方向における重ね合わせを決定する。
【0112】
図16は、本発明の他の実施形態による重ね合わせマーク250の上から見た平面図である。例として、重ね合わせマーク250は図13に示す重ね合わせマークに概ね対応する。図14の重ね合わせマークのように、重ね合わせマーク250は、2つの別々の向きにおける重ね合わせを測定するように構成される。よって、マーク250は重ね合わせが測定されるのに必要な、それぞれの向きのための一つのマークの必要性をなくす。重ね合わせマーク250は、テストされているウェーハのレイヤが完全なアライメントにあるときに生じる構成で表されている。
【0113】
重ね合わせマーク250は、2つの異なる向きにおける2つのウェーハレイヤ間のレジストレーション誤差を決定するための複数のワーキング・ゾーン252を含む。示された実施形態において、重ね合わせマーク250は、その周縁を実質的に埋めるように構成された16個の正方形の形をしたワーキング・ゾーン252を含む。ワーキング・ゾーン252のそれぞれは粗くセグメント化された線の周期的構造を含む。図示はされないが、ある実施形態では粗くセグメント化された線は複数の細かくセグメント化された要素によって形成されてもよい。
【0114】
16個のワーキング・ゾーンのうち、8個のワーキング・ゾーン252AはX方向を向き、8個のワーキング・ゾーン252BはY方向を向いている(そこに配置される周期的構造によって示されるように)。8個のワーキング・ゾーン252のうち、与えられたいかなる向き(AまたはB)においても、4つのワーキング・ゾーン252’は第1レイヤ上に印刷され(クロスハッチングで表される)、一方、4つのワーキング・ゾーン252”は第2レイヤ上に印刷される(クロスハッチングなしで表される)。示されるように、ワーキング・ゾーン252は4つのグループ254E〜Hに分布されてもよく、これらのそれぞれは「X字」構成のマーク(オフセットされてはいるが)を表す。この場合、「X字」構成のマークは3x3のワーキング・ゾーングループの角によって形成される。4つのグループのうち、2つのグループはX方向における重ね合わせを決定し、2つのグループはY方向における重ね合わせを決定する。例えば、対向するワーキング・ゾーン252B’および252B”を含むワーキング・グループ254EおよびFはY方向における重ね合わせを決定する。さらに、対向するワーキング・ゾーン252A’および252A”を含むワーキング・グループ254GおよびHはX方向における重ね合わせを決定する。
【0115】
図17は、本発明の他の実施形態による重ね合わせマーク270の上から見た平面図である。例として、重ね合わせマーク270は図13に示す重ね合わせマークに概ね対応する。図14の重ね合わせマークのように、重ね合わせマーク270は、2つの別々の向きにおける重ね合わせを測定するように構成される。よって、マーク270は重ね合わせが測定されるのに必要な、それぞれの向きのための一つのマークの必要性をなくす。重ね合わせマーク270は、テストされているウェーハのレイヤが完全なアライメントにあるときに生じる構成で表されている。
【0116】
重ね合わせマーク270は、2つの異なる向きにおける2つのウェーハレイヤ間のレジストレーション誤差を決定するための複数のワーキング・ゾーン272を含む。示された実施形態において、重ね合わせマーク270は、その周縁を実質的に埋めるように構成された16個の正方形の形をしたワーキング・ゾーン272を含む。ワーキング・ゾーン272のそれぞれは粗くセグメント化された線の周期的構造を含む。図示はされないが、ある実施形態では粗くセグメント化された線は複数の細かくセグメント化された要素によって形成されてもよい。
【0117】
16個のワーキング・ゾーンのうち、8個のワーキング・ゾーン272AはX方向を向き、8個のワーキング・ゾーン272BはY方向を向いている(そこに配置される周期的構造によって示されるように)。8個のワーキング・ゾーン272のうち、与えられたいかなる向き(AまたはB)においても、4つのワーキング・ゾーン272’は第1レイヤ上に印刷され(クロスハッチングで表される)、一方、4つのワーキング・ゾーン272”は第2レイヤ上に印刷される(クロスハッチングなしで表される)。さらに8個のワーキング・ゾーン272のうち、与えられたいかなる向き(AまたはB)においても、4つのワーキング・ゾーン272は、第1周期を持つ周期的構造Mを有し(細い線で表される)、一方、4つのワーキング・ゾーン272は、第1周期とは異なる第2周期を持つ周期的構造Nを有する(太い線で表される)。
【0118】
ワーキング・ゾーンの向きはさまざまな方法で説明されえる。例えばワーキング・ゾーン272は、正方形の形をしたマーク270の4つの角を形成する4つのグループ274A〜Dに分布されえる。垂直な角度で対向するグループは同じであり、つまり、そこでのワーキング・ゾーンは同じように向いている。これらのグループ274A〜Dのそれぞれは4つの異なるワーキング・ゾーンを含み、これらはレイヤ、向き、または周期の異なる組み合わせ表しえる。これらのグループの中で垂直な角度で互いに対向するワーキング・ゾーンは、同じ向きではあるが、異なるレイヤおよび周期を表す。例えば、グループ272AおよびDは、ワーキング・ゾーン272B−N’に対向するワーキング・ゾーン272A−M’、およびワーキング・ゾーン272B−N”に対向するワーキング・ゾーン272A−M”を含み、グループ272BおよびCは、ワーキング・ゾーン272A−N’に対向するワーキング・ゾーン272B−M’、およびワーキング・ゾーン272A−N”に対向するワーキング・ゾーン272B−M”を含む。
【0119】
ワーキング・ゾーン272は4つのグループ274E〜Hに分布されてもよく、これらのそれぞれは「X字」構成のマーク(オフセットされてはいるが)を表す。この場合、「X字」構成のマークは3x3のワーキング・ゾーングループの角によって形成される。4つのグループのうち、2つのグループはX方向における重ね合わせを決定し、2つのグループはY方向における重ね合わせを決定する。さらに、これらの2つは第1周期を表し、これらの2つは第2周期を表す。例えば、対向するワーキング・ゾーン272B−M’および272B−M”を含むワーキング・グループ274Eは、Y方向における重ね合わせを決定する第1周期を持ち、対向するワーキング・ゾーン272B−N’および272B−N”を含むワーキング・グループ274Fは、Y方向における重ね合わせを決定する第2周期を持つ。さらに、対向するワーキング・ゾーン272A−M’および272A−M”を含むワーキング・グループ274Gは、X方向における重ね合わせを決定する第1周期を持ち、対向するワーキング・ゾーン272A−N’および272A−N”を含むワーキング・グループ274Hは、X方向における重ね合わせを決定する第2周期を持つ。
【0120】
理解されるように、この構成は、2つのグレーティング周期のうちの一つについて改善されたプロセスロバスト性および/または改善されたコントラストを実現し、それにより特定のプロセスについて最適化された周期および/または線幅の選択を可能にする。
【0121】
図18は、本発明の他の実施形態による重ね合わせマーク290の上から見た平面図である。例として、重ね合わせマーク290は図13に示す重ね合わせマークに概ね対応する。図14の重ね合わせマークのように、重ね合わせマーク290は、2つの別々の向きにおける重ね合わせを測定するように構成される。よって、マーク290は重ね合わせが測定されるのに必要な、それぞれの向きのための一つのマークの必要性をなくす。図13の重ね合わせマークとは異なり、重ね合わせマーク290はまた3つの連続するウェーハのレイヤ群の間の、または単一のウェーハのレイヤ上の3つの別々に生成されたパターン群の間の相対的なズレを決定するように構成される。議論を簡単にするために、重ね合わせマーク290は基板上の異なるレイヤ群間の重ね合わせを測定する場合について説明される。しかしこの図の重ね合わせマークはまた、基板の単一のレイヤ上の2つ以上の別々に生成されたパターン群を測定するにも用いることができることに注意されたい。重ね合わせマーク290は、テストされているウェーハのレイヤが完全なアライメントにあるときに生じる構成で表されている。
【0122】
重ね合わせマーク290は、2つの異なる向きにおける2つのウェーハレイヤ間のレジストレーション誤差を決定するための複数のワーキング・ゾーン292を含む。示された実施形態において、重ね合わせマーク290は、その周縁7を実質的に埋めるように構成された16個の正方形の形をしたワーキング・ゾーン292を含む。ワーキング・ゾーン292のそれぞれは粗くセグメント化された線の周期的構造を含む。図示はされないが、ある実施形態では粗くセグメント化された線は複数の細かくセグメント化された要素によって形成されてもよい。
【0123】
16個のワーキング・ゾーン292のうち、8個のワーキング・ゾーン292AはX方向を向き、8個のワーキング・ゾーン292BはY方向を向いている(そこに配置される周期的構造によって示されるように)。さらに、8個のワーキング・ゾーン292’は第1レイヤ上に印刷され(クロスハッチングで表される)、4つのワーキング・ゾーン292”は第2レイヤ上に印刷され(クロスハッチングなしで表される)、4つのワーキング・ゾーン292”’は第3レイヤ上に印刷される(塗りつぶしで表される)。さらに8個のワーキング・ゾーン272のうち、与えられたいかなる向き(AまたはB)においても、4つのワーキング・ゾーン272は、第1周期を持つ周期的構造Mを有し(細い線で表される)、一方、4つのワーキング・ゾーン272は、第1周期とは異なる第2周期を持つ周期的構造Nを有する(太い線で表される)。この特定の実施形態においては、第1レイヤ(シングルプライムでも表される)は第2レイヤ(ダブルプライムでも表される)の上に配置され、第2レイヤは第3レイヤ(トリプルプライムでも表される)の上に配置される。例として、第1レイヤはレジストレイヤを表し、第2レイヤは第1金属レイヤを表し、第3レイヤは第2金属レイヤを表す。
【0124】
上述の構成は大きく変更できることに注意されたい。例えば8個のワーキング・ゾーンのうち、与えられたいかなる向き(AまたはB)においても、2つは第1レイヤ上に印刷され、一方、グレーティングのそれぞれの追加ペアは3つの以前のレイヤ群のうちのいずれにも印刷されえる。
【0125】
上述の重ね合わせマークの全ては、計測ツールによって生じる不均一性(例えば収差および照明)および/またはプロセスによって生じる不均一性(例えばディッシングおよびエロージョン)を少なくともバランスさせるように構成される。例えばマークのいくつかは重ね合わせ測定に対する半径方向のバラツキの影響を低減させるように構成され、一方、マークの他のものは軸方向のバラツキの影響を低減させるように構成される。
【0126】
図19は、上述のいずれかのマークにおける重ね合わせを画像化を介して測定するのに用いられる重ね合わせ測定システムまたは計測ツール320の簡略化された概略図である。画像化は、多くのユーザの支持と、ユーザがすぐに利用できる要素とを持った非常に発達した技術である。一般に知られるように、画像化は大量の情報を一度に集める効率的な方法である。すなわちマーク内の全ての点が同時に測定されえる。さらに画像化はユーザが実際にウェーハ上で測定されているものを見ることを可能にする。さまざまな要素の寸法は、この実施形態をよりよく示すために強調されている。重ね合わせ測定システム320は、ウェーハ324上に配置された一つ以上の重ね合わせターゲット322を介して重ね合わせ誤差を決定するように構成される。たいていの場合、重ね合わせターゲット322は、ウェーハ324のスクライブライン内に位置する。一般に知られるように、スクライブラインは、ウェーハを複数のダイにソーイングおよびダイシングするために用いられるウェーハの領域群である。しかしこれは限定事項ではなく、ターゲットの位置はそれぞれの装置設計によって変えることができる。示されるように、重ね合わせ測定システム320は、光学アセンブリ326およびコンピュータ328を含む。光学アセンブリ326は一般に、重ね合わせターゲット322の画像をキャプチャするように構成される。一方、コンピュータは一般に、キャプチャされた画像から重ね合わせターゲットの要素の相対的ズレを計算するように構成される。
【0127】
示された実施形態において、光学アセンブリ326は、光332を第1パス334に沿って放射するように構成される光源330(例えば非干渉性または可干渉性のもの。一般には非干渉性のほうが好ましい。)を含む。光332は第1レンズ335に入射し、これが光332を、光332を通すように構成された光ファイバライン336に収束させる。光332が光ファイバライン336から出射すると、光は第2レンズ338を通り、これは光332を平行光束にするよう構成される。平行光束になった光332はそれからパスを進み、やがてビームスプリッタキューブ340に届き、これは平行光束になった光をパス342に導くように構成される。パス342を進む平行光束になった光332は、対物レンズ344に入射し、これは光332をウェーハ324上に収束させる。
【0128】
光332は、ウェーハ324で反射し、それから対物レンズ344で集光される。理解されるように、対物レンズ344で集光された反射した光332は、一般にウェーハ324の一部分の画像を含み、例えば重ね合わせターゲット322の画像を含む。光322が対物レンズ344を離れると、それはパス342に沿って(反対向きに)進み、ビームスプリッタ340に届く。一般に対物レンズ344は、入射光がどのように操作されたかについて、入射した光を光学的に反対に操作する。すなわち対物レンズ344は光332を再び平行光束にし、光332をビームスプリッタ340に導く。ビームスプリッタ340は光332をパス346上に導くように構成される。パス346を進む光332はそれからチューブレンズ350によって集光され、チューブレンズは光332をカメラ352上に収束させ、カメラはウェーハ324の画像を、より具体的にはターゲット322の画像を記録する。例として、カメラ352は電荷結合デバイス(CCD)、2次元CCD、またはリニアCCDアレイでありえる。たいていの場合、カメラ352は記録された画像を電気信号に変換し、それがコンピュータに送られて用いられる。電気信号を受け取ってからコンピュータ328は画像の重ね合わせ誤差を計算する分析アルゴリズムを実行する。分析アルゴリズムは以下に詳述される。
【0129】
システム320はさらに、ウェーハ324からの画像をグラブするためにコンピュータ328およびカメラ352とともに動作するフレームグラバ354を含む。フレームグラバ354は別個の要素として示されているが、フレームグラバ354はコンピュータ328および/またはカメラ352の一部であってもよいことに注意されたい。フレームグラバ354は典型的に2つの機能を持つ。すなわちターゲット捕捉および画像グラブである。ターゲット捕捉のあいだ、フレームグラバ354およびコンピュータ328はウェーハステージ356と協調して、ターゲットをフォーカスが合った状態にし、ターゲットを視野(FOV)の中心になるべく近く位置させる。たいていの場合、フレームグラバは複数の画像(例えば重ね合わせを測定するために用いられる画像ではなく)をグラブし、ステージは、ターゲットが正しくX、YおよびZ方向に位置するまでウェーハをこれらのグラブ間で動かす。理解されるように、XおよびY方向は一般に視野(FOV)に対応し、一方、Z方向は一般にフォーカスに対応する。いったんフレームグラバがターゲットの正しい位置を決定すると、これらの2つの機能の第2が現実化する(例えば画像をグラブ)。画像グラブの間、フレームグラバ354は最終のグラブまたはグラブ群を行い、正しく位置するターゲット画像、すなわち重ね合わせを決定するのに用いられる画像を格納する。
【0130】
画像をグラビングしたあと、情報はグラビングされた画像から抽出されてレジストレーション誤差を決定しなければならない。抽出された情報はディジタル情報または波形である。半導体ウェーハのさまざまなレイヤ間のレジストレーション誤差を決定するために、さまざまなアルゴリズムが用いられえる。例えば、周波数領域ベースのアプローチ、空間領域ベースのアプローチ、フーリエ変換アルゴリズム、ゼロクロス検出、相関および相互相関アルゴリズムなどが用いられえる。
【0131】
ここで説明されたマーク(例えば周期的構造を含むマーク)を介して重ね合わせを決定するのに提案されるアルゴリズムは一般にいくつかのグループに分類される。例えば一つのグループは位相回復ベースの分析である。周波数領域ベースのアプローチとしてしばしば参照される位相回復ベースの分析は典型的には、周期的構造の線に沿った画素の総和をとることによってワーキング・ゾーンのそれぞれを折り畳むことによって1次元の信号を作り出すことを含む。利用できる位相回復アルゴリズムの例は、Bareketに付与された米国特許第6,023,338号、2000年6月22日に出願された米国特許出願第09/603,120号(弁護士事件番号KLA1P026)、および2000年9月1日に出願された米国特許出願第09/654,318号(弁護士事件番号KLA1P029)に記載され、これらの全体がここで参照によって援用される。
【0132】
利用できるさらに他の位相回復アルゴリズムは、2000年10月26日に出願された米国特許出願第09/697,025号に記載され、これもここで参照によって援用される。これらで開示されている位相回復アルゴリズムは信号を基本信号周波数の高調波のセットに分解する。異なる高調波の振幅および位相の定量的比較によって、信号の対称性およびスペクトル成分に関する重要な情報が提供される。特に同一信号のうちの第1および第2またはさらに高い高調波間の位相差(それらの振幅で校正される)によって、信号の非対称性の度合いが測定できる。そのような非対称性は、プロセスに起因する構造的特徴(ウェーハに起因するズレ)とともに計測ツールにおける光学的ミスアライメントおよび照明の非対称性(ツールに起因するズレ)が大きく貢献する。同じプロセスレイヤ上の視野の異なる部分から捕捉された信号についての第1および第2高調波の位相の間のこのミスレジストレーションによって、計測ツールの光学収差についての独立した情報が提供される。最後に、既知の向きにおける測定からのこれらのミスレジストレーションを、ウェーハを180度回転させたあとのそれと比較することによって、非対称性による、ツールに起因するズレとウェーハに起因するズレとを分離することが可能になる。
【0133】
利用できるさらに他の位相回復アルゴリズムは、ウェーブレット分析である。ウェーブレット分析は、上の節で説明したこととある程度、類似するが、ダイナミックウィンドウが1次元信号にわたって移動し、位相推定がより局所的に行われる。これはチャープ化された周期的構造の場合に用いられるときに特に興味深い。
【0134】
他のグループは強度相関ベースの方法に関する。このアプローチにおいては、それぞれのプロセスレイヤについての対称の中心が、同一のプロセスレイヤからの、ある信号と、マークの反対部分からの反転された信号との相互共分散を計算することによって、別々に見いだされる。この技術は今日、ボックスインボックスのターゲットについて用いられている技術と似ている。
【0135】
上記技術は例として挙げられたものであり、これらはテストされ、よいパフォーマンスを示してきた。重ね合わせ計算のための他の代替アルゴリズム方法には、自己および相互相関技術のさまざまな変化形、誤差相関技術、絶対差分の最小化のような誤差最小化技術、差分平方最小化、ゼロクロス検出を含むスレッショルドベースの技術、およびピーク検出が含まれる。また2つの1次元パターン間の最適化マッチングを求めるために用いられえる動的プログラミングアルゴリズムも存在する。上述のように分析アルゴリズムおよびアプローチは、前述のさまざまな重ね合わせマークの全てについて利用されえる。
【0136】
重要なことは、上述の概略図およびその説明は限定事項ではなく、重ね合わせ画像システムは多くの他の形態で実施されてもよいことである。例えば、重ね合わせ測定ツールは、ウェーハの表面上に形成された重ね合わせマークのクリチカルな局面を解決するように構成された適切でかつ既知の多くの画像化または計測ツールのいずれであってもよい。例えば重ね合わせ測定ツールは、ブライトフィールド画像化顕微鏡法、ダークフィールド画像化顕微鏡法、フルスカイ画像化顕微鏡法、位相コントラスト顕微鏡法、偏光画像化顕微鏡法、および可干渉プローブ顕微鏡法を適用してもよい。また、単一および複数の画像化方法が用いられてターゲットの画像をキャプチャしてもよいことも考えられる。これらの方法には例えば、シングルグラブ、ダブルグラブ、シングルグラブ可干渉プローブ顕微鏡法(CPM)およびダブルグラブCPMの方法が含まれる。これらのタイプのシステムはとりわけ、商業ベースで容易に入手可能である。例として、単一および複数画像化方法はカリフォルニア、サンノゼのKLA−Tencorから容易に入手可能である。
【0137】
図20Aは、本発明の実施形態によって重ね合わせを計算する方法360を図示する簡略化されたフロー図である。議論を簡単にするために、この方法は図9に示されるマークを用いて説明される。このマークはフロー図の隣の図20Bに示される。方法360はステップ362で始まり、ここでキャプチャされた画像からワーキング・ゾーンが選択される。例として、X方向重ね合わせを計算するために、ワーキング・ゾーン132E〜Hが選択され、Y方向重ね合わせを計算するために、ワーキング・ゾーン132A〜Dが選択される。ワーキング・ゾーンを選択してから、プロセスフローはステップ364に進み、ここで選択されたワーキング・ゾーンのそれぞれについて情報を持った信号が生成される。これはY重ね合わせ計算のためにX方向について平均化することによって、かつY重ね合わせ計算のためにX方向について平均化することによって、2次元画像群を1次元信号に折り畳むことによって実現できる。例として、図20Cは、ワーキング・ゾーン132Aについての第1折り畳み1次元信号と、ワーキング・ゾーン132Bについての第2折り畳み1次元信号とを示す。図20Cは、並置されたワーキング・ゾーン群のペアのいずれをも示しえることに注意されたい。信号を生成したあと、プロセスフローはステップ366に進み、ここで重ね合わせが信号群を比較することによって決定される。
【0138】
ある実施形態においては、これは共分散ベースの重ね合わせアルゴリズムを用いて達成され、これは同じプロセスレイヤに属するパターン間の相互相関の計算に基づく。その結果、2つのレイヤの対称の中心が求められ、それらのミスレジストレーションが実質的に重ね合わせである。このアルゴリズムのフロー図は図21に示される。
【0139】
他の実施形態においては、これはフーリエ分解重ね合わせアルゴリズムを用いて達成され、これはグレーティング構造の周期的特徴を利用している。このアルゴリズムは、ターゲットパターンから得られた信号を一連のフーリエ高調波に分解する。グレーティングパターンの正規化ピッチに校正された異なるプロセスレイヤからの同じオーダーの高調波間の位相比較は、重ね合わせ計算の基礎としてはたらく。したがってこのアルゴリズムは、いくつかの独立した重ね合わせ結果−すなわちそれぞれのフーリエオーダーについて一つの結果を提供する。このアルゴリズムのフロー図は図22に示される。
【0140】
図21は、本発明のある実施形態によって共分散を用いて重ね合わせを計算する方法370を図示するフロー図である。例として、方法370は図20Aのステップ366に概ね対応する。方法370はステップ372で始まり、ここでは信号の相互相関が計算される。これは典型的には対向するワーキング・ゾーンについて行われる。図9のマークについて、信号の相互相関は、ワーキング・ゾーンのペア132A対反転された132D、132B対反転された132C、132E対反転された132H、および132F対反転された132Gについて計算される。信号の相互相関が計算されたあとで、プロセスフローはステップ374に進み、ここで相互相関の最大(サブピクセル)の位置が求められる。これは典型的にはレイヤの両方について、すなわちクロスハッチングで表されるレイヤ1、およびクロスハッチングなしで表されるレイヤ2についてなされる。相互相関最大の位置が求められたあと、プロセスフローはステップ376に進み、ここで相互相関の最大位置の間の差分を計算することによって重ね合わせが決定される。例えば、ワーキング・ゾーン132EおよびH(レイヤ1)−ワーキング・ゾーン132FおよびG(レイヤ2)の相互相関最大の間の差分は、X方向のミスレジストレーションを決定する。さらに、ワーキング・ゾーン132AおよびD(レイヤ1)−ワーキング・ゾーン132BおよびC(レイヤ2)の相互相関最大の間の差分は、Y方向のミスレジストレーションを決定する。
【0141】
図22は、本発明のある実施形態によってフーリエ分解を用いて重ね合わせを計算する方法380を図示するフロー図である。例として、方法380は図20Aのステップ366に概ね対応する。方法380はステップ382で始まり、ここで信号はフーリエ級数にあてはめられ、それらの位相が抽出される。あてはめられ、抽出されたあと、プロセスフローはステップ384に進み、ここで並置されたワーキング・ゾーン間の位相差が求められる。例えばY方向においては、位相差はワーキング・ゾーン132Aおよび132Bの間として求められ、同様にワーキング・ゾーン132Cおよび132Dの間として求められる。さらにX方向においては、位相差はワーキング・ゾーン132Eおよび132Fの間として求められ、同様にワーキング・ゾーン132Gおよび132Hの間として求められる。位相差が求められたあと、プロセスフローはステップ386に進み、ここで与えられた向きについての前のステップの位相差間の差分を計算することによって重ね合わせが決定される。例えばワーキング・ゾーン132EおよびFの位相差と、ワーキング・ゾーン132GおよびHの位相差との間の差分の平均はX方向におけるミスレジストレーションを決定する。さらにワーキング・ゾーン132AおよびBの位相差と、ワーキング・ゾーン132CおよびDの位相差との間の差分の平均はY方向におけるミスレジストレーションを決定する。レイヤの位置に対応するデータを得るために、位相差はピッチによって乗算され、2πによって除算される。
【0142】
要約すれば、上述の原則にしたがった重ね合わせマークを設計する方法がここで説明される。
【0143】
図23は、本発明のある実施形態によって重ね合わせマークを設計する方法390を図示するフロー図である。例として重ね合わせマークは上述のいかなるものにも概ね対応しえる。重ね合わせマークは一般に、基板の2つ以上の連続するレイヤ間、または基板の単一のレイヤ上の2つ以上別々に生成されたパターン間の相対的なズレを決定するために提供される。本発明のある実施形態によれば重ね合わせマークは、重ね合わせマークのパターンを形成する複数の要素を備えている。これら要素のそれぞれは、重ね合わせをより精密または正確に測定するための情報を含むように構成される。例として要素は、ワーキング・ゾーン、粗くセグメント化された要素および細かくセグメント化された要素の周期的構造に概ね対応する。
【0144】
方法390はステップ392で始まり、ここでマークの第1要素の幾何学的配置が第1スケールにしたがって最適化される。これは典型的には、第1スケールの上限および下限を求め、上限および下限間の第1幾何学的配置の幾何学的配置を微調整することによって達成される。ある実施形態においては、第1スケールは計測カーネルスケールに対応し、これは重ね合わせが測定される2つの異なるレイヤまたはパターンについての情報を含む領域の境界を規定する。計測カーネルスケールは、10ミクロンオーダーの特性寸法を持つ。例えば計測カーネルスケールは約4ミクロンから約10ミクロンの範囲であり、より具体的には約5ミクロンから約10ミクロンの範囲である。
【0145】
たいていの場合、計測カーネルスケールは計測ツール限界、プロセス問題、および回路設計条件に基づく。計測ツール限界は一般に計測ツールに関連した限界をいう(ラインのツール群およびライン内の特定のツール)。例えば計測ツール限界は、重ね合わせを測定するのに用いられる計測ツールの視野のサイズおよび形状、効果的に重ね合わせを測定するのに必要なターゲット領域の最小量、および計測ツールの要素によって生じる非対称収差および照明フィールド分布を含む。プロセスロバスト性問題は一般に、エッチング、成膜、機械化学研磨(CMP)のようなプロセスのロバスト性に関連した制限をいう。例えば、プロセスダメージおよびプロセスバラツキの影響をより受けやすいマークの部分が存在しえ、よってそれらは避けなければならない。回路設計条件は一般に、全体的な回路パターンを設計するのに用いられるルールをいう。例えば回路デザインルールは、重ね合わせマークバジェットに関するスクライブライン制限を含み、すなわちマークは典型的にはウェーハのスクライブライン内に位置する。スクライブラインは、ウェーハがソーイングまたはダイシングによって複数のダイに分割されるウェーハ上の場所である。
【0146】
いったん第1スケールが特定されると、重ね合わせが測定されるレイヤまたはパターンに関する情報を一般に含む第1要素の幾何学的配置が微調整され、このスケール内で最もうまく機能するマークレイアウトが見いだされる。ある実施形態においては、第1要素はワーキング・ゾーンに対応し、これは重ね合わせマークの異なるレイヤまたはパターンを規定し、かつ重ね合わせ測定に用いられる重ね合わせマークの実際の領域を表す。「幾何学的配置」という語は、第1要素、つまりワーキング・ゾーンのサイズ、形状および/または分布を一般にいう。ある実施形態においては、微調整はマークの周縁(例えばFOV)を規定すること、および重ね合わせの測定に対する非対称性の影響を最小限にするよう構成される複数のワーキング・ゾーンにマークを分割することによって実現される。例として、ワーキング・ゾーンは、結果として得られる重ね合わせ測定におけるツールに起因するズレに対する光学収差および照明の影響を最小化するように構成されえる。さらにワーキング・ゾーンは、結果として得られる重ね合わせ測定におけるウェーハに起因するズレに対するプロセスバラツキの影響を最小化するように構成されえる。
【0147】
第1要素の幾何学的配置が最適化されたあと、プロセスフローはステップ394に進み、ここでマークの第2要素の幾何学的配置が第2スケールにしたがって最適化される。これは典型的には、第2スケールの上限および下限を求め、上限および下限間の幾何学的配置を微調整することによって達成される。ある実施形態においては、第2スケールは画像解像度スケールに対応し、これは与えられたプロセスレイヤ内の構造間の境界を規定する。画像解像度スケールは、1ミクロンオーダーの特性寸法を持つ。例えば画像解像度スケールは約0.3ミクロンから約2ミクロンの範囲であり、より具体的には約0.5ミクロンから約1ミクロンの範囲である。
【0148】
たいていの場合、画像解像度スケールは計測ツール限界およびプロセスロバスト性問題に基づく。計測ツール限界は一般に計測ツールに関連した限界をいう(ラインのツール群およびライン内の特定のツール)。例えば計測ツール限界は、ツールの画像解像度、つまり画像をキャプチャする能力、重ね合わせ誤差を計算するためにツールによって用いられるアルゴリズム、およびツールの収差および照明フィールド分布を含む。プロセスロバスト性問題は、エッチング、成膜、機械化学研磨(CMP)のようなウェーハ上のレイヤおよびパターンを形成するのに用いられる材料およびプロセスによって生じる制限を一般にいう。例えば、アルミニウムコーティングされた化学機械研磨されたタングステンのような特定のプロセスについては、研磨およびアルミニウム成膜プロセスから生じる非対称性の影響を低減するために第2要素の幾何学的配置は1ミクロンまたはそれ未満であることが有利である。その他の場合には、金属粒度が大きいなら、線が1ミクロンよりも大きく、例えば2ミクロン程度までであることが望ましい。
【0149】
いったん第2スケールが特定されると、エンコードされ計測ツールに転送されるマーク要素相対位置に関する実際の空間情報を一般に含む第2要素の幾何学的配置が微調整され、このスケール内で最もうまく機能するマークレイアウトが見いだされる。ある実施形態において第2要素は、第1要素のワーキング・ゾーンのそれぞれの中に位置する粗くセグメント化された線の周期的構造に対応する。「幾何学的配置」という語は、第2要素、つまり粗くセグメント化された線の周期的構造(例えば線幅および間隔)を一般にいう。ある実施形態においては、粗くセグメント化された線を用いた周期的構造は、ツールの画像解像度をプロセスとバランス取ることによってかの測定を向上させるように構成される。
【0150】
第2要素の幾何学的配置が最適化されたあと、プロセスフローはステップ396に進み、ここでマークの第3要素の幾何学的配置が第3スケールにしたがって最適化される。これは典型的には、第3スケールの上限および下限を求め、上限および下限間の第3要素の幾何学的配置を微調整することによって達成される。ある実施形態においては、第3スケールはリソグラフィ解像度スケールに対応し、これは与えられた構造内のサブ構造の境界を規定する。リソグラフィ解像度スケールは、0.1ミクロンオーダーの特性寸法を持つ。例えばリソグラフィ解像度スケールは約0.01ミクロンから約0.5ミクロンの範囲であり、より具体的には約0.05ミクロンから約0.18ミクロンの範囲である。
【0151】
たいていの場合、リソグラフィ解像度スケールは、回路デザインルール、プロセスロバスト性問題および計測ツール限界に基づく。回路デザインルールは、全体的な回路パターンをデザインするのに用いられるルールを一般にいう。例えば回路デザインルールは、回路デバイスの幾何学的配置(例えば最小寸法サイズおよび密度)を含む。プロセスロバスト性問題は、エッチング、成膜、化学機械研磨(CMP)のようなウェーハ上のレイヤおよびパターンを形成するのに用いられる材料およびプロセスによって生じる制限を一般にいう。計測ツール限界は一般に計測ツールに関連した限界をいう(ラインのツール群およびライン内の特定のツール)。例えば計測ツール限界は、ツールのコントラスト要件、つまりより小さなサブ構造で構成されるより大きな構造を解像する能力を含みうる。
【0152】
いったん第3スケールが特定されると、回路構造そのものを反映する情報を一般に含む第3要素の幾何学的配置が微調整され、このスケール内で最もうまく機能するマークレイアウトが見いだされる。ある実施形態において第3要素は、第2要素の周期的構造の粗くセグメント化された複数の線を形成する細かくセグメント化された要素に対応する。「幾何学的配置」という語は、第3要素、つまり細かくセグメント化された要素のサイズ、形状および/または分布を一般にいう。ある実施形態においては、細かくセグメント化された要素は、プロセスの悪影響を最小限にするよう構成されることで、重ね合わせ測定の正確するおよび精密さを改善する。他の実施形態においては、前に図3〜5で説明したように、ターゲットおよび実際のデバイス間でのステッパPPEのバラツキを最小化するように構造は最適化される。
【0153】
本発明はいくつかの好ましい実施形態について説明されてきたが、本発明の範囲に含まれる改変、組み合わせ、および等価物が存在する。
【0154】
例えば、本発明は半導体デバイス製造について説明されてきたが、本発明は、100nm露光波長にまで下がるフォトリソグラフィ、波長が10nmから100nmの極紫外線リソグラフィ、波長が10nmより小さいX線リソグラフィ、電子ビームリソグラフィ、イオンビームパターニング、またはこれらの複数の方法を用いた混合リソグラフィを含む、光学または光電子工学デバイスの微小製造、磁気記憶媒体または磁気記憶書き込み/読み出しまたは入力/出力デバイスの微小製造、リソグラフィパターニング一般を用いた微小製造のような、他のタイプのデバイスを製造するのにも適していることに注意されたい。
【0155】
さらに重ね合わせ測定のデータを供給する以外にも、周期的構造ターゲットは、ターゲット、ステッパおよび計測ツールの診断のための多くの追加情報(例えばコントラスト、シャープさ、粒度、捕捉クオリティおよび対称性測定基準)を提供することができる。例えば共分散およびフーリエ分解方法によって得られた重ね合わせの比較、精度、TIS、およびTISの変化の結果はそのような機械として機能する。同じ信号からの異なるフーリエ高調波間の位相差の測定によって、プロセス不完全性、収差または照明問題によるマークの対称性に関する重要な情報が得られる。180度だけ回転されたターゲットについて同じ分析を実行することによって、ウェーハの非対称性を計測ツールによるそれらから分離することが可能である。ターゲット構造によるFOV全体を埋めることによって、異なるワーキング・ゾーンを選択することができ、よって単一のターゲット内でのバラツキに関する情報を提供することができ、追加の最適化も可能になる。最後にグレーティングターゲットは、FOVにおけるターゲットのチルトのより簡単な診断のための機会を提供する。
【0156】
さらに、情報の1次元アレイを利用するとしてアルゴリズムが説明されてきたが、それらはまた情報の2次元アレイにも適用可能であることに注意されたい。
【0157】
さらに、マークは重ね合わせを測定するためにここでは説明されてきたが、それらは1以上の以下の測定または応用のために用いられてもよい。すなわちCD、露光モニタリング、レジストプロファイルモニタリング、フォーカスモニタリングなど。
【0158】
本発明による方法および装置を実現するには多くの代替方法が存在することに注意されたい。よって以下に添付の特許請求の範囲は、そのような改変、組み合わせ、および等価物も本発明の真の精神および範囲に入るとして解釈されるべきであると意図するものである。
【図面の簡単な説明】
【図1A】従来の重ね合わせマークの上から見た平面図である。
【図1B】従来の重ね合わせマークの上から見た平面図である。
【図2】本発明のある実施形態による重ね合わせマークの上から見た平面図である。
【図3】本発明のある実施形態による細かくセグメント化された周期的構造の部分側面立面図である。
【図4】本発明のある実施形態による細かくセグメント化された周期的構造の部分側面立面図である。
【図5A】本発明のある実施形態による細かくセグメント化された周期的構造の部分側面立面図である。
【図5B】本発明のある実施形態による細かくセグメント化された周期的構造の部分側面立面図である。
【図6】本発明の他の実施形態による重ね合わせマークの上から見た平面図である。
【図7】本発明の他の実施形態による重ね合わせマークの上から見た平面図である。
【図8】本発明の他の実施形態による重ね合わせマークの上から見た平面図である。
【図9】本発明の他の実施形態による重ね合わせマークの上から見た平面図である。
【図10】本発明の他の実施形態による重ね合わせマークの上から見た平面図である。
【図11】本発明の他の実施形態による重ね合わせマークの上から見た平面図である。
【図12】本発明の他の実施形態による重ね合わせマークの上から見た平面図である。
【図13】本発明の他の実施形態による重ね合わせマークの上から見た平面図である。
【図14】本発明の他の実施形態による重ね合わせマークの上から見た平面図である。
【図15】本発明の他の実施形態による重ね合わせマークの上から見た平面図である。
【図16】本発明の他の実施形態による重ね合わせマークの上から見た平面図である。
【図17】本発明の他の実施形態による重ね合わせマークの上から見た平面図である。
【図18】本発明の他の実施形態による重ね合わせマークの上から見た平面図である。
【図19】本発明のある実施形態による重ね合わせ測定システムの簡略化された概略図である。
【図20A】本発明のある実施形態によって重ね合わせを計算する方法を図示する簡略化されたフロー図である。
【図20B】本発明のある実施形態による図9の重ね合わせマークの上から見た平面図である。
【図20C】本発明のある実施形態による折り畳まれた1次元信号のペアを示す図である。
【図21】本発明のある実施形態によって共分散を用いて重ね合わせを計算する方法370を図示するフロー図である。
【図22】本発明のある実施形態によってフーリエ分解を用いて重ね合わせを計算する方法380を図示するフロー図である。
【図23】本発明のある実施形態によって重ね合わせマークを設計する方法のフロー図である。
【符号の説明】
10…ターゲット
12…内側ボックス
14…外側ボックス
70…マーク
71…周縁
72…ゾーン
72…ワーキング・ゾーン
74…周期的構造
76…線群
78…要素
79…FOV
80…除外ゾーン
81…ダークフィールド
82…クリアフィールド
84…第1周期的構造
85…第2周期的構造
90…マーク
92…ワーキング・ゾーン
94…周期的構造
96…追加構造
100…マーク
102…ワーキング・ゾーン
104…周期的構造
106…線
108…追加構造
110…マーク
112…ワーキング・ゾーン
114…周期的構造
116…要素
118…要素
130…マーク
132…ワーキング・ゾーン
133…除外ゾーン
134…第1ワーキング・グループ
136…第2ワーキング・グループ
138…周期的構造
140…マーク
144…FOV
150…マーク
152…要素
170…マーク
172…ワーキング・ゾーン
174…周期的構造
176…線
178…追加構造
178…要素
190…マーク
192…ワーキング・ゾーン
193…除外ゾーン
194…ワーキング・グループ
194…第1ワーキング・グループ
196…第2ワーキング・グループ
198…周期的構造
200…線群
210…マーク
212…グレーティングパターン
214…線
220…マーク
222…ワーキング・ゾーン
240…マーク
242…ワーキング・ゾーン
250…マーク
252…ワーキング・ゾーン
270…マーク
272…ワーキング・ゾーン
290…マーク
292…ワーキング・ゾーン
320…測定システム
322…ターゲット
324…ウェーハ
326…光学アセンブリ
328…コンピュータ
330…光源
332…光
334…第1パス
335…第1レンズ
336…光ファイバライン
338…第2レンズ
340…ビームスプリッタキューブ
342…パス
344…対物レンズ
346…パス
350…チューブレンズ
352…カメラ
354…フレームグラバ
356…ウェーハステージ

Claims (53)

  1. 基板の2つ以上の連続するレイヤ間の相対的ズレを決定する重ね合わせマークであって、前記重ね合わせマークは、
    第1方向における前記基板の第1および第2レイヤ間の相対的ズレを決定する少なくとも一つのテストパターンを有し
    前記テストパターンはワーキング・ゾーンの第1セットと、ワーキング・ゾーンの第2セットとを有し、
    前記第1セットは前記基板の第1レイヤ上に配置され、対角線上に対向し互いに空間的にオフセットされた少なくとも2つのワーキング・ゾーンを有し、
    前記第2セットは前記基板の第2レイヤ上に配置され、対角線上に対向し互いに空間的にオフセットされた少なくとも2つのワーキング・ゾーンを有し、
    前記ワーキング・ゾーンのそれぞれは、ワーキング・ゾーンの中に位置する周期的構造を有し、前記周期的構造のそれぞれは複数の粗くセグメント化された要素を含み、前記粗くセグメント化された要素のそれぞれは複数の細かくセグメント化された要素から形成されている、重ね合わせマーク。
  2. 請求項1に記載の重ね合わせマークであって、前記テストパターン画像は、画像ツールを介してキャプチャされ、前記キャプチャされた画像から前記ワーキング・ゾーンの前記相対的な変位を計算するために分析アルゴリズムが用いられる重ね合わせマーク。
  3. 請求項1に記載の重ね合わせマークであって、前記ワーキング・ゾーンはマークの周縁内に位置する重ね合わせマーク。
  4. 重ね合わせマークの画像をキャプチャするように構成された画像化装置を介して基板の2つ以上の連続するレイヤ間の相対的ズレを決定する重ね合わせマークであって、前記重ね合わせマークは、
    前記基板の第1レイヤ上に配置され、対角線上に対向し互いに空間的にオフセットされ、前記マーク周縁内に位置した少なくとも2つのワーキング・ゾーンを有するワーキング・ゾーンの第1セットであって、前記ワーキング・ゾーンのそれぞれはそこに位置する粗くセグメント化された要素の周期的構造を有し、前記粗くセグメント化された要素は第1方向に向いており、複数の細かくセグメント化された要素によって形成されている、第1セットと、
    ワーキング・ゾーンの前記第1セットに対して斜めに位置したワーキング・ゾーンの第2セットであって、ワーキング・ゾーンの前記第2セットは、前記基板の第2レイヤ上に配置され、対角線上に対向し互いに空間的にオフセットされ、前記マークの前記周縁内に位置した少なくとも2つのワーキング・ゾーンを有し、前記ワーキング・ゾーンのそれぞれはそこに位置する粗くセグメント化された要素の周期的構造を有し、前記粗くセグメント化された要素は第1方向に向いており、複数の細かくセグメント化された要素によって形成されている、第2セットと、
    を備える重ね合わせマーク。
  5. 基板の2つ以上の連続するレイヤ間、または基板の単一レイヤ上に別々に生成された2つ以上のパターン間の相対的ズレを決定する重ね合わせマークであって、前記重ね合わせマークは、
    前記基板の第1および第2レイヤ間、または前記基板の単一レイヤ上の第1および第2パターン間のアライメントを計算するために用いられる複数のワーキング・ゾーンであって、前記ワーキング・ゾーンのそれぞれは前記マーク周縁内に配置され、前記ワーキング・ゾーンのそれぞれは前記マークの異なる領域を表し、前記ワーキング・ゾーンは前記マークの前記周縁を実質的に埋めることによって、前記複数のワーキング・ゾーンの合計領域が実質的に前記マークの合計領域に等しいように構成される複数のワーキング・ゾーン、
    を備え
    前記重ね合わせマークは、4つの均等な四分領域に分割されており、
    前記四分領域は、少なくとも一つのワーキング・ゾーンを含み、
    四分領域の第1セットは、第1の対向関係にあり、
    四分領域の第2セットは、四分領域の前記第1セットに対して斜めに位置する第2の対向関係において傾いており、
    四分領域の前記第1セットは、それぞれ少なくとも第1ワーキング・ゾーンを含み、
    四分領域の前記第2セットは、それぞれ少なくとも第2ワーキング・ゾーンを含む、重ね合わせマーク。
  6. 請求項5に記載の重ね合わせマークであって、前記ワーキング・ゾーンは除外ゾーンによって分離されている重ね合わせマーク。
  7. 請求項5に記載の重ね合わせマークであって、
    前記第1ワーキング・ゾーンは、ともに前記第1レイヤまたは前記第1パターンに形成され
    前記第2ワーキング・ゾーンは、ともに前記第2レイヤまたは前記第2パターンに形成される、重ね合わせマーク。
  8. 請求項7に記載の重ね合わせマークであって、
    前記第1レイヤまたは前記第1パターンに含まれる前記ワーキング・ゾーンの数は前記第2レイヤまたは前記第2パターンに含まれる前記ワーキング・ゾーンの数と等しい、重ね合わせマーク。
  9. 基板の2つ以上の連続するレイヤ間、または基板の単一レイヤ上に別々に生成された2つ以上のパターン間の相対的ズレをスキャニングを介して決定する重ね合わせマークであって、前記重ね合わせマークは、
    前記基板の第1および第2レイヤ間の第1方向における相対的ズレを決定する少なくとも一つのテストパターンであって、
    前記テストパターンは、ワーキング・ゾーンの第1セットおよびワーキング・ゾーンの第2セットを有し、
    前記第1セットは、前記基板の第1レイヤ上に配置され、対角線上に対向し互いに空間的にオフセットされた少なくとも2つのワーキング・ゾーンを有し、
    前記第2セットは、前記基板の第2レイヤ上に配置され、対角線上に対向し互いに空間的にオフセットされた少なくとも2つのワーキング・ゾーンを有する、
    少なくとも一つのテストパターンと、
    前記ワーキング・ゾーンのそれぞれの中に位置する周期的構造であって、
    前記周期的構造のそれぞれは複数の粗くセグメント化された要素を含み、
    前記粗くセグメント化された要素のそれぞれは複数の細かくセグメント化された要素によって形成されている、
    周期的構造と、
    を備える、重ね合わせマーク。
  10. 請求項1または9に記載の重ね合わせマークであって、前記ワーキング・ゾーンの第1セットは、前記ワーキング・ゾーンの第2セットに対して角度がつけられている重ね合わせマーク。
  11. 請求項3、4または5に記載の重ね合わせマークであって、前記マークの前記周縁は、前記重ね合わせマークを画像化するのに用いられる前記計測ツールの視野の光学的周縁に対応し、前記視野は前記計測ツールを介して画像をキャプチャするのに利用できる領域を規定する、重ね合わせマーク。
  12. 請求項9または11に記載の重ね合わせマークであって、前記ワーキング・ゾーンは実質的に前記マークの前記周縁を埋める重ね合わせマーク。
  13. 請求項1、4、5または9に記載の重ね合わせマークであって、前記ワーキング・ゾーンは空間的に互いに分離されることによって隣接するワーキング・ゾーンの部分と重複しない重ね合わせマーク。
  14. 請求項1、4、5または9に記載の重ね合わせマークであって、
    前記2つのレイヤまたはパターンからの重ね合わせの情報のサイズ、形状および分布を調整することにより、前記ワーキング・ゾーンは、ツールおよびウェーハに起因するズレに対する前記マークにわたっての不均一性の影響を低減するように構成される重ね合わせマーク。
  15. 請求項に記載の重ね合わせマークであって、前記ワーキング・ゾーンのそれぞれの中に位置する周期的構造をさらに備え、前記周期的構造のそれぞれは複数の粗くセグメント化された要素を含む、
    重ね合わせマーク。
  16. 請求項1,4,9または15に記載の重ね合わせマークであって、前記粗くセグメント化された要素のピッチ、周期およびデューティサイクルは、前記重ね合わせマークを画像化するのに用いられる計測の解像度と、前記レイヤを形成するのに用いられるプロセスのロバスト性とをバランスさせるように構成される、重ね合わせマーク。
  17. 請求項1,4,9または15に記載の重ね合わせマークであって、前記粗くセグメント化された要素は平行線である重ね合わせマーク。
  18. 請求項1,4,9または15に記載の重ね合わせマークであって、前記ウェーハの前記第1および第2レイヤ間、または単一レイヤ上の2つの別々に生成されたパターン間の相対的ズレが、異なるレイヤまたはパターン上の周期的構造の相対位置を比較することによって決定される重ね合わせマーク。
  19. 請求項15に記載の重ね合わせマークであって、前記複数の粗くセグメント化された要素は、複数の細かくセグメント化された要素によって形成される重ね合わせマーク。
  20. 請求項1,4,9または19に記載の重ね合わせマークであって、細かくセグメント化された要素の最小寸法サイズおよびピッチは、集積回路の異なるパターン間の相対的なズレにより密接にマッチするズレ情報を提供するように構成される重ね合わせマーク。
  21. 請求項1または9に記載の重ね合わせマークであって、
    第2方向における前記基板の第1および第2レイヤ間の相対的なズレを決定する第2テストパターンをさらに含む重ね合わせマーク。
  22. 請求項21に記載の重ね合わせマークであって、前記第2テストパターンは前記第1テストパターンに直交する重ね合わせマーク。
  23. 請求項21に記載の重ね合わせマークであって、前記第1方向は前記X軸方向に対応し、前記第2方向は前記Y軸方向に対応する重ね合わせマーク。
  24. 請求項21に記載の重ね合わせマークであって、前記基板の第1および第2レイヤ間の前記第1および第2方向における前記相対的なズレを決定する第3および第4テストパターンをそれぞれさらに含む重ね合わせマーク。
  25. 請求項1または4に記載の重ね合わせマークであって、前記第1レイヤは前記第2レイヤの直上または直下に配置される重ね合わせマーク。
  26. 基板の単一レイヤ上の2つ以上の別々に生成されたパターン間の相対的ズレを決定する重ね合わせマークであって、前記重ね合わせターゲットは、
    前記基板の第1レイヤ上に位置するテスト領域であって、前記第1レイヤは第1プロセスを用いた第1パターンと、第2プロセスを用いた第2パターンとによって形成される、テスト領域と、
    前記テスト領域に位置する複数のワーキング・ゾーンであって、前記ワーキング・ゾーンは前記第1および第2パターン間の相対的なズレを決定するのに用いられる前記テスト領域の実際の領域を表し、前記ワーキング・ゾーンの第1部分は前記第1プロセスを用いて形成され、前記ワーキング・ゾーンの第2部分は前記第2プロセスを用いて形成される、ワーキング・ゾーンと、
    前記ワーキング・ゾーンのそれぞれの中に位置する周期的構造であって、前記周期的構造のそれぞれは複数の粗くセグメント化された要素を含み、前記粗くセグメント化された要素のそれぞれは複数の細かくセグメント化された要素によって形成される、周期的構造と、
    を備える重ね合わせマーク。
  27. 基板の2つ以上の連続するレイヤ間、または基板の単一レイヤ上に別々に生成された2つ以上のパターン間の相対的ズレを決定する方法であって、前記方法は、
    前記基板上に形成された重ね合わせマークの画像をキャプチャすることであって、前記重ね合わせマークは複数のワーキング・ゾーンを有し、前記ワーキング・ゾーンのそれぞれは粗くセグメント化された要素の周期的構造を含み、前記粗くセグメント化された要素は、複数の細かくセグメント化された要素によって形成されている、キャプチャすることと、
    前記キャプチャされた画像から複数のワーキング・ゾーンを選択することであって、それぞれのレイヤまたはパターンから少なくとも一つのワーキング・ゾーンが選択される、選択することと、
    前記選択されたワーキング・ゾーンのそれぞれについて情報を持った信号を形成することであって、それぞれのレイヤまたはパターンについて少なくとも一つの信号が形成される、形成することと、
    第1レイヤまたはパターンからの前記信号を第2レイヤまたはパターンからの信号と比較することによって異なるレイヤまたはパターン間の前記相対的なズレを決定することと、
    を含む方法。
  28. 請求項27に記載の方法であって、情報を持った信号を形成することは、Y重ね合わせ計算のためにXにわたって平均し、X重ね合わせ計算のためにYにわたって平均することによって、前記ワーキング・ゾーンの前記2次元画像を1次元信号に折り畳むことで達成される方法。
  29. 請求項27に記載の方法であって、第1レイヤまたはパターンからの前記信号を第2レイヤまたはパターンからの信号と比較することによって異なるレイヤまたはパターン間の前記相対的なズレを決定することは、共分散ベースの重ね合わせアルゴリズムを用いて達成される方法。
  30. 請求項27に記載の方法であって、第1レイヤまたはパターンからの前記信号を第2レイヤまたはパターンからの信号と比較することによって異なるレイヤまたはパターン間の前記相対的なズレを決定することは、フーリエ分解重ね合わせアルゴリズムを用いて達成される方法。
  31. 基板の2つ以上の連続するレイヤ間、または基板の単一レイヤ上に別々に生成された2つ以上のパターン間の相対的ズレを決定するのに用いられる重ね合わせマークを設計する方法であって、前記方法は、
    基板の単一のまたは連続する複数のレイヤ上に別個に生成された少なくとも第1および第2ワーキング・ゾーンから選択された複数のワーキング・ゾーンを含む基礎重ね合わせマーク構造を準備することであって、前記ワーキング・ゾーンのそれぞれは、ワーキング・ゾーンの中に位置する周期的構造を有し、前記周期的構造のそれぞれは複数の粗くセグメント化された要素を含み、前記粗くセグメント化された要素のそれぞれは複数の細かくセグメント化された要素から形成されている、基礎重ね合わせマーク構造を準備すること、
    第1スケールにしたがって前記重ね合わせマークの前記ワーキング・ゾーンの幾何学的配置を最適化することであって、前記第1スケールは、計測ツール限界、プロセス問題、および回路設計条件に基づく計測カーネルスケールに対応する最適化することと
    第2スケールにしたがって前記重ね合わせマークの前記複数の粗くセグメント化された要素の幾何学的配置を最適化することであって、前記第2スケールは、計測ツール限界およびプロセスロバスト性問題に基づく画像解像度スケールに対応する最適化することと
    第3スケールにしたがって前記重ね合わせマークの前記複数の細かくセグメント化された要素の幾何学的配置を最適化することであって、前記第3スケールは、回路のデザインルール、プロセスロバスト性問題および計測ツールの限界に基づくリソグラフィ解像度スケールに対応する、最適化することと
    を備える方法。
  32. 請求項31に記載の方法であって、前記第1、第2および第3スケールは異なる方法。
  33. 請求項32に記載の方法であって、前記第2スケールは前記第1スケールよりも小さく、前記第3スケールは前記第2スケールよりも小さい方法。
  34. 請求項31に記載の方法であって、幾何学的配置はサイズ、形状または分布として規定される方法。
  35. 請求項31に記載の方法であって、前記第1スケールは、重ね合わせが測定される2つの異なるレイヤまたはパターンについての情報を含む領域の境界を規定する計測カーネルスケールに対応する方法。
  36. 請求項35に記載の方法であって、前記計測カーネルスケールは、計測ツールの限界、プロセス問題および回路デザイン条件に基づく方法。
  37. 請求項35に記載の方法であって、前記計測カーネルスケールは約4ミクロンから約10ミクロンの範囲である方法。
  38. 請求項31に記載の方法であって、前記第1要素の幾何学的配置は、前記第1スケールの上限および下限を特定し、前記上限および下限間の第1幾何学的配置の幾何学的配置を微調整することによって最適化される方法。
  39. 請求項38に記載の方法であって、前記第1要素は、
    前記重ね合わせマークの前記異なるレイヤまたはパターンを規定し、重ね合わせ測定に用いられる前記重ね合わせマークの実際の領域を表すワーキング・ゾーンに対応する方法。
  40. 請求項39に記載の方法であって、微調整は、前記マーク周縁の位置を決定し、ツールおよびウェーハに起因するズレに対する前記マークにわたっての不均一性の影響を低減するように構成される複数のワーキング・ゾーンに前記マークを分割することによって実現される方法。
  41. 請求項31に記載の方法であって、前記第2スケールは、与えられたプロセスレイヤ内の構造間の境界を規定する画像解像度スケールに対応する方法。
  42. 請求項41に記載の方法であって、画像解像度スケールは、計測ツールの限界およびプロセスロバスト性問題に基づく方法。
  43. 請求項41に記載の方法であって、前記画像解像度スケールは約0.3ミクロンから2ミクロンの範囲である方法。
  44. 請求項31に記載の方法であって、前記第2要素の前記幾何学的配置は、前記第2スケールの上限および下限を特定し、前記上限および下限間の第2要素の前記幾何学的配置を微調整することによって最適化される方法。
  45. 請求項44に記載の方法であって、前記第2要素は、エンコードされ、前記マークを画像化するのに用いられる計測ツールに転送される前記マーク要素の相対位置に関する実際の空間情報を含む周期的構造に対応する方法。
  46. 請求項45に記載の方法であって、微調整は前記ツールの前記画像解像度を前記プロセスとバランスとることによって実現される方法。
  47. 請求項31に記載の方法であって、前記第3スケールは、与えられた構造内のサブ構造の前記境界を規定するリソグラフィ解像度スケールに対応する方法。
  48. 請求項47に記載の方法であって、
    前記リソグラフィ解像度スケールは約0.01ミクロンから約0.5ミクロンの範囲である方法。
  49. 請求項31に記載の方法であって、前記第3要素の前記幾何学的配置は、前記第3スケールの上限および下限を特定し、前記上限および下限間の前記第3要素の前記幾何学的配置を微調整することによって最適化される方法。
  50. 請求項49に記載の方法であって、前記第3要素は、前記回路構造そのものを反映する情報を含む前記細かくセグメント化された要素に対応する方法。
  51. 請求項31に記載の方法であって、前記第1要素はワーキング・ゾーンであり、前記第2要素は前記ワーキング・ゾーン内に位置する粗くセグメント化された要素の周期的構造であり、前記第3要素は前記粗くセグメント化された要素を形成する複数の細かくセグメント化された要素である方法。
  52. 重ね合わせマークを設計する方法であって、前記重ね合わせマークは計測ツールの視野に対応する周縁を持ち、前記方法は、
    前記重ね合わせマークの前記周縁を複数のワーキング・ゾーンで埋めることであって、前記ワーキング・ゾーンの幾何学的配置は第1デザインスケールに基づく、埋めることと、
    前記ワーキング・ゾーンのそれぞれを、粗くセグメント化された線の少なくとも一つの周期的構造で埋めることであって、前記粗くセグメント化された線の幾何学的配置は前記第1スケールよりも小さい第2スケールに基づく、埋めることと、
    前記粗くセグメント化された線を複数の細かくセグメント化された要素に分割することであって、前記細かくセグメント化された要素の幾何学的配置は第2スケールよりも小さい第3スケールに基づく、分割することと、
    を備える方法。
  53. 重ね合わせマークを設計する方法であり、前記方法は、
    前記重ね合わせマークの周縁を規定することと、
    前記重ね合わせマークを複数のワーキング・ゾーンに分割することであって、前記ワーキング・ゾーンは、第1プロセスに関連する少なくとも第1ワーキング・ゾーンと、第2プロセスに関連する少なくとも第2ワーキング・ゾーンとを含み、前記第2プロセスは前記第1プロセスとは異なる特性を有する、分割することと、
    前記ワーキング・ゾーンの幾何学的配置を調節することであって、前記ワーキング・ゾーンの前記幾何学的配置は少なくとも一部は第1スケールに基づく、調節することと、
    前記ワーキング・ゾーンのそれぞれの中の周期的構造を位置づけることであって、前記周期的構造は複数の粗くセグメント化された線を有する、位置づけることと、
    前記周期的構造の前記幾何学的配置を調整することであって、前記周期的構造の前記幾何学的配置は少なくとも一部は第2スケールに基づき、前記第2スケールは前記第1スケールとは違う特性を持つ、調整すること、
    前記粗くセグメント化された線を複数の細かくセグメント化された要素に分割することと、
    前記細かくセグメント化された要素の幾何学的配置を調整することであって、前記細かくセグメント化された線の前記幾何学的配置は少なくとも一部は前記第1および第2スケールと異なっている、調整することと、
    を備える方法。
JP2002524212A 2000-08-30 2001-08-28 重ね合わせマーク、重ね合わせマークの設計方法および重ね合わせ測定の方法 Expired - Lifetime JP5180419B2 (ja)

Applications Claiming Priority (13)

Application Number Priority Date Filing Date Title
US22925600P 2000-08-30 2000-08-30
US60/229,256 2000-08-30
US30148401P 2001-06-27 2001-06-27
US30159101P 2001-06-27 2001-06-27
US30161301P 2001-06-27 2001-06-27
US30176301P 2001-06-27 2001-06-27
US60/301,613 2001-06-27
US09/894,987 2001-06-27
US09/894,987 US7068833B1 (en) 2000-08-30 2001-06-27 Overlay marks, methods of overlay mark design and methods of overlay measurements
US60/301,763 2001-06-27
US60/301,484 2001-06-27
US60/301,591 2001-06-27
PCT/US2001/041932 WO2002019415A1 (en) 2000-08-30 2001-08-28 Overlay marks, methods of overlay mark design and methods of overlay measurements

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2012009434A Division JP5663504B2 (ja) 2000-08-30 2012-01-19 重ね合わせマーク、重ね合わせマークの設計方法および重ね合わせ測定の方法

Publications (2)

Publication Number Publication Date
JP2004508711A JP2004508711A (ja) 2004-03-18
JP5180419B2 true JP5180419B2 (ja) 2013-04-10

Family

ID=27559199

Family Applications (8)

Application Number Title Priority Date Filing Date
JP2002524212A Expired - Lifetime JP5180419B2 (ja) 2000-08-30 2001-08-28 重ね合わせマーク、重ね合わせマークの設計方法および重ね合わせ測定の方法
JP2012009434A Expired - Lifetime JP5663504B2 (ja) 2000-08-30 2012-01-19 重ね合わせマーク、重ね合わせマークの設計方法および重ね合わせ測定の方法
JP2014098674A Expired - Lifetime JP5945294B2 (ja) 2000-08-30 2014-05-12 重ね合わせマーク、重ね合わせマークの設計方法および重ね合わせ測定の方法
JP2015219288A Withdrawn JP2016026331A (ja) 2000-08-30 2015-11-09 重ね合わせマーク、重ね合わせマークの設計方法および重ね合わせ測定の方法
JP2015219296A Expired - Lifetime JP6313272B2 (ja) 2000-08-30 2015-11-09 重ね合わせマーク、および半導体デバイス
JP2016228018A Pending JP2017062492A (ja) 2000-08-30 2016-11-24 重ね合わせマーク、重ね合わせマークの設計方法および重ね合わせ測定の方法
JP2016227754A Pending JP2017040941A (ja) 2000-08-30 2016-11-24 重ね合わせマーク、重ね合わせマークの設計方法および重ね合わせ測定の方法
JP2020040921A Pending JP2020112807A (ja) 2000-08-30 2020-03-10 重ね合わせマーク、重ね合わせマークの設計方法および重ね合わせ測定の方法

Family Applications After (7)

Application Number Title Priority Date Filing Date
JP2012009434A Expired - Lifetime JP5663504B2 (ja) 2000-08-30 2012-01-19 重ね合わせマーク、重ね合わせマークの設計方法および重ね合わせ測定の方法
JP2014098674A Expired - Lifetime JP5945294B2 (ja) 2000-08-30 2014-05-12 重ね合わせマーク、重ね合わせマークの設計方法および重ね合わせ測定の方法
JP2015219288A Withdrawn JP2016026331A (ja) 2000-08-30 2015-11-09 重ね合わせマーク、重ね合わせマークの設計方法および重ね合わせ測定の方法
JP2015219296A Expired - Lifetime JP6313272B2 (ja) 2000-08-30 2015-11-09 重ね合わせマーク、および半導体デバイス
JP2016228018A Pending JP2017062492A (ja) 2000-08-30 2016-11-24 重ね合わせマーク、重ね合わせマークの設計方法および重ね合わせ測定の方法
JP2016227754A Pending JP2017040941A (ja) 2000-08-30 2016-11-24 重ね合わせマーク、重ね合わせマークの設計方法および重ね合わせ測定の方法
JP2020040921A Pending JP2020112807A (ja) 2000-08-30 2020-03-10 重ね合わせマーク、重ね合わせマークの設計方法および重ね合わせ測定の方法

Country Status (3)

Country Link
EP (1) EP1314198B1 (ja)
JP (8) JP5180419B2 (ja)
WO (1) WO2002019415A1 (ja)

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101665569B1 (ko) 2016-05-19 2016-10-12 (주)오로스 테크놀로지 오버레이 마크 및 이를 이용한 오버레이 계측방법 및 반도체 디바이스 제조방법
EP4036647A1 (en) 2021-01-29 2022-08-03 Canon Kabushiki Kaisha Measurement apparatus, lithography apparatus and article manufacturing method
KR102440758B1 (ko) 2021-08-17 2022-09-06 (주)오로스 테크놀로지 오버레이 마크 및 이를 이용한 오버레이 측정방법 및 반도체 소자의 제조방법
KR102460132B1 (ko) 2022-07-19 2022-10-31 (주)오로스 테크놀로지 오버레이 마크 및 이를 이용한 오버레이 측정방법 및 반도체 소자의 제조방법
KR20220146989A (ko) 2021-04-26 2022-11-02 (주)오로스 테크놀로지 오버레이 마크 및 이를 이용한 오버레이 계측방법 및 반도체 디바이스 제조방법
KR20230003843A (ko) 2021-06-30 2023-01-06 (주)오로스 테크놀로지 오버레이 마크 및 이를 이용한 오버레이 계측방법 및 반도체 디바이스 제조방법
KR20230003842A (ko) 2021-06-30 2023-01-06 (주)오로스 테크놀로지 오버레이 마크 및 이를 이용한 오버레이 계측방법 및 반도체 디바이스 제조방법
KR20230003846A (ko) 2021-06-30 2023-01-06 (주)오로스 테크놀로지 오버레이 마크 및 이를 이용한 오버레이 계측방법 및 반도체 디바이스 제조방법
KR20230157703A (ko) 2022-05-10 2023-11-17 (주) 오로스테크놀로지 서로 겹치는 구조물들을 구비한 오버레이 마크 이미지의 프로젝션 방법 및 서로 겹치는 구조물들을 구비한 오버레이 마크

Families Citing this family (71)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7541201B2 (en) 2000-08-30 2009-06-02 Kla-Tencor Technologies Corporation Apparatus and methods for determining overlay of structures having rotational or mirror symmetry
US7317531B2 (en) * 2002-12-05 2008-01-08 Kla-Tencor Technologies Corporation Apparatus and methods for detecting overlay errors using scatterometry
EP1314198B1 (en) * 2000-08-30 2017-03-08 KLA-Tencor Corporation Overlay marks, methods of overlay mark design and methods of overlay measurements
CN1506768B (zh) * 2002-09-20 2011-01-26 Asml荷兰有限公司 用于光刻系统的对准系统和方法
JP4746987B2 (ja) * 2002-12-05 2011-08-10 ケーエルエー−テンカー コーポレイション 散乱計測を用いてオーバレイ誤差を検出する装置および方法
WO2004090979A2 (en) * 2003-04-08 2004-10-21 Aoti Operating Company, Inc Overlay metrology mark
TW200507229A (en) * 2003-04-08 2005-02-16 Aoti Operating Co Inc Overlay metrology mark
SG108975A1 (en) * 2003-07-11 2005-02-28 Asml Netherlands Bv Marker structure for alignment or overlay to correct pattern induced displacement, mask pattern for defining such a marker structure and lithographic projection apparatus using such a mask pattern
US6937337B2 (en) 2003-11-19 2005-08-30 International Business Machines Corporation Overlay target and measurement method using reference and sub-grids
JP4734261B2 (ja) * 2004-02-18 2011-07-27 ケーエルエー−テンカー コーポレイション 連続変化するオフセットマークと、オーバレイ決定方法
US7065737B2 (en) * 2004-03-01 2006-06-20 Advanced Micro Devices, Inc Multi-layer overlay measurement and correction technique for IC manufacturing
WO2006012388A2 (en) 2004-07-22 2006-02-02 Kla-Tencor Technologies Corp. Test structures and methods for monitoring or controlling a semiconductor fabrication process
DE102005007280B4 (de) * 2005-02-17 2009-06-10 Qimonda Ag Verfahren zum Bestimmen einer kritischen Dimension einer lateral strukturierten Schicht
US7737566B2 (en) 2005-06-01 2010-06-15 Asml Netherlands B.V. Alignment devices and methods for providing phase depth control
JP4520429B2 (ja) * 2005-06-01 2010-08-04 エーエスエムエル ネザーランズ ビー.ブイ. 位置合わせ装置への2次元フォトニック結晶の応用
KR100612410B1 (ko) 2005-08-01 2006-08-16 나노메트릭스코리아 주식회사 오버레이 키, 이를 이용한 오버레이 측정방법 및 측정장치
US7751047B2 (en) * 2005-08-02 2010-07-06 Asml Netherlands B.V. Alignment and alignment marks
US7439001B2 (en) 2005-08-18 2008-10-21 International Business Machines Corporation Focus blur measurement and control method
US7687925B2 (en) 2005-09-07 2010-03-30 Infineon Technologies Ag Alignment marks for polarized light lithography and method for use thereof
US7474401B2 (en) 2005-09-13 2009-01-06 International Business Machines Corporation Multi-layer alignment and overlay target and measurement method
DE102005046973B4 (de) * 2005-09-30 2014-01-30 Globalfoundries Inc. Struktur und Verfahren zum gleichzeitigen Bestimmen einer Überlagerungsgenauigkeit und eines Musteranordnungsfehlers
US7863763B2 (en) 2005-11-22 2011-01-04 Asml Netherlands B.V. Binary sinusoidal sub-wavelength gratings as alignment marks
US7898662B2 (en) * 2006-06-20 2011-03-01 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US7455939B2 (en) 2006-07-31 2008-11-25 International Business Machines Corporation Method of improving grating test pattern for lithography monitoring and controlling
US7545520B2 (en) * 2006-11-15 2009-06-09 Asml Netherlands B.V. System and method for CD determination using an alignment sensor of a lithographic apparatus
US7473502B1 (en) 2007-08-03 2009-01-06 International Business Machines Corporation Imaging tool calibration artifact and method
US7879515B2 (en) 2008-01-21 2011-02-01 International Business Machines Corporation Method to control semiconductor device overlay using post etch image metrology
NL1036476A1 (nl) * 2008-02-01 2009-08-04 Asml Netherlands Bv Alignment mark and a method of aligning a substrate comprising such an alignment mark.
TW201003449A (en) * 2008-06-10 2010-01-16 Applied Materials Israel Ltd Method and system for evaluating an object that has a repetitive pattern
US9097989B2 (en) 2009-01-27 2015-08-04 International Business Machines Corporation Target and method for mask-to-wafer CD, pattern placement and overlay measurement and control
WO2011023517A1 (en) * 2009-08-24 2011-03-03 Asml Netherlands B.V. Metrology method and apparatus, lithographic apparatus, lithographic processing cell and substrate comprising metrology targets
US8804137B2 (en) * 2009-08-31 2014-08-12 Kla-Tencor Corporation Unique mark and method to determine critical dimension uniformity and registration of reticles combined with wafer overlay capability
US8329360B2 (en) * 2009-12-04 2012-12-11 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus of providing overlay
US9927718B2 (en) 2010-08-03 2018-03-27 Kla-Tencor Corporation Multi-layer overlay metrology target and complimentary overlay metrology measurement systems
US10890436B2 (en) 2011-07-19 2021-01-12 Kla Corporation Overlay targets with orthogonal underlayer dummyfill
US11455737B2 (en) 2012-12-06 2022-09-27 The Boeing Company Multiple-scale digital image correlation pattern and measurement
JP6326916B2 (ja) * 2013-04-23 2018-05-23 大日本印刷株式会社 インプリント用モールドおよびインプリント方法
JP6361238B2 (ja) * 2013-04-23 2018-07-25 大日本印刷株式会社 インプリント用モールドおよびインプリント方法
JP6602755B2 (ja) * 2013-06-27 2019-11-06 ケーエルエー コーポレイション 計測標的の偏光測定及び対応する標的設計
JP6433504B2 (ja) * 2014-02-21 2018-12-05 エーエスエムエル ネザーランズ ビー.ブイ. ターゲット構成の最適化及び関連するターゲット
WO2015151323A1 (ja) * 2014-04-01 2015-10-08 大日本印刷株式会社 インプリント用モールドおよびインプリント方法
IL310602A (en) * 2014-08-29 2024-04-01 Asml Netherlands Bv Metrological method, purpose and substrate
JP2016180783A (ja) * 2015-03-23 2016-10-13 ルネサスエレクトロニクス株式会社 半導体装置および半導体装置の製造方法、パターンの重ね合わせ検査方法
IL256196B (en) 2015-06-17 2022-07-01 Asml Netherlands Bv Prescription selection based on inter-prescription composition
US10062543B2 (en) * 2015-06-23 2018-08-28 Kla-Tencor Corp. Determining multi-patterning step overlay error
KR101564312B1 (ko) * 2015-07-07 2015-10-29 (주)오로스 테크놀로지 오버레이 마크 및 이를 이용한 오버레이 계측방법 및 반도체 디바이스 제조방법
US9530199B1 (en) 2015-07-13 2016-12-27 Applied Materials Israel Ltd Technique for measuring overlay between layers of a multilayer structure
EP3403142B1 (en) * 2016-01-11 2022-11-09 KLA-Tencor Corporation Metrology overlay target and method
US20170256465A1 (en) 2016-03-01 2017-09-07 Asml Netherlands B.V. Method and apparatus to determine a patterning process parameter
US10451412B2 (en) 2016-04-22 2019-10-22 Kla-Tencor Corporation Apparatus and methods for detecting overlay errors using scatterometry
IL262114B2 (en) * 2016-04-22 2023-04-01 Asml Netherlands Bv Determining the stack difference and correcting with the help of the stack difference
FR3051591B1 (fr) 2016-05-17 2020-06-19 Horiba Jobin Yvon Sas Dispositif et procede de micro-localisation pour instrument imageur et appareil de mesure
US10635004B2 (en) * 2016-11-10 2020-04-28 Asml Netherlands B.V. Correction using stack difference
WO2018215173A1 (en) 2017-05-24 2018-11-29 Asml Holding N.V. Alignment mark for two-dimensional alignment in an alignment system
EP3422102A1 (en) * 2017-06-26 2019-01-02 ASML Netherlands B.V. Methods and patterning devices and apparatuses for measuring focus performance of a lithographic apparatus, device manufacturing method
US10474040B2 (en) * 2017-12-07 2019-11-12 Kla-Tencor Corporation Systems and methods for device-correlated overlay metrology
US11085754B2 (en) * 2017-12-12 2021-08-10 Kla Corporation Enhancing metrology target information content
CN110034098B (zh) * 2018-01-12 2020-11-27 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
JP2021511532A (ja) * 2018-01-12 2021-05-06 ケーエルエー コーポレイション 傾斜周期構造を有する計測ターゲット及び方法
US10446367B2 (en) * 2018-03-07 2019-10-15 Kla-Tencor Corporation Scan strategies to minimize charging effects and radiation damage of charged particle beam metrology system
SG11202103847QA (en) * 2018-10-30 2021-05-28 Kla Tencor Corp Estimation of asymmetric aberrations
US11809090B2 (en) 2020-01-30 2023-11-07 Kla Corporation Composite overlay metrology target
CN112015061A (zh) * 2020-08-27 2020-12-01 上海华力集成电路制造有限公司 一种套刻精度量测标记及其使用方法
KR20220168828A (ko) 2021-06-17 2022-12-26 (주)오로스 테크놀로지 변위 벡터를 이용한 오버레이 측정 방법
KR20230032478A (ko) 2021-08-31 2023-03-07 (주)오로스 테크놀로지 모아레 패턴을 형성하는 오버레이 마크 및 이를 이용한 오버레이 측정 방법
FR3128779B1 (fr) * 2021-11-02 2024-03-01 Commissariat Energie Atomique Structure de metrologie
KR102566129B1 (ko) * 2022-01-20 2023-08-16 (주) 오로스테크놀로지 모아레 패턴을 형성하는 오버레이 마크, 이를 이용한 오버레이 측정방법, 및 반도체 소자의 제조방법
KR20240008074A (ko) 2022-07-11 2024-01-18 (주) 오로스테크놀로지 모아레 패턴을 형성하는 오버레이 마크, 이를 이용한 오버레이 측정 방법, 및 반도체 소자의 제조방법
CN115145127B (zh) * 2022-09-05 2022-11-25 上海传芯半导体有限公司 套刻精度的检测结构及其制备方法、套刻精度的检测方法
KR102545517B1 (ko) 2022-10-17 2023-06-20 (주)오로스 테크놀로지 모아레 패턴을 형성하는 오버레이 마크, 이를 이용한 오버레이 측정 방법, 오버레이 측정 장치, 및 반도체 소자의 제조 방법
KR102580204B1 (ko) 2023-03-02 2023-09-19 (주)오로스 테크놀로지 1차원 오버레이 오차 측정을 위한 오버레이 마크, 이를 이용한 광학 수차 평가 방법, 이를 이용한 오버레이 마크 품질 평가 방법, 오버레이 측정 장치, 오버레이 측정 방법 및 반도체 소자의 제조방법

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4388386A (en) * 1982-06-07 1983-06-14 International Business Machines Corporation Mask set mismatch
JPS61222128A (ja) * 1985-03-27 1986-10-02 Fujitsu Ltd 投影露光方法
DE3530439A1 (de) * 1985-08-26 1987-02-26 Siemens Ag Vorrichtung zum justieren einer mit mindestens einer justiermarke versehenen maske bezueglich eines mit mindestens einer gitterstruktur versehenen halbleiterwafers
US4757207A (en) * 1987-03-03 1988-07-12 International Business Machines Corporation Measurement of registration of overlaid test patterns by the use of reflected light
NL8900991A (nl) * 1989-04-20 1990-11-16 Asm Lithography Bv Apparaat voor het afbeelden van een maskerpatroon op een substraat.
DE4000785A1 (de) * 1990-01-12 1991-07-18 Suess Kg Karl Justiermarken fuer zwei aufeinander einzujustierende objekte
DE69133626D1 (de) * 1990-03-27 2010-03-11 Canon Kk Messverfahren und -vorrichtung
JP3284641B2 (ja) * 1992-09-03 2002-05-20 ソニー株式会社 重ね合わせ精度測定機の測定条件の最適化方法、並びにアラインメントマーク形状あるいは露光装置におけるアラインメントマーク測定方式の最適化方法
JPH0917715A (ja) * 1995-06-29 1997-01-17 Nec Corp 半導体装置のパターン合わせノギス
US5805290A (en) * 1996-05-02 1998-09-08 International Business Machines Corporation Method of optical metrology of unresolved pattern arrays
US6023338A (en) * 1996-07-12 2000-02-08 Bareket; Noah Overlay alignment measurement of wafers
JP3552884B2 (ja) * 1997-09-04 2004-08-11 沖電気工業株式会社 重ね合わせ精度測定用パターン
JPH11260714A (ja) * 1998-03-12 1999-09-24 Nikon Corp 位置検出マーク及びマーク位置検出方法
JP3287321B2 (ja) * 1998-12-03 2002-06-04 日本電気株式会社 半導体装置の製造方法
JPH11325877A (ja) * 1998-03-31 1999-11-26 Siemens Ag 測定誤差を減少させるための方法及び装置
JP2000133576A (ja) * 1998-10-28 2000-05-12 Nec Corp 位置ずれ計測マーク及び位置ずれ計測方法
US6037671A (en) * 1998-11-03 2000-03-14 Advanced Micro Devices, Inc. Stepper alignment mark structure for maintaining alignment integrity
US6084679A (en) * 1999-04-02 2000-07-04 Advanced Micro Devices, Inc. Universal alignment marks for semiconductor defect capture and analysis
US6612159B1 (en) * 1999-08-26 2003-09-02 Schlumberger Technologies, Inc. Overlay registration error measurement made simultaneously for more than two semiconductor wafer layers
JP2001267202A (ja) * 2000-03-15 2001-09-28 Matsushita Electric Ind Co Ltd 重ね合わせ測定マーク及びその測定方法と重ね合わせ測定マークを有する半導体装置
EP1314198B1 (en) * 2000-08-30 2017-03-08 KLA-Tencor Corporation Overlay marks, methods of overlay mark design and methods of overlay measurements

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101665569B1 (ko) 2016-05-19 2016-10-12 (주)오로스 테크놀로지 오버레이 마크 및 이를 이용한 오버레이 계측방법 및 반도체 디바이스 제조방법
EP4036647A1 (en) 2021-01-29 2022-08-03 Canon Kabushiki Kaisha Measurement apparatus, lithography apparatus and article manufacturing method
US11693328B2 (en) 2021-01-29 2023-07-04 Canon Kabushiki Kaisha Measurement apparatus, lithography apparatus and article manufacturing method
EP4283400A2 (en) 2021-01-29 2023-11-29 Canon Kabushiki Kaisha Measurement apparatus, lithography apparatus and article manufacturing method
KR20220146989A (ko) 2021-04-26 2022-11-02 (주)오로스 테크놀로지 오버레이 마크 및 이를 이용한 오버레이 계측방법 및 반도체 디바이스 제조방법
KR20230003843A (ko) 2021-06-30 2023-01-06 (주)오로스 테크놀로지 오버레이 마크 및 이를 이용한 오버레이 계측방법 및 반도체 디바이스 제조방법
KR20230003842A (ko) 2021-06-30 2023-01-06 (주)오로스 테크놀로지 오버레이 마크 및 이를 이용한 오버레이 계측방법 및 반도체 디바이스 제조방법
KR20230003846A (ko) 2021-06-30 2023-01-06 (주)오로스 테크놀로지 오버레이 마크 및 이를 이용한 오버레이 계측방법 및 반도체 디바이스 제조방법
KR102440758B1 (ko) 2021-08-17 2022-09-06 (주)오로스 테크놀로지 오버레이 마크 및 이를 이용한 오버레이 측정방법 및 반도체 소자의 제조방법
US11604421B1 (en) 2021-08-17 2023-03-14 Auros Technology, Inc. Overlay mark, overlay measurement method and semiconductor device manufacturing method using the overlay mark
KR20230157703A (ko) 2022-05-10 2023-11-17 (주) 오로스테크놀로지 서로 겹치는 구조물들을 구비한 오버레이 마크 이미지의 프로젝션 방법 및 서로 겹치는 구조물들을 구비한 오버레이 마크
KR102460132B1 (ko) 2022-07-19 2022-10-31 (주)오로스 테크놀로지 오버레이 마크 및 이를 이용한 오버레이 측정방법 및 반도체 소자의 제조방법

Also Published As

Publication number Publication date
JP2016026332A (ja) 2016-02-12
JP2017040941A (ja) 2017-02-23
JP2012080131A (ja) 2012-04-19
JP2004508711A (ja) 2004-03-18
JP2020112807A (ja) 2020-07-27
JP2017062492A (ja) 2017-03-30
JP5663504B2 (ja) 2015-02-04
EP1314198B1 (en) 2017-03-08
WO2002019415A1 (en) 2002-03-07
JP6313272B2 (ja) 2018-04-18
JP5945294B2 (ja) 2016-07-05
JP2016026331A (ja) 2016-02-12
JP2014160868A (ja) 2014-09-04
EP1314198A1 (en) 2003-05-28

Similar Documents

Publication Publication Date Title
JP6313272B2 (ja) 重ね合わせマーク、および半導体デバイス
JP2016026332A5 (ja)
JP2016026331A5 (ja)
US9702693B2 (en) Apparatus for measuring overlay errors
US7177457B2 (en) Overlay marks, methods of overlay mark design and methods of overlay measurements
US10481506B2 (en) Method of measuring a structure, inspection apparatus, lithographic system and device manufacturing method
US7408642B1 (en) Registration target design for managing both reticle grid error and wafer overlay
US20070108368A1 (en) Focus masking structures, focus patterns and measurements thereof
KR102388682B1 (ko) 계측 방법 및 디바이스
Sugaya et al. Optical alignment optimizations for reducing wafer-induced shift
Sugaya et al. Innovative optical alignment technique for CMP wafers

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20080805

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110719

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20111018

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20111025

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120119

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20121225

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20130111

R150 Certificate of patent or registration of utility model

Ref document number: 5180419

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

EXPY Cancellation because of completion of term