TWI755576B - 重疊計量系統及方法 - Google Patents

重疊計量系統及方法 Download PDF

Info

Publication number
TWI755576B
TWI755576B TW107144265A TW107144265A TWI755576B TW I755576 B TWI755576 B TW I755576B TW 107144265 A TW107144265 A TW 107144265A TW 107144265 A TW107144265 A TW 107144265A TW I755576 B TWI755576 B TW I755576B
Authority
TW
Taiwan
Prior art keywords
layer
symmetry
overlay
scan signal
measurements
Prior art date
Application number
TW107144265A
Other languages
English (en)
Other versions
TW201935513A (zh
Inventor
那達夫 古特曼
伊蘭 阿密特
史帝芬 伊爾倫
哈利 帕薩吉
法蘭克 雷斯基
厄律齊 普曼
湯瑪士 海德利奇
Original Assignee
美商克萊譚克公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商克萊譚克公司 filed Critical 美商克萊譚克公司
Publication of TW201935513A publication Critical patent/TW201935513A/zh
Application granted granted Critical
Publication of TWI755576B publication Critical patent/TWI755576B/zh

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B15/00Measuring arrangements characterised by the use of electromagnetic waves or particle radiation, e.g. by the use of microwaves, X-rays, gamma rays or electrons
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/8422Investigating thin films, e.g. matrix isolation method
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/26Electron or ion microscopes; Electron or ion diffraction tubes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/26Electron or ion microscopes; Electron or ion diffraction tubes
    • H01J37/28Electron or ion microscopes; Electron or ion diffraction tubes with scanning beams
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/30Electron-beam or ion-beam tubes for localised treatment of objects
    • H01J37/304Controlling tubes by information coming from the objects or from the beam, e.g. correction signals
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/30Electron-beam or ion-beam tubes for localised treatment of objects
    • H01J37/317Electron-beam or ion-beam tubes for localised treatment of objects for changing properties of the objects or for applying thin layers thereon, e.g. for ion implantation
    • H01J37/3174Particle-beam lithography, e.g. electron beam lithography
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67259Position monitoring, e.g. misposition detection or presence detection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/24Optical enhancement of defects or not directly visible states, e.g. selective electrolytic deposition, bubbles in liquids, light emission, colour change
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B2210/00Aspects not specifically covered by any group under G01B, e.g. of wheel alignment, caliper-like sensors
    • G01B2210/56Measuring geometric parameters of semiconductor structures, e.g. profile, critical dimensions or trench depth
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/8422Investigating thin films, e.g. matrix isolation method
    • G01N2021/8438Mutilayers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/26Electron or ion microscopes
    • H01J2237/28Scanning microscopes
    • H01J2237/2813Scanning microscopes characterised by the application
    • H01J2237/2814Measurement of surface topography
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/26Electron or ion microscopes
    • H01J2237/28Scanning microscopes
    • H01J2237/2813Scanning microscopes characterised by the application
    • H01J2237/2817Pattern inspection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/30Electron or ion beam tubes for processing objects
    • H01J2237/304Controlling tubes
    • H01J2237/30433System calibration
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/30Electron or ion beam tubes for processing objects
    • H01J2237/304Controlling tubes
    • H01J2237/30455Correction during exposure
    • H01J2237/30461Correction during exposure pre-calculated
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/30Electron or ion beam tubes for processing objects
    • H01J2237/317Processing objects on a microscale
    • H01J2237/3175Lithography
    • H01J2237/31793Problems associated with lithography
    • H01J2237/31798Problems associated with lithography detecting pattern defects

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Analytical Chemistry (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Electromagnetism (AREA)
  • Mathematical Physics (AREA)
  • Health & Medical Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Biochemistry (AREA)
  • General Health & Medical Sciences (AREA)
  • Immunology (AREA)
  • Pathology (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Analysing Materials By The Use Of Radiation (AREA)
  • Length-Measuring Devices Using Wave Or Particle Radiation (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

一種重疊計量系統包含一粒子束計量工具,該粒子束計量工具用一粒子束跨包含一第一層目標元件及一第二層目標元件之一樣本上之一重疊目標掃描。該重疊計量系統可進一步包含一控制器,該控制器自該粒子束計量工具接收一掃描信號、就對稱性度量來判定該掃描信號之對稱性測量,且基於該等對稱性測量來產生該第一層與該第二層之間之一重疊測量,其中該掃描信號之一不對稱性指示該第二層目標元件相對於該第一層目標元件之一未對準,且該重疊測量之一值係基於該等對稱性測量。

Description

重疊計量系統及方法
本發明大體上係關於重疊計量,且更特定言之係關於掃描電子顯微鏡重疊計量。
半導體製造通常需要在一結構上製造多個層,其中一些或全部層包含圖案化特徵。重疊計量係對一樣本之各個層上之結構之相對位置的測量,其對於一經製造裝置之效能至關重要,且通常必須控制在緊密容限內。例如,重疊計量可測量不同樣本層上之特徵之相對位置作為製造工具之逐層對準之一度量。
通常在具有經設計用於靈敏重疊測量之特徵的專用重疊目標上而非直接在裝置特徵上執行重疊測量。重疊目標之不同層上之特徵通常在空間上分離以避免疊蓋且有利於埋入層上之特徵之測量。例如,一個層上之一重疊目標元件可影響一先前製造層上之一重疊目標元件之一測量。然而,與空間上分離之目標元件相關聯之開放區域可能與微電子製造不相容。此外,裝置特徵通常包含堆疊結構,使得空間上分離之重疊目標元件之重疊測量可引入測量誤差。
因此,期望提供用於測量堆疊重疊目標元件上之重疊的系統及方法。
揭示一種根據本發明之一或多項闡釋性實施例之重疊計量系統。在一項闡釋性實施例中,該系統包含:一控制器,其通信地耦合至一粒子束計量工具,其中該粒子束計量工具用一粒子束跨一樣本上之一重疊目標掃描,其中該重疊目標包含該樣本之一第一層上之一第一層目標元件及該樣本之一第二層上之一第二層目標元件。在另一闡釋性實施例中,該粒子束計量工具捕獲與該粒子束與該第一層目標元件及該第二層目標元件兩者之相互作用相關聯的一掃描信號。在另一闡釋性實施例中,該控制器自該粒子束計量工具接收該掃描信號。在另一闡釋性實施例中,該控制器關於一或多個對稱性度量判定該掃描信號之一或多個對稱性測量。在另一闡釋性實施例中,該控制器基於該一或多個對稱性測量產生該第一層與該第二層之間之一重疊測量,其中該掃描信號之一不對稱性指示該第二層目標元件相對於該第一層目標元件之一未對準,且該重疊測量之一值係基於該一或多個對稱性測量。
揭示一種根據本發明之一或多項闡釋性實施例之重疊計量方法。在一項闡釋性實施例中,該方法包含:用一粒子束跨一樣本上之一重疊目標掃描,其中該重疊目標包含該樣本之一第一層上之一第一層目標元件及該樣本之一第二層上之一第二層目標元件。在另一闡釋性實施例中,該方法包含捕獲與該粒子束於該第一層目標元件及該第二層目標元件兩者之相互作用相關聯的一掃描信號。在另一闡釋性實施例中,該方法包含關於一或多個對稱性度量判定該掃描信號之一或多個對稱性測量。在另一闡釋性實施例中,該方法包含基於該一或多個對稱性測量產生該第一層 與該第二層之間之一重疊測量,其中該掃描信號之一不對稱性指示該第二層目標元件相對於該第一層目標元件之一未對準,且該重疊測量之一值係基於該一或多個對稱性測量。在另一闡釋性實施例中,該方法包含將基於該重疊測量之該值的重疊可校正值提供至一微影系統,以修改至少一個後續曝光之曝光條件。
揭示一種根據本發明之一或多項闡釋性實施例之重疊計量系統。在一項闡釋性實施例中,該系統包含一粒子束計量工具,該粒子束計量工具用一粒子束跨一樣本上之一重疊目標掃描,其中該重疊目標包含該樣本之一第一層上之一第一層目標元件及該樣本之一第二層上之一第二層目標元件。在另一闡釋性實施例中,該粒子束計量工具進一步捕獲與該粒子束與該第一層目標元件及該第二層目標元件兩者之相互作用相關聯的一掃描信號。在另一闡釋性實施例中,該系統包含耦合至該粒子束計量工具之一控制器。在另一闡釋性實施例中,該控制器自該粒子束計量工具接收該掃描信號。在另一闡釋性實施例中,該控制器判定關於一或多個對稱性度量該掃描信號之一或多個對稱性測量。在另一闡釋性實施例中,該控制器基於該一或多個對稱性測量產生該第一層與該第二層之間之一重疊測量,其中該掃描信號之一不對稱性指示該第二層目標元件相對於該第一層目標元件之一未對準,且該重疊測量之一值係基於該一或多個對稱性測量。
應瞭解,前文一般描述及以下[實施方式]兩者皆僅為例示性的及說明性的,且不一定限制如所主張之本發明。併入於本說明書中且構成本說明書之一部分的隨附圖式繪示本發明之實施例且連同一般描述一起用於說明本發明之原理。
100:重疊計量系統
102:重疊計量工具
104:控制器
106:處理器
108:記憶媒體
110:粒子源
112:粒子束
114:粒子聚焦元件
116:粒子物鏡
118:樣本
120:樣本台
122:偵測器
200:重疊計量方法
202:步驟
204:步驟
206:步驟
208:步驟
210:步驟
302:重疊計量目標
304:第一層目標元件
304a:第一層目標元件
304b:第一層目標元件
304c:第一層目標元件
306:第一層
308:第二層目標元件
308a:第二層目標元件
310:第二層
312:第一層間距
314:第二層間距
316:第一層元件寬度
318:第二層元件寬度
402:剖面圖
404:剖面圖
406:第二層背散射發射(BSE)
408:第一層背散射發射(BSE)
410:第二層二次發射(SE)
412:第一層二次發射(SE)
414:額外第二層二次發射(SE)
504:第一層校準目標元件
504a:第一層校準目標元件/第一校準目標元件
504b:第一層校準目標元件/第一校準目標元件
504c:第一層校準目標元件/第一校準目標元件
504d:第一層校準目標元件/第一校準目標元件
504e:第一層校準目標元件/第一校準目標元件
506:第一層
508:第二層校準目標元件
508a:第二層校準目標元件/第二校準目標元件
508b:第二層校準目標元件/第二校準目標元件
508c:第二層校準目標元件
508d:第二層校準目標元件/第二校準目標元件
508e:第二層校準目標元件/第二校準目標元件
510:第二層
512b:不對稱性偏移
512c:不對稱性偏移
512d:不對稱性偏移
512e:不對稱性偏移
514:校準掃描信號
514a:校準掃描信號
514b:校準掃描信號
514c:校準掃描信號
514d:校準掃描信號
514e:校準掃描信號
516:概念表示
518:第一峰值分離
520:第二峰值分離
熟習此項技術者可藉由參考附圖而更佳理解本發明之許多優點,其中:圖1A係根據本發明之一或多項實施例之適於裝置相關計量測量的一重疊計量系統之一概念圖。
圖1B係根據本發明之一或多項實施例之一基於粒子之重疊計量工具之一概念圖。
圖2係繪示根據本發明之一或多項實施例之在一重疊計量方法中執行的步驟之一流程圖。
圖3A係根據本發明之一或多項實施例之包含堆疊重疊目標特徵的一個兩層重疊計量目標之一俯視圖。
圖3B係根據本發明之一或多項實施例之包含堆疊重疊目標特徵的一個兩層重疊計量目標之一剖面圖。
圖4包含根據本發明之一或多項實施例之來自一重疊計量目標之回應於一入射粒子束的多個發射源之剖面圖。
圖5A係根據本發明之一或多項實施例之具有系統性變化之已知不對稱性偏移的一校準樣本之一剖面圖。
圖5B包含根據本發明之一或多項實施例之與圖5A之校準目標元件相關聯之校準掃描信號。
現將詳細參考在隨附圖式中繪示之所揭示標的物。已關於特定實施例及其具體特徵特別展示且描述本發明。本文中闡述之實施例被視為闡釋性的而非限制性的。一般技術者應容易明白,可在不脫離本發明 之精神及範疇之情況下在形式及細節方面進行各種改變及修改。
本發明之實施例係關於藉由用一粒子束(例如,一電子束、一離子束或類似者)跨定位於兩個樣本層上之堆疊重疊目標元件掃描、捕獲來自目標元件之一對應掃描信號,且基於掃描信號之對稱性判定重疊目標元件之相對位置而判定重疊。
例如,一粒子束計量工具(諸如但不限於一掃描電子顯微鏡(SEM)計量工具(例如,一臨界尺寸SEM(CD-SEM)或類似者)或一聚焦離子束(FIB)計量工具)可用一粒子束跨一重疊目標之一部分掃描,且偵測來自樣本之回應於粒子束的發射。發射可包含回應於粒子束而自樣本發出之各種類型之粒子及/或輻射,諸如但不限於粒子束之背散射發射、二次發射(例如,二次電子發射或類似者)或電磁輻射(例如,光)。此外,一粒子束可與樣本之多個層上之目標元件相互作用且因此基於相互作用範圍特性化該等目標元件,相互作用範圍至少部分由粒子束之能量判定。例如,低能粒子束可用於特性化一表面層,而相對高能粒子束可更深地穿透至樣本中以特性化先前製造層上之特徵。
一半導體裝置可形成為一基板上之圖案化材料之多個印刷層。可透過一系列程序步驟(諸如但不限於一或多個材料沈積步驟、一或多個微影步驟或一或多個蝕刻步驟)製造各圖案化層。此外,各圖案化層通常必須在特定容限內製造以正確地構造最終裝置。例如,必須良好特性化及控制印刷特性,諸如但不限於與層之間之元件之配準或相對放置相關聯之重疊。因此,可在一或多個圖案化層上在跨一樣本之多個位置處製造重疊目標以實現對製造程序之有效特性化。在此方面,一圖案化層上之重疊目標元件之印刷特性的偏差可表示層上之全部元件(包含形成半導體裝 置之一部分之裝置特徵)之印刷特性的偏差。然而,重疊目標之樣本上相對於裝置特徵之大小、定向、密度及/或位置差異可引入目標處之經測量重疊與裝置特徵之實際重疊之間之一失配。因此,確保對重疊目標之裝置相關重疊測量係重疊計量中之一持續挑戰。
本發明之實施例係關於測量具有裝置級特徵之專用重疊目標上之重疊。在此方面,重疊目標之元件可具有類似於對應裝置特徵之特徵,諸如但不限於大小、形狀、定向或密度。因此,所關注之裝置結構及重疊目標可以實質上類似特性印刷,此可減輕目標至裝置誤差。
本發明之額外實施例係關於測量包含所關注層上之標稱堆疊或疊蓋元件之重疊目標上的重疊。在此方面,重疊目標可避免大的開放區域,此可能與半導體設計規則不相容。此外,包含堆疊目標元件之重疊目標可有利於一基於粒子之計量工具中的一均勻視場及均勻表面充電,以減輕射束放置失真及相關聯測量誤差。
例如,一堆疊重疊目標之重疊可基於所關注層上之目標元件之間之相對位置。此外,堆疊重疊目標可經製造使得一標稱重疊(例如,樣本層之間無失準)對應於所關注層上之目標元件之任何選定分佈。例如,一堆疊重疊目標可經製造使得一標稱重疊對應於目標元件之一對稱性組態,其中一表面層上之目標元件製造於一子表面層中之目標之正上方(例如,表面層中之特徵之對稱線與子表面層中之特徵之對稱線對準)。藉由另一實例,一堆疊重疊目標可經製造使得一標稱重疊對應於目標元件之一不對稱性組態,其中一表面層上之目標元件經製造而相對於一子表面層上之目標元件具有一選定不對稱性偏移。
本文中應認知,相關聯於粒子束與堆疊重疊目標元件之相 互作用的樣本發射可包含與樣本之多個層上之目標元件相關聯之多個發射源。例如,與堆疊重疊目標特徵相互作用之一電子束可同時誘發來自多個樣本層上之目標元件的背散射發射及二次發射兩者,使得辨別所偵測發射之源可具挑戰性。本發明之額外實施例係關於基於一掃描信號之對稱性跨一或多個堆疊重疊目標元件偵測重疊。例如,跨多個層之對稱性對準的目標結構之一發射信號亦可為對稱的。相反地,非對稱性對準的目標結構之一發射信號可為不對稱的。因此,一掃描信號之對稱性可提供底層特徵之對稱性之一測量及因此適於判定重疊之目標特徵之相對位置。
本發明之額外實施例係關於提取一重疊值(例如,基於一或多個對稱性度量之多個層上之重疊目標結構之間之一失準之一值)。例如,對稱性度量可包含但不限於掃描信號中之峰值之一數目、掃描信號中之峰值之值、掃描信號之峰值相對於一重疊目標結構之一中心之位置、掃描信號峰值之分離距離或掃描信號之積分。進一步實施例係關於使對稱性度量與重疊值相關。例如,可針對具有多個層上之重疊目標特徵之具有已知不對稱性偏移的一校準重疊目標產生校準掃描信號。接著,可使用校準掃描信號及對應已知不對稱性偏移來產生掃描信號之對稱特性與重疊測量之間之相關性,使得可基於相關性而自一任意掃描信號提取一重疊測量。此外,可使用此項技術中已知之任何方法(諸如但不限於圖案辨識技術、主分量分析或機械學習技術)來產生相關性。
本發明之額外實施例係關於基於重疊測量產生重疊可校正值。接著,可將重疊可校正值提供至製造工具(例如,微影工具)而作為回饋及/或前饋資料。例如,與一當前程序步驟相關聯之在一樣本上測量的重疊測量可用於補償漂移且針對相同或後續批次中之後續樣本上的程序步 驟將重疊維持在選定容限內。藉由另一實例,可前饋與一當前程序步驟相關聯之重疊測量以調整後續程序步驟而補償任何經測量重疊誤差。
圖1A係根據本發明之一或多項實施例之適於裝置相關計量測量的一重疊計量系統100之一概念圖。在一項實施例中,重疊計量系統100包含適於測量堆疊重疊目標特徵之層內圖案放置距離以及層間重疊測量的至少一個重疊計量工具102。
在另一實施例中,重疊計量系統100包含一控制器104。在另一實施例中,控制器104包含經組態以執行維持於一記憶媒體108上之程式指令的一或多個處理器106。在此方面,控制器104之一或多個處理器106可執行在本發明各處描述之各個程序步驟之任一者。例如,控制器104可自重疊計量工具102接收資料且可進一步產生裝置相關重疊資料。藉由另一實例,控制器104可基於來自重疊計量工具102之資料產生裝置相關重疊可校正值。
此外,控制器104可經通信地耦合至一或多個外部製造工具,諸如(但不限於)一微影工具。在此方面,控制器104可操作為一先進程序控制器(APC),其適於控制外部製造工具之輸入以將重疊維持在選定重疊容限內。
一控制器104之一或多個處理器106可包含此項技術中已知之任何處理元件。就此而言,一或多個處理器106可包含經組態以執行演算法及/或指令之任何微處理器型裝置。在一項實施例中,一或多個處理器106可包括一桌上型電腦、主機電腦系統、工作站、影像電腦、平行處理器,或經組態以執行經組態以操作重疊計量系統100之一程式的任何其他電腦系統(例如,網路電腦),如在本發明各處描述。進一步應認知,術 語「處理器」可廣泛定義為涵蓋具有一或多個處理元件之任何裝置,其執行來自一非暫時性記憶媒體108之程式指令。此外,可藉由一單一控制器104或者多個控制器來實行在本發明各處描述之步驟。另外,控制器104可包含經容置於一共同外殼中或在多個外殼內之一或多個控制器。以此方式,可將任何控制器或控制器之組合單獨封裝為適於整合至重疊計量系統100中之一模組。
記憶媒體108可包含此項技術中已知之適於儲存可由相關聯之一或多個處理器106執行之程式指令的任何儲存媒體。例如,記憶媒體108可包含一非暫時性記憶媒體。藉由另一實例,記憶媒體108可包含但不限於一唯讀記憶體、一隨機存取記憶體、一磁性或光學記憶體裝置(例如磁碟)、一磁帶、一固態硬碟,及類似者。進一步應注意,記憶媒體108可與一或多個處理器106容置於一共同控制器外殼中。在一項實施例中,記憶媒體108可係相對於一或多個處理器106及控制器104之實體位置遠端定位。例如,控制器104之一或多個處理器106可存取可透過一網路(例如,網際網路、內部網路及類似者)存取之一遠端記憶體(例如,伺服器)。因此,上文描述不應被解釋為對本發明之一限制,而是僅為一繪示。
藉由另一實例,重疊計量工具102可用一聚焦射束跨樣本掃描,且在一或多個偵測器上以一或多個測量角捕獲自樣本發出之輻射及/或粒子以產生影像。可藉由修改射束路徑(例如,使用一檢流鏡(galvo mirror)、一壓電鏡或類似者)及/或藉由將樣本平移通過聚焦射束之一焦體積而使聚焦射束跨樣本掃描。
圖1B係根據本發明之一或多項實施例之一基於粒子之重疊 計量工具102之一概念圖。重疊計量工具102可包含適於解析裝置特徵或裝置級特徵之任何類型之計量工具,諸如但不限於一電子束計量工具(例如,一SEM、一CD-SEM或類似者)或一離子束計量工具(例如,一聚焦離子束(FIB)計量工具)。
在一項實施例中,重疊計量工具102包含一粒子源110(例如,一電子束源、一離子束源或類似者)以產生一粒子束112(例如,一電子束、一粒子束或類似者)。粒子源110可包含此項技術中已知之適於產生一粒子束112之任何粒子源。例如,粒子源110可包含但不限於一電子槍或一離子槍。在另一實施例中,粒子源110經組態以提供具有一可調諧能量之一粒子束。例如,包含一電子源之粒子源110可,但不被要求,提供在0.1kV至30kV之範圍內之一加速電壓。作為另一實例,包含一離子源之一粒子源110可提供但不要求提供具有在1keV至50keV之範圍內之一能量的一離子束。
在另一實施例中,重疊計量工具102包含一或多個粒子聚焦元件114。例如,一或多個粒子聚焦元件114可包含但不限於形成一複合系統之一單一粒子聚焦元件或一或多個粒子聚焦元件。在另一實施例中,一或多個粒子聚焦元件114包含一粒子物鏡116,粒子物鏡116經組態以將粒子束112導引至定位於一樣本台120上之一樣本118。此外,一或多個粒子聚焦元件114可包含此項技術中已知之任何類型之電子透鏡,包含但不限於靜電、磁性、單電位或雙電位透鏡。
在另一實施例中,重疊計量工具102包含一或多個偵測器122,偵測器122用於成像或以其他方式偵測自樣本118發出之粒子。在一項實施例中,偵測器122包含一電子收集器(例如,一個二次電子收集器、 一背散射電子偵測器或類似者)。在另一實施例中,偵測器122包含用於偵測來自樣本118之電子及/或光子之一光子偵測器(例如,一光偵測器、一x射線偵測器、耦合至光電倍增管(PMT)偵測器之一閃爍元件或類似者)。
在另一實施例中,(雖然未展示)重疊計量工具102包含一或多個收集透鏡以捕獲來自樣本118之發射且將其導引至一或多個偵測器122。例如,物鏡116可操作為一收集透鏡以收集來自樣本之發射。此外,一或多個收集元件(例如,一或多個額外透鏡、一射束偏轉器或類似者)可將發射導引至一或多個偵測器122。
應瞭解,如圖1B中描繪之一重疊計量工具102之描述及上文相關聯描述僅經提供用於闡釋性目的不應被解釋為限制性。例如,重疊計量工具102可包含適於同時訊問一樣本118之一多束及/或一多柱系統。在又一實施例中,重疊計量工具102可包含經組態以將一或多個電壓施加至樣本118之一或多個位置之一或多個組件(例如,一或多個電極)。在此方面,重疊計量工具102可產生電壓對比成像資料。
本文中應認知,粒子束112在樣本118中之穿透深度可取決於粒子能,使得較高能射束通常更深地穿透至樣本中。在一項實施例中,重疊計量工具102利用不同粒子能來基於粒子束112至樣本118中之穿透深度訊問裝置之不同層。例如,重疊計量工具102可利用一相對低能電子束(例如,大約1keV或更少)且可利用一較高能射束(例如,大約10keV或更高)來特性化一先前製造層。本文中應認知,依據粒子能而變化之穿透深度可針對不同材料而變化,使得針對一特定層對粒子能之選擇可針對不同材料而變化。
圖2係繪示根據本發明之一或多項實施例之在一重疊計量 方法200中執行的步驟之一流程圖。申請人指出,本文中先前在重疊計量系統100之內容背景中描述之實施例及實現技術應被解釋為擴展至方法200。然而,進一步應注意,方法200不限於重疊計量系統100之架構。
在一項實施例中,方法200包含用一粒子束跨一樣本上之一重疊目標掃描之一步驟202,其中重疊目標包含樣本之一第一層上一第一層目標元件及繼第一層之後製造之樣本之一第二層上之一第二層目標元件。
圖3A及圖3B繪示根據本發明之一或多項實施例之具有堆疊重疊目標特徵之一重疊目標。明確言之,圖3A係根據本發明之一或多項實施例之包含堆疊重疊目標特徵的一個兩層重疊計量目標302之一俯視圖。此外,圖3B係根據本發明之一或多項實施例之包含堆疊重疊目標特徵的兩層重疊計量目標302之一剖面圖。在一項實施例中,重疊計量目標302包含一樣本118之一第一層306上之第一層目標元件304,且進一步包含樣本118之一第二層310上之第二層目標元件308。例如,第二層310可繼第一層306之後製造且可表示一當前製造層。情況可為可在後續製造步驟中在第二層目標元件308上製造一或多個額外層。
一重疊目標之一給定層上之目標元件可具有適用於測量樣本之兩個或更多個層之間之重疊的任何大小、形狀、定向或分佈。例如,如圖3A及圖3B中繪示,第一層目標元件304可以一第一層間距312週期性地分佈,且第二層目標元件308可以一第二層間距314分佈。此外,第一層目標元件304可具有一第一層元件寬度316,而第二層目標元件308可具有一第二層元件寬度318。另外,不同層上之目標特徵之週期及/或寬度可相同或可不同。例如,如圖3A及圖3B中繪示,第二層間距314之大小係第 一層間距312之三倍。另外,第二層元件寬度318之大小係第一層元件寬度316之三倍以上,使得各第二層目標元件308疊蓋三個第一層目標元件304。
在一項實施例中,一重疊目標之一或多個層上之目標特徵可具有表示對應層上之裝置特徵的大小、形狀、定向或分佈。在此方面,重疊目標特徵可被製造為具有與對應裝置特徵實質上相同之特性(例如,圖案放置誤差、側壁角、臨界尺寸或類似者),使得在重疊目標上測量之重疊可準確地表示樣本上之對應裝置特徵之重疊。例如,目標特徵間距(例如,第一層間距312、第二層間距314或類似者)及/或目標特徵寬度(例如,第一層元件寬度316、第二層元件寬度318或類似者)可表示裝置特徵。本文中應認知,樣本之一給定層上之裝置特徵可具有變化大小、形狀、定向或分佈。因此,裝置級重疊目標元件可具有表示至少一些所關注裝置特徵之尺寸。
一給定層上之目標元件可堆疊成適用於判定樣本之兩個或更多個層之間之重疊的任何組態。在一項實施例中,以一標稱對稱性組態製造相鄰樣本層上之至少一些目標元件。在此方面,可基於對稱性之一中斷(例如,藉由不對稱製造)而識別樣本層之間之重疊。
例如,如圖3B之剖面圖中所繪示,第二層目標元件308a可以一對稱性組態製造於第一層目標元件304a之正上方(且在第一層目標元件304a至304c之群組上方對稱),該對稱性組態可表示但不要求表示與第一層306與第二層310之間之零失準相關聯的一標稱重疊。因此,第一層306與第二層310之間沿目標特徵之分離方向(例如,圖3A及圖3B中之X方向)的一失準可表現為第二層目標元件308相對於第一層目標元件304之對 稱性之一中斷。此外,第二層目標元件308相對於第一層目標元件304之對稱性的中斷之特徵可為指示第二層目標元件308相對於一對稱性組態之間之失準值的一不對稱性偏移。
然而,應瞭解,在圖3A及圖3B以及相關聯描述中繪示之重疊計量目標302僅經提供用於闡釋性目的且不應被解釋為限制性。例如,一重疊目標可在一或多個層中具有一單一目標元件,使得可堆疊一重疊測量所關注之相鄰層上之目標元件。藉由另一實例,第二層元件寬度318可小於第一層元件寬度316,使得第一層目標元件304之一部分從一俯視圖可見。藉由另一實例,第二層間距314可等於或小於第一層間距312。藉由另一實例,一給定層上之重疊目標特徵可具有變化大小、形狀、定向及/或分佈,只要所關注層之間保持對稱性。
步驟202可包含用任何類型之粒子束跨重疊目標(例如,重疊計量目標302)掃描。例如,可(但不要求)使用基於粒子之重疊計量工具102產生粒子束。因此,粒子束(例如,粒子束112或類似者)可包含但不限於一電子束、一離子束(例如,一光子束或類似者)或一中性粒子束。
此外,步驟202可包含用粒子束在重疊目標之一或多個目標元件上方掃描,使得粒子束與多個所關注層上之目標元件相互作用。如本文中先前描述,一粒子束(例如,粒子束112或類似者)之相互作用範圍及/或相互作用深度可依據至少射束能而變化,其中粒子束之穿透深度隨射束能增加而增加。
例如,再次參考圖3A及圖3B,步驟202可包含用粒子束在至少第二層目標元件308a上方以足夠射束能掃描以與第一層目標元件304a至304c相互作用。
在另一實施例中,方法200包含捕獲與粒子束與第一層目標元件及第二層目標元件兩者之相互作用相關聯的一掃描信號之一步驟204。例如,步驟204可包含捕獲與回應於步驟202中之掃描粒子束而自樣本偵測之發射相關聯的一掃描信號。此外,可基於回應於用粒子束掃描樣本而產生之多種類型的發射(諸如但不限於粒子束之背散射發射(BSE)或粒子之二次發射(SE)及/或電磁輻射(例如,光))而產生掃描信號。
圖4包含根據本發明之一或多項實施例之來自重疊計量目標302之回應於一入射粒子束112的多個發射源之剖面圖。剖面圖402繪示與粒子束112在樣本中之反射相關聯(例如,與粒子束112之彈性散射相關聯)之一些可能背散射發射源,且剖面圖404繪示因粒子束112在樣本118中之吸收誘發之一些可能二次發射源。
在一項實施例中,粒子束112包含一電子束(例如,藉由一掃描電子顯微鏡計量系統產生)。接著,粒子束112與樣本之相互作用可產生來自樣本之許多發射信號。例如,粒子束112可基於與第二層目標元件308a之相互作用產生第二層BSE 406,及/或基於在行進通過第二層310之後與第一層目標元件304a之相互作用產生第一層BSE 408。藉由另一實例,粒子束112可誘發產生來自多個源之二次電子(SE)。例如,粒子束112可產生來自第二層目標元件308a之第二層SE 410。在另一例項中,粒子束112可在行進通過第二層310之後產生來自第一層目標元件304a之第一層SE 412。在另一例項中,如圖4中繪示,在第二層310中,可在吸收在第一層306中產生且傳播回向表面之第一層BSE 408的一部分之後產生額外第二層SE 414。
可藉由適於捕獲所關注發射之任何數目之偵測器捕獲回應 於步驟202中之粒子束112而產生之發射。例如,可藉由重疊計量系統100之至少一個偵測器122捕獲發射。此外,偵測器122之任一者可產生與經捕獲信號之強度相關聯之一掃描信號,該強度依據粒子束112在一掃描期間跨樣本之位置而變化。
在另一實施例中,方法200包含關於一或多個對稱性度量判定掃描信號之一或多個對稱性測量的一步驟206。在另一實施例中,方法200包含基於一或多個對稱性測量產生第一層與第二層之間之一重疊測量的一步驟208,其中掃描信號之一不對稱性指示第二層目標元件相對於第一層目標元件之一未對準,其中重疊測量之一值係基於一或多個對稱性測量。判定掃描信號之一或多個對稱性測量的步驟206及/或步驟208可使用但不要求使用重疊計量系統100之控制器104來執行。例如,控制器104可通信地耦合至偵測器122以接收掃描信號,且可進一步分析掃描信號以分析掃描信號之對稱性,且進一步基於對稱性測量產生一重疊測量。
本文中應認知,一偵測器(例如,一偵測器122)可同時捕獲來自樣本之多個發射源(例如,第一層BSE 408、第二層BSE 406、第一層SE 412、第二層SE 410及/或額外第二層SE 414之任何組合)。然而,情況可為包含源之任何組合之發射之一掃描信號的對稱性或不對稱性可反映藉由粒子束掃描之樣本特徵的底層對稱性或不對稱性。因此,步驟206可藉由關於任何數目個對稱性度量判定對稱性測量而特性化掃描信號。此外,步驟208可基於對稱性測量判定一重疊測量。
例如,可基於掃描信號之對稱性測量與標稱對稱性測量之一偏差來識別一非零重疊(例如,樣本層之一失準)之存在。例如,一非零重疊可對應於重疊目標元件之一對稱性組態或與一選定不對稱性偏移相關 聯之一選定不對稱性組態。此外,可基於關於一或多個對稱性度量分析掃描信號而產生包含樣本層之間之一失準之一量值及/或方向的一重疊測量值。
在一項實施例中,可關於一校準資料集產生一重疊測量值,該校準資料集提供已知不對稱性偏移(例如,所關注樣本層上之目標特徵與一對稱性組態之已知偏差)與對應掃描信號之間之一映射。例如,可運用對應於待測量之一重疊目標(例如,重疊計量目標302或類似者)之堆疊重疊目標元件來製造一或多個校準樣本,該重疊目標配置為在所關注樣本層之間具有系統性變化之不對稱性偏移。此外,可用重疊計量工具(例如,重疊計量工具102)產生與各已知不對稱性偏移相關聯之校準掃描信號。因此,可分析與校準掃描信號相關聯之對稱性測量以產生對稱性測量與已知不對稱性偏移之間之一映射。因此,校準資料集可用於將在任何所關注樣本上測量之掃描信號映射至一不對稱性偏移。
接著,可使不對稱性偏移與重疊值相關。例如,掃描信號對對應目標特徵之不對稱性偏移之偏差的靈敏度可針對不同偏移而變化。因此,一重疊目標可經製造具有所關注樣本層上之目標特徵之間的任何選定不對稱性偏移以提供一所要靈敏度。
圖5A係根據本發明之一或多項實施例之具有系統性變化之已知不對稱性偏移的一校準樣本之一剖面圖。在一項實施例中,校準樣本包含校準樣本之一第一層506上之第一層校準目標元件504,且進一步包含校準樣本之一第二層510上之第二層校準目標元件508。此外,校準目標元件(例如,第一層校準目標元件504、第二層校準目標元件508或類似者)可具有與一對應所關注重疊目標(例如,重疊計量目標302或類似者) 上之重疊目標元件實質上相同之大小、形狀及定向。在此方面,可以與對應重疊目標實質上相同之特性(例如,圖案放置誤差、臨界尺寸、側壁角或類似者)來製造校準重疊目標元件。
校準目標元件可經製造具有系統性變化之已知不對稱性偏移(例如,第二層510上之目標元件相對於第一層506上之目標元件的位置與一對稱性組態之偏差)。例如,如圖5A中繪示,一第二層校準目標元件508a可對稱地製造於一對應第一層校準目標元件504a上方而具有零不對稱性偏移512a,第二層校準目標元件508b、508c可對稱地製造於對應第一層校準目標元件504b、504c上方而具有相等的且相對的不對稱性偏移512b、512c(例如,不對稱性),第二層校準目標元件508d、508e可對稱地製造於對應第一層校準目標元件504d、504e上方而具有相等的且相對的不對稱性偏移512d、512e,等等。
圖5B包含根據本發明之一或多項實施例之與圖5A之校準目標元件相關聯的校準掃描信號514。例如,校準掃描信號514a可對應於第一校準目標元件504a及第二校準目標元件508a,校準掃描信號514b可對應於第一校準目標元件504b及第二校準目標元件508b,校準掃描信號514c可對應於第一校準目標元件504c及第二校準目標元件508c,校準掃描信號514d可對應於第一校準目標元件504d及第二校準目標元件508d,且校準掃描信號514e可對應於第一校準目標元件504e及第二校準目標元件508e。
如本文中先前所描述,校準掃描信號514之對稱性可指示對應校準目標元件之製造對稱性及因此第二層510上之校準目標元件相對於第一層506之間之不對稱性偏移(例如,失準)。例如,校準掃描信號 514a具有一對稱性分佈,校準掃描信號514b、514c具有一第一不對稱性分佈之相等且相對例項,且校準掃描信號514d、514e具有一第二不對稱性信號之相等且相對例項。
此外,如圖5A中繪示,校準掃描信號514可展現對應於第二層510上的校準目標元件相對於第一層506之系統性變化之不對稱性偏移的系統性變化的分佈。例如,校準掃描信號514b至514e可展現與校準掃描信號514a的系統偏差,此可在概念上藉由概念表示516繪示,其中在相等且相反方向上的非零不對稱性偏移導致校準掃描信號514的對應偏差。因此,可就對稱性度量來特性化校準掃描信號514,以提供對稱性度量與不對稱性偏移(例如,與對稱性之偏差)之間之一映射。
此外,應瞭解,圖5A中之校準掃描信號514的概念表示516意欲在概念上繪示校準掃描信號514的系統偏差而非校準掃描信號514的特定值。例如,圖5A中之校準掃描信號514的概念表示516可反映但不要求反映校準掃描信號514關於一或多個對稱性度量之一或多個對稱性測量的系統性變動。
可針對此項技術中已知之任何類型之對稱性度量的任何組合來評估一重疊目標及/或一校準樣本的掃描信號。在此方面,掃描信號與不對稱性偏移之間之一映射可基於掃描信號的對稱性測量而非掃描信號的實際分佈。
在一項實施例中,一掃描度量包含一掃描信號中之峰值之一數目。例如,對稱性校準掃描信號514a包含一單一峰值,而不對稱性校準掃描信號514b至514e包含多個(例如,兩個)峰值。
在另一實施例中,一掃描度量包含掃描信號內之峰值的位 置。例如,一掃描信號內之峰值的位置可基於不對稱性偏移之值而變化。在一個例項中,如圖5B中繪示,一對稱性校準掃描信號514a可具有以相關聯對稱性堆疊之重疊目標元件為中心之一峰值(例如,0位置),而一不對稱性校準掃描信號514b至514e中之一或多個峰值可偏離0位置。
在另一實施例中,一掃描度量包含掃描信號內之峰值的分離。例如,一掃描信號內之峰值的分離可基於不對稱性偏移的值而變化。在一個例項中,如圖5B中繪示,一對稱性校準掃描信號514a可具有一單一峰值,而校準掃描信號514b、514c可包含具有一第一峰值分離518之峰值,且校準掃描信號514d、514e可包含具有一第二峰值分離520之峰值。
在另一實施例中,一掃描度量包含掃描信號內之峰值之值。例如,一掃描信號內之峰值之值可基於不對稱性偏移之值而變化。在一個例項中,如圖5B中繪示,一對稱性校準掃描信號514a可具有具一第一峰值之一單一峰值,而校準掃描信號514b、514c可包含具有一第一峰值之峰值,且校準掃描信號514d、514e可包含具有一第二峰值及一第三峰值之峰值。
在另一實施例中,一掃描度量包含掃描信號之一積分。例如,一掃描信號之積分可基於不對稱性偏移之值而變化。
可使用此項技術中已知之任何技術來完成基於對稱性度量之對稱性測量與對應不對稱性偏移之間之一映射。例如,可使用資料擬合及最佳化技術(包含但不限於程式庫、快速降階模型、迴歸、變換分析(例如傅立葉(Fourier)或小波變換、卡爾曼(Kalman)濾波器及類似者)、降維演算法(例如,主分量分析(PCA)、獨立分量分析(ICA)、局部線性嵌入(LLE)及類似者),或資料之稀疏表示)來分析掃描信號。
在另一實施例中,可藉由訓練一機器學習演算法(諸如但不限於一神經網路或一支援向量機器(SVM)演算法)而產生掃描信號與不對稱性偏移之間之一映射。例如,可將對應不對稱性偏移及校準掃描信號(例如,校準掃描信號514)之任何組合或基於一或多個對稱性度量之校準信號之對稱性測量作為訓練信號提供至機器學習演算法。在此方面,機器學習演算法可判定不對稱性偏移與相關聯校準掃描信號之間之相關性及/或校準掃描信號之對稱性測量。一旦機器學習演算法已經訓練,機器學習演算法便可基於步驟202中產生之掃描信號及在訓練階段期間產生之映射判定所測量之樣本118之不對稱性偏移。
在另一實施例中,步驟208包含基於樣本層上之重疊目標特徵之間之不對稱性偏移產生所關注樣本層之一重疊測量。如本文中先前描述,一重疊目標經製造具有重疊目標元件之任何選定不對稱性偏移。因此,步驟208可包含按一選定值調整不對稱性偏移以產生重疊測量。
在另一實施例中,方法200包含將基於裝置相關重疊之重疊可校正值提供至一微影系統以修改至少一個後續曝光之曝光條件的一步驟210。
例如,步驟210可包含基於裝置相關重疊產生用於製造工具(諸如但不限於微影工具)之控制參數(或對控制參數之校正)。可藉由一控制系統(諸如但不限於重疊計量系統100之控制器104)產生控制參數。可提供重疊可校正值作為一回饋及/或一前饋控制迴路之部分。在一項實施例中,與一當前程序步驟相關聯之在一樣本上測量的裝置相關重疊測量用於補償一或多個製造程序之漂移,且因此可跨相同或不同批次中之後續樣本上之多個曝光將重疊維持在選定容限內。在另一實施例中,可前饋與一 當前程序步驟相關聯之裝置相關重疊測量以調整後續程序步驟而補償任何測量重疊誤差。例如,可調整對後續層上之圖案之曝光以與後續層之經測量重疊匹配。
用於重疊測量之系統及方法大體上描述於以下各者中:標題為「OVERLAY MARKS,METHODS OF OVERLAY MARK DESIGN AND METHODS OF OVERLAY MEASUREMENTS」且於2012年12月11日發佈之美國專利第8,330,281號;標題為「PERIODIC PATTERNS AND TECHNIQUE TO CONTROL MISALIGNMENT BETWEEN TWO LAYERS」且於2016年10月25日發佈之美國專利第9,476,698號;標題為「APPARATUS AND METHODS FOR DETERMINING OVERLAY OF STRUCTURES HAVING ROTATIONAL OR MIRROR SYMMETRY」且於2009年6月2日發佈之美國專利第7,541,201號;標題為「APPARATUS AND METHODS FOR DETECTING OVERLAY ERRORS USING SCATTEROMETRY」且於2007年7月10日發佈之美國專利第7,242,477號;標題為「METHOD AND SYSTEM FOR PROVIDING A QUALITY METRIC FOR IMPROVED PROCESS CONTROL」且於2013年2月7日發表之美國專利公開案第2013/0035888號;及標題為「SYSTEM AND METHOD OF SEM OVERLAY METROLOGY」且於2015年12月15日發佈之美國專利第9,214,317號,全部該等案之全文以引用方式併入本文中。
本文中描述之標的物有時繪示含於其他組件內或與其他組件連接之不同組件。應瞭解,此等所描繪架構僅為例示性的,且事實上可實施其他架構而達成相同功能性。在概念意義上,達成相同功能性之任何 組件配置經有效「相關聯」使得達成所要功能性。因此,在本文中經組合以達成一特定功能性之任兩個組件可被視為彼此「相關聯」使得達成所要功能性,而與架構或中間組件無關。同樣地,如此相關聯之任兩個組件亦可被視為彼此「連接」或「耦合」以達成所要功能性,且能夠如此相關聯之任兩個組件亦可被視為可彼此「耦合」以達成所要功能性。可耦合之具體實例包含但不限於可實體互動及/或實體互動之組件及/或可無線互動及/或無線互動之組件及/或可邏輯互動及/或邏輯互動之組件。
據信,藉由前述描述將理解本發明及其許多伴隨優點,且將明白,可在不脫離所揭示標的物或不犧牲全部其材料優點之情況下在組件之形式、構造及配置方面進行各種改變。所描述之形式僅為說明性的,且以下發明申請專利範圍意欲涵蓋且包含此等改變。此外,應瞭解,本發明係由隨附發明申請專利範圍定義。
200:方法
202:步驟
204:步驟
206:步驟
208:步驟
210:步驟

Claims (35)

  1. 一種重疊計量系統,其包括:一控制器,其經通信地耦合至一粒子束計量工具,該控制器包含經組態以執行程式指令之一或多個處理器,該等程式指令經組態以引起該一或多個處理器:自該粒子束計量工具接收一掃描信號,其中該粒子束計量工具經組態以用一粒子束跨一樣本上之一重疊目標掃描,該重疊目標包含該樣本之一第一層上之一第一層目標元件及繼該第一層之後製造之該樣本之一第二層上之一第二層目標元件,其中該粒子束計量工具進一步經組態以捕獲與該粒子束與該第一層目標元件及該第二層目標元件兩者之相互作用相關聯之一掃描信號;就(with respect to)一或多個對稱性度量來判定該掃描信號之一或多個對稱性測量;及基於該一或多個對稱性測量來產生該第一層與該第二層之間之一重疊測量,其中該掃描信號之一不對稱性指示該第二層目標元件相對於該第一層目標元件之一未對準(misalignment),其中該重疊測量之一值係基於該一或多個對稱性測量。
  2. 如請求項1之重疊計量系統,其中該一或多個處理器進一步經組態以執行程式指令而引起該一或多個處理器將基於該重疊測量之該值的重疊可校正值(correctables)提供至一微影系統,以修改至少一個後續曝光的曝光條件。
  3. 如請求項1之重疊計量系統,其中該掃描信號包括:一背散射粒子束信號或一個二次發射信號之至少一者。
  4. 如請求項1之重疊計量系統,其中該一或多個處理器進一步經組態以:接收包含藉由該粒子束計量工具基於一或多個校準樣本上之該第一層目標元件及該第二層目標元件之一或多個已知重疊組態所產生之一或多個校準掃描信號之一校準資料集;及基於該一或多個對稱性度量來判定該一或多個校準掃描信號之一或多個校準對稱性測量,其中產生該重疊測量包括:基於該一或多個對稱性測量與該等校準對稱性測量之一比較來判定該重疊測量之該值。
  5. 如請求項4之重疊計量系統,其中基於該一或多個對稱性測量與該等校準對稱性測量之該比較來判定該重疊測量之該值包括:使用一圖案比較技術而基於該一或多個對稱性測量與該等校準對稱性測量之該比較來判定該重疊測量之該值。
  6. 如請求項4之重疊計量系統,其中基於該一或多個對稱性測量與該等校準對稱性測量之該比較來判定該重疊測量之該值包括:使用一機器學習技術而基於該一或多個對稱性測量與該等校準對稱性測量之該比較來判定該重疊測量之該值。
  7. 如請求項1之重疊計量系統,其中該一或多個對稱性度量包含該掃描信號中之峰值之一數目。
  8. 如請求項1之重疊計量系統,其中該一或多個對稱性度量包含該掃描信號之峰值的位置。
  9. 如請求項8之重疊計量系統,其中該一或多個對稱性度量包含該掃描信號之峰值相對於該掃描信號之一中心位置的位置。
  10. 如請求項1之重疊計量系統,其中該一或多個對稱性度量包含該掃描信號之兩個或更多個峰值之間的分離距離。
  11. 如請求項1之重疊計量系統,其中該一或多個對稱性度量包含該掃描信號之一積分。
  12. 如請求項1之重疊計量系統,其中該粒子束之一能量經選擇以在該樣本中提供與該第一層目標元件之一深度匹配之一相互作用深度。
  13. 如請求項1之重疊計量系統,其中該第一層目標元件係一組第一層目標元件中之一者,其中該第二層目標元件係一組第二層目標元件中之一者,其中由該控制器接收之該掃描信號係由該控制器接收之與該組第一層目標元件及該組第二層目標元件相關聯的一組掃描信號中之一者,其中該 一或多個處理器進一步經組態以執行程式指令而引起該一或多個處理器:就該一或多個對稱性度量來判定該組掃描信號之一組對稱性測量;及基於該組對稱性測量來產生該第一層與該第二層之間之一重疊測量。
  14. 如請求項13之重疊計量系統,其中該組第一層目標元件係以一第一間距分佈,其中該組第二層目標元件係以一第二間距分佈。
  15. 如請求項14之重疊計量系統,其中該第一間距等於該第二間距。
  16. 如請求項14之重疊計量系統,其中該第一間距及該第二間距係不同的。
  17. 一種重疊計量系統,其包括:一粒子束計量工具,其經組態以用一粒子束跨一樣本上之一重疊目標掃描,該重疊目標包含該樣本之一第一層上之一第一層目標元件及繼該第一層之後製造之該樣本之一第二層上之一第二層目標元件,該粒子束計量工具進一步經組態以捕獲與該粒子束與該第一層目標元件及該第二層目標元件兩者之相互作用相關聯之一掃描信號;及一控制器,其經通信地耦合至該粒子束計量工具,該控制器包含經組態以執行程式指令之一或多個處理器,該等程式指令經組態以引起該一或多個處理器: 自該粒子束計量工具接收該掃描信號;就一或多個對稱性度量來判定該掃描信號之一或多個對稱性測量;及基於該一或多個對稱性測量來產生該第一層與該第二層之間之一重疊測量,其中該掃描信號之一不對稱性指示該第二層目標元件相對於該第一層目標元件之一未對準,其中該重疊測量之一值係基於該一或多個對稱性測量。
  18. 如請求項17之重疊計量系統,其中該一或多個處理器進一步經組態以執行程式指令而引起該一或多個處理器將基於該重疊測量之該值的重疊可校正值提供至一微影系統,以修改至少一個後續曝光的曝光條件。
  19. 如請求項17之重疊計量系統,其中該粒子束計量工具包括:一電子束計量工具。
  20. 如請求項17之重疊計量系統,其中該粒子束計量工具包括:一聚焦離子束計量工具。
  21. 如請求項17之重疊計量系統,其中該掃描信號包括:一背散射粒子束信號或一個二次發射信號之至少一者。
  22. 如請求項17之重疊計量系統,其中該一或多個處理器進一步經組態以: 接收包含藉由該粒子束計量工具基於一或多個校準樣本上之該第一層目標元件及該第二層目標元件之一或多個已知重疊組態所產生之一或多個校準掃描信號之一校準資料集;及基於該一或多個對稱性度量來判定該一或多個校準掃描信號之一或多個校準對稱性測量,其中產生該重疊測量包括:基於該一或多個對稱性測量與該等校準對稱性測量之一比較來判定該重疊測量之該值。
  23. 如請求項22之重疊計量系統,其中基於該一或多個對稱性測量與該等校準對稱性測量之該比較來判定該重疊測量之該值包括:使用一圖案比較技術而基於該一或多個對稱性測量與該等校準對稱性測量之該比較來判定該重疊測量之該值。
  24. 如請求項22之重疊計量系統,其中基於該一或多個對稱性測量與該等校準對稱性測量之該比較來判定該重疊測量之該值包括:使用一機器學習技術而基於該一或多個對稱性測量與該等校準對稱性測量之該比較來判定該重疊測量之該值。
  25. 如請求項17之重疊計量系統,其中該一或多個對稱性度量包含該掃描信號中之峰值之一數目。
  26. 如請求項17之重疊計量系統,其中該一或多個對稱性度量包含該掃描信號之峰值的位置。
  27. 如請求項26之重疊計量系統,其中該一或多個對稱性度量包含該掃描信號之峰值相對於該掃描信號之一中心位置的位置。
  28. 如請求項17之重疊計量系統,其中該一或多個對稱性度量包含該掃描信號之兩個或更多個峰值之間的分離距離。
  29. 如請求項17之重疊計量系統,其中該一或多個對稱性度量包含該掃描信號之一積分。
  30. 如請求項17之重疊計量系統,其中該粒子束之一能量經選擇以在該樣本中提供與該第一層目標元件之一深度匹配之一相互作用深度。
  31. 如請求項17之重疊計量系統,其中該第一層目標元件係一組第一層目標元件中之一者,其中該第二層目標元件係一組第二層目標元件中之一者,其中由該控制器接收之該掃描信號係由該控制器接收之與該組第一層目標元件及該組第二層目標元件相關聯之一組掃描信號中之一者,其中該一或多個處理器進一步經組態以執行程式指令而引起該一或多個處理器:就該一或多個對稱性度量來判定該組掃描信號之一組對稱性測量;及基於該組對稱性測量來產生該第一層與該第二層之間之一重疊測量。
  32. 如請求項31之重疊計量系統,其中該組第一層目標元件係以一第一間距分佈,其中該組第二層目標元件係以一第二間距分佈。
  33. 如請求項32之重疊計量系統,其中該第一間距等於該第二間距。
  34. 如請求項32之重疊計量系統,其中該第一間距及該第二間距係不同的。
  35. 一種重疊計量方法,其包括:用一粒子束跨一樣本上之一重疊目標掃描,該重疊目標包含該樣本之一第一層上之一第一層目標元件及繼該第一層之後製造之該樣本之一第二層上之一第二層目標元件;捕獲與該粒子束與該第一層目標元件及該第二層目標元件兩者之相互作用相關聯之一掃描信號;就一或多個對稱性度量來判定該掃描信號之一或多個對稱性測量;基於該一或多個對稱性測量來產生該第一層與該第二層之間之一重疊測量,其中該掃描信號之一不對稱性指示該第二層目標元件相對於該第一層目標元件之一未對準,其中該重疊測量之一值係基於該一或多個對稱性測量;及將基於該重疊測量之該值的重疊可校正值提供至一微影系統,以修改至少一個後續曝光的曝光條件。
TW107144265A 2017-12-11 2018-12-10 重疊計量系統及方法 TWI755576B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762597093P 2017-12-11 2017-12-11
US62/597,093 2017-12-11
US15/979,336 US10473460B2 (en) 2017-12-11 2018-05-14 Overlay measurements of overlapping target structures based on symmetry of scanning electron beam signals
US15/979,336 2018-05-14

Publications (2)

Publication Number Publication Date
TW201935513A TW201935513A (zh) 2019-09-01
TWI755576B true TWI755576B (zh) 2022-02-21

Family

ID=66735332

Family Applications (1)

Application Number Title Priority Date Filing Date
TW107144265A TWI755576B (zh) 2017-12-11 2018-12-10 重疊計量系統及方法

Country Status (8)

Country Link
US (1) US10473460B2 (zh)
EP (1) EP3724723A4 (zh)
JP (1) JP7111826B2 (zh)
KR (1) KR102390311B1 (zh)
CN (1) CN111433677B (zh)
SG (1) SG11202001694XA (zh)
TW (1) TWI755576B (zh)
WO (1) WO2019118306A1 (zh)

Families Citing this family (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11119416B2 (en) * 2018-08-14 2021-09-14 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming semiconductor structure and overlay error estimation
KR20210044283A (ko) * 2018-09-19 2021-04-22 에이에스엠엘 네델란즈 비.브이. 계측 방법 및 그 장치
KR102616712B1 (ko) 2018-10-08 2023-12-20 에이에스엠엘 네델란즈 비.브이. 계측 방법, 패터닝 디바이스, 장치 및 컴퓨터 프로그램
US10890852B2 (en) * 2018-11-08 2021-01-12 Applied Materials, Inc. Signal recognition during substrate patterning via digital photolithography
US11075126B2 (en) 2019-02-15 2021-07-27 Kla-Tencor Corporation Misregistration measurements using combined optical and electron beam technology
US11073768B2 (en) * 2019-06-26 2021-07-27 Kla Corporation Metrology target for scanning metrology
US11353799B1 (en) 2019-07-23 2022-06-07 Kla Corporation System and method for error reduction for metrology measurements
US11914290B2 (en) 2019-07-24 2024-02-27 Kla Corporation Overlay measurement targets design
US11182892B2 (en) 2019-09-16 2021-11-23 Kla Corporation Periodic semiconductor device misregistration metrology system and method
US11698251B2 (en) 2020-01-07 2023-07-11 Kla Corporation Methods and systems for overlay measurement based on soft X-ray Scatterometry
KR20210094314A (ko) 2020-01-21 2021-07-29 삼성전자주식회사 반도체 장치의 제조 방법
US11054753B1 (en) * 2020-04-20 2021-07-06 Applied Materials Israel Ltd. Overlay monitoring
US11353321B2 (en) 2020-06-12 2022-06-07 Kla Corporation Metrology system and method for measuring diagonal diffraction-based overlay targets
US20220020649A1 (en) * 2020-06-25 2022-01-20 Kla Corporation Wavelet System and Method for Ameliorating Misregistration and Asymmetry of Semiconductor Devices
US11630397B2 (en) 2020-08-17 2023-04-18 Tokyo Electron Limited Method for producing overlay results with absolute reference for semiconductor manufacturing
US11899375B2 (en) * 2020-11-20 2024-02-13 Kla Corporation Massive overlay metrology sampling with multiple measurement columns
WO2022119796A1 (en) * 2020-12-03 2022-06-09 Applied Materials, Inc. Deep learning based adaptive alignment precision metrology for digital overlay
US20220336292A1 (en) * 2021-04-19 2022-10-20 Nanya Technology Corporation Method of semiconductor overlay measuring and method of semiconductor structure manufacturing
US11720031B2 (en) 2021-06-28 2023-08-08 Kla Corporation Overlay design for electron beam and scatterometry overlay measurements
US11862524B2 (en) 2021-06-28 2024-01-02 Kla Corporation Overlay mark design for electron beam overlay
US11703767B2 (en) 2021-06-28 2023-07-18 Kla Corporation Overlay mark design for electron beam overlay

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050089773A1 (en) * 2003-10-22 2005-04-28 Applied Materials Israel Ltd. System and method for measuring overlay errors
TW201414986A (zh) * 2012-07-06 2014-04-16 Hitachi High Tech Corp 重疊誤差測定裝置及使圖案測定實行於電腦的電腦程式
TW201538928A (zh) * 2014-04-14 2015-10-16 Hitachi High Tech Corp 帶電粒子束裝置及檢查裝置
TW201631404A (zh) * 2014-11-26 2016-09-01 Asml荷蘭公司 度量衡方法、電腦產品及系統
CN106462076A (zh) * 2014-06-02 2017-02-22 Asml荷兰有限公司 设计度量目标的方法、具有度量目标的衬底、测量重叠的方法、以及器件制造方法

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6541770B1 (en) 2000-08-15 2003-04-01 Applied Materials, Inc. Charged particle system error diagnosis
US7068833B1 (en) 2000-08-30 2006-06-27 Kla-Tencor Corporation Overlay marks, methods of overlay mark design and methods of overlay measurements
US7541201B2 (en) 2000-08-30 2009-06-02 Kla-Tencor Technologies Corporation Apparatus and methods for determining overlay of structures having rotational or mirror symmetry
US7317531B2 (en) 2002-12-05 2008-01-08 Kla-Tencor Technologies Corporation Apparatus and methods for detecting overlay errors using scatterometry
US20030002043A1 (en) 2001-04-10 2003-01-02 Kla-Tencor Corporation Periodic patterns and technique to control misalignment
JP2008224258A (ja) * 2007-03-09 2008-09-25 Hitachi High-Technologies Corp 荷電粒子線装置
NL1036123A1 (nl) * 2007-11-13 2009-05-14 Asml Netherlands Bv Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method.
NL1036245A1 (nl) * 2007-12-17 2009-06-18 Asml Netherlands Bv Diffraction based overlay metrology tool and method of diffraction based overlay metrology.
US9927718B2 (en) * 2010-08-03 2018-03-27 Kla-Tencor Corporation Multi-layer overlay metrology target and complimentary overlay metrology measurement systems
EP2458441B1 (en) * 2010-11-30 2022-01-19 ASML Netherlands BV Measuring method, apparatus and substrate
WO2012138758A1 (en) 2011-04-06 2012-10-11 Kla-Tencor Corporation Method and system for providing a quality metric for improved process control
JP5965819B2 (ja) 2012-10-26 2016-08-10 株式会社日立ハイテクノロジーズ 荷電粒子線装置及び重ね合わせずれ量測定方法
JP2014143031A (ja) * 2013-01-23 2014-08-07 Hitachi High-Technologies Corp 荷電粒子線装置および試料観察方法
US9214317B2 (en) 2013-06-04 2015-12-15 Kla-Tencor Corporation System and method of SEM overlay metrology
KR102234659B1 (ko) 2013-10-29 2021-04-05 삼성전자주식회사 고에너지 전자 빔을 이용하여 인-셀 오버레이 오프셋을 측정할 수 있는 sem 장치와 그 방법
JP6378927B2 (ja) * 2014-04-25 2018-08-22 株式会社日立ハイテクノロジーズ 計測システムおよび計測方法
US10210606B2 (en) * 2014-10-14 2019-02-19 Kla-Tencor Corporation Signal response metrology for image based and scatterometry overlay measurements
KR102012884B1 (ko) * 2015-01-23 2019-08-21 가부시키가이샤 히다치 하이테크놀로지즈 패턴 측정 장치 및 결함 검사 장치

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050089773A1 (en) * 2003-10-22 2005-04-28 Applied Materials Israel Ltd. System and method for measuring overlay errors
TW201414986A (zh) * 2012-07-06 2014-04-16 Hitachi High Tech Corp 重疊誤差測定裝置及使圖案測定實行於電腦的電腦程式
US20150285627A1 (en) * 2012-07-06 2015-10-08 Hitachi High-Technologies Corporation Overlay error measuring device and computer program for causing computer to measure pattern
TW201538928A (zh) * 2014-04-14 2015-10-16 Hitachi High Tech Corp 帶電粒子束裝置及檢查裝置
CN106462076A (zh) * 2014-06-02 2017-02-22 Asml荷兰有限公司 设计度量目标的方法、具有度量目标的衬底、测量重叠的方法、以及器件制造方法
TW201631404A (zh) * 2014-11-26 2016-09-01 Asml荷蘭公司 度量衡方法、電腦產品及系統

Also Published As

Publication number Publication date
KR102390311B1 (ko) 2022-04-22
CN111433677B (zh) 2022-12-30
EP3724723A1 (en) 2020-10-21
CN111433677A (zh) 2020-07-17
SG11202001694XA (en) 2020-07-29
US20190178639A1 (en) 2019-06-13
US10473460B2 (en) 2019-11-12
EP3724723A4 (en) 2021-09-01
JP7111826B2 (ja) 2022-08-02
JP2021505918A (ja) 2021-02-18
KR20200092402A (ko) 2020-08-03
WO2019118306A1 (en) 2019-06-20
TW201935513A (zh) 2019-09-01

Similar Documents

Publication Publication Date Title
TWI755576B (zh) 重疊計量系統及方法
KR102450009B1 (ko) 오버레이 및 에지 배치 에러들의 계측 및 제어
TWI781259B (zh) 用於裝置相關疊加度量之系統及方法
US10446367B2 (en) Scan strategies to minimize charging effects and radiation damage of charged particle beam metrology system
US20110147586A1 (en) Charged Particle Beam Device
US10727026B2 (en) Charged particle beam inspection method
US11209737B1 (en) Performance optimized scanning sequence for eBeam metrology and inspection
TWI842250B (zh) 產生樣本圖的方法、電腦程式產品、帶電粒子檢測系統、用於處理樣本的方法、評估方法
EP4128313A1 (en) Image enhancement based on charge accumulation reduction in charged-particle beam inspection
TW202338497A (zh) 產生樣本圖的方法、電腦程式產品、帶電粒子檢測系統、用於處理樣本的方法、評估方法
JP2002157968A (ja) 評価装置及びこの評価装置を用いたデバイス製造方法