KR102390311B1 - 스캐닝 전자 빔 신호의 대칭에 기반한 오버래핑 타겟 구조물의 오버레이 측정 - Google Patents

스캐닝 전자 빔 신호의 대칭에 기반한 오버래핑 타겟 구조물의 오버레이 측정 Download PDF

Info

Publication number
KR102390311B1
KR102390311B1 KR1020207019931A KR20207019931A KR102390311B1 KR 102390311 B1 KR102390311 B1 KR 102390311B1 KR 1020207019931 A KR1020207019931 A KR 1020207019931A KR 20207019931 A KR20207019931 A KR 20207019931A KR 102390311 B1 KR102390311 B1 KR 102390311B1
Authority
KR
South Korea
Prior art keywords
layer
overlay
particle beam
target element
scan signal
Prior art date
Application number
KR1020207019931A
Other languages
English (en)
Other versions
KR20200092402A (ko
Inventor
나다브 구트만
에란 아미트
스테판 아이링
스리라만 하리 파탕기
프랑크 라스케
울리히 폴만
토마스 하이드리히
Original Assignee
케이엘에이 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 케이엘에이 코포레이션 filed Critical 케이엘에이 코포레이션
Publication of KR20200092402A publication Critical patent/KR20200092402A/ko
Application granted granted Critical
Publication of KR102390311B1 publication Critical patent/KR102390311B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B15/00Measuring arrangements characterised by the use of electromagnetic waves or particle radiation, e.g. by the use of microwaves, X-rays, gamma rays or electrons
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/8422Investigating thin films, e.g. matrix isolation method
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/26Electron or ion microscopes; Electron or ion diffraction tubes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/26Electron or ion microscopes; Electron or ion diffraction tubes
    • H01J37/28Electron or ion microscopes; Electron or ion diffraction tubes with scanning beams
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/30Electron-beam or ion-beam tubes for localised treatment of objects
    • H01J37/304Controlling tubes by information coming from the objects or from the beam, e.g. correction signals
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/30Electron-beam or ion-beam tubes for localised treatment of objects
    • H01J37/317Electron-beam or ion-beam tubes for localised treatment of objects for changing properties of the objects or for applying thin layers thereon, e.g. for ion implantation
    • H01J37/3174Particle-beam lithography, e.g. electron beam lithography
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67259Position monitoring, e.g. misposition detection or presence detection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/24Optical enhancement of defects or not directly visible states, e.g. selective electrolytic deposition, bubbles in liquids, light emission, colour change
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B2210/00Aspects not specifically covered by any group under G01B, e.g. of wheel alignment, caliper-like sensors
    • G01B2210/56Measuring geometric parameters of semiconductor structures, e.g. profile, critical dimensions or trench depth
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/8422Investigating thin films, e.g. matrix isolation method
    • G01N2021/8438Mutilayers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/26Electron or ion microscopes
    • H01J2237/28Scanning microscopes
    • H01J2237/2813Scanning microscopes characterised by the application
    • H01J2237/2814Measurement of surface topography
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/26Electron or ion microscopes
    • H01J2237/28Scanning microscopes
    • H01J2237/2813Scanning microscopes characterised by the application
    • H01J2237/2817Pattern inspection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/30Electron or ion beam tubes for processing objects
    • H01J2237/304Controlling tubes
    • H01J2237/30433System calibration
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/30Electron or ion beam tubes for processing objects
    • H01J2237/304Controlling tubes
    • H01J2237/30455Correction during exposure
    • H01J2237/30461Correction during exposure pre-calculated
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/30Electron or ion beam tubes for processing objects
    • H01J2237/317Processing objects on a microscale
    • H01J2237/3175Lithography
    • H01J2237/31793Problems associated with lithography
    • H01J2237/31798Problems associated with lithography detecting pattern defects

Abstract

오버레이 계측 시스템은 제 1 층 타겟 요소 및 제 2 층 타겟 요소를 포함하는 샘플 상의 오버레이 타겟을 가로질러 입자 빔을 스캐닝하기 위한 입자 빔 계측 툴을 포함한다. 오버레이 계측 시스템은 컨트롤러를 추가로 포함할 수 있으며, 상기 컨트롤러는 입자 빔 계측 툴로부터 스캔 신호를 수신하고, 상기 스캔 신호에 대해 대칭 메트릭과 관련한 대칭 측정치를 결정하고, 그리고 상기 대칭 측정치에 기반하여 제 1 층과 제 2 층 간의 오버레이 측정치를 생성하며, 상기 스캔 신호의 비대칭은 제 1 층 타겟 요소에 대한 제 2 층 타겟 요소의 오정렬을 나타내며, 상기 오버레이 측정치의 값은 상기 대칭 측정치에 기반하고 있다.

Description

스캐닝 전자 빔 신호의 대칭에 기반한 오버래핑 타겟 구조물의 오버레이 측정
본 개시 내용은 일반적으로 오버레이 계측(overlay metrology)에 관한 것으로, 보다 구체적으로는 스캐닝 전자 현미경 오버레이 계측(scanning electron microscope overlay metrology)에 관한 것이다.
반도체 제조에는 전형적으로 일부 또는 모든 층이 패터닝된 피처를 포함하는 구조물 상에 다중 층이 제조될 것을 요구하고 있다. 오버레이 계측(overlay metrology)은 다양한 샘플 층에서 구조물들의 상대적 포지션을 측정하는 것으로, 이들의 포지션은 제조된 디바이스의 성능에 중요하며 일반적으로 엄격한 허용 오차(tolerances) 내에서 제어되어야 하는 것이다. 예를 들어, 오버레이 계측은 제조 툴(fabrication tools)의 층별 정렬(layer-by-layer alignment)의 척도로서 상이한 샘플 층들 상의 피처들의 상대적 포지션을 측정할 수 있다.
오버레이 측정은 일반적으로 디바이스 피처에 대해 직접 수행된다라기 보다는 감지성 오버레이 측정을 위해 설계된 피처를 갖는 전용 오버레이 타겟(dedicated overlay targets)에 대해 수행된다. 상이한 오버레이 타겟 층들에서의 피처들은 일반적으로 공간적으로 분리되어, 매립된 층들 상의 피처들의 오버랩을 방지하고 매립된 층들 상의 피처들의 측정을 가능하게 한다. 예를 들어, 하나의 층 상의 오버레이 타겟 요소(overlay target element)는 이전에 제조된 층 상의 오버레이 타겟 요소의 측정에 영향을 줄 수 있다. 그러나, 공간적으로 분리된 타겟 요소와 연관된 개방 영역(open areas)은 마이크로 전자 장치의 제조와는 호환되지 않을 수 있다. 또한, 디바이스 피처들은 일반적으로 적층된 구조물들을 포함하며, 그에 따라 공간적으로 분리된 오버레이 타겟 요소들의 오버레이 측정은 측정 오차를 유발할 수 있게 된다.
따라서, 적층된 오버레이 타겟 요소들 상의 오버레이를 측정하기 위한 시스템 및 방법을 제공하는 것이 바람직하다.
오버레이 계측 시스템이 본 개시 내용의 하나 이상의 예시적인 실시예에 따라 개시된다. 하나의 예시적인 실시예에서, 상기 시스템은 입자 빔 계측 툴에 통신 가능하게 연결된 컨트롤러를 포함하고, 상기 입자 빔 계측 툴은 샘플 상의 오버레이 타겟을 가로질러 입자 빔을 스캐닝하며, 상기 오버레이 타겟은 상기 샘플의 제 1 층 상의 제 1 층 타겟 요소 및 상기 샘플의 제 2 층 상의 제 2 층 타겟 요소를 포함한다. 다른 예시적인 실시예에서, 상기 입자 빔 계측 툴은 상기 제 1 층 타겟 요소 및 상기 제 2 층 타겟 요소 모두와의 상기 입자 빔의 상호 작용과 연관된 스캔 신호를 캡처한다. 다른 예시적인 실시예에서, 상기 컨트롤러는 상기 입자 빔 계측 툴로부터 상기 스캔 신호를 수신한다. 다른 예시적인 실시예에서, 상기 컨트롤러는 상기 스캔 신호에 대해 하나 이상의 대칭 메트릭(symmetry metrics)과 관련한 하나 이상의 대칭 측정치를 결정한다. 다른 예시적인 실시예에서, 상기 컨트롤러는 하나 이상의 대칭 측정치에 기반하여 상기 제 1 층과 상기 제 2 층 간의 오버레이 측정치를 생성하며, 여기서 스캔 신호의 비대칭은 제 1 층 타겟 요소에 대한 제 2 층 타겟 요소의 오정렬을 나타내며, 오버레이 측정치의 값은 하나 이상의 대칭 측정치에 기반하고 있다.
오버레이 계측 방법이 본 개시 내용의 하나 이상의 예시적인 실시예에 따라 개시된다. 하나의 예시적인 실시예에서, 상기 방법은 샘플 상의 오버레이 타겟을 가로질러 입자 빔을 스캐닝하는 단계를 포함하며, 상기 오버레이 타겟은 상기 샘플의 제 1 층 상의 제 1 층 타겟 요소 및 상기 샘플의 제 2 층 상의 제 2 층 타겟 요소를 포함한다. 다른 예시적인 실시예에서, 상기 방법은 상기 제 1 층 타겟 요소 및 상기 제 2 층 타겟 요소 모두와의 상기 입자 빔의 상호 작용과 연관된 스캔 신호를 캡처하는 단계를 포함한다. 다른 예시적인 실시예에서, 상기 방법은 상기 스캔 신호에 대해 하나 이상의 대칭 메트릭과 관련한 하나 이상의 대칭 측정치를 결정하는 단계를 포함한다. 다른 예시적인 실시예에서, 상기 방법은 하나 이상의 대칭 측정치에 기반하여 상기 제 1 층과 상기 제 2 층 사이의 오버레이 측정치를 생성하는 단계를 포함하며, 여기서 스캔 신호의 비대칭은 제 1 층 타겟 요소에 대한 제 2 층 타겟 요소의 오정렬을 나타내며, 오버레이 측정치의 값은 하나 이상의 대칭 측정치에 기반하고 있다. 다른 예시적인 실시예에서, 상기 방법은 오버레이 측정치의 값에 기반한 오버레이 보정 가능한 것(overlay correctables)을 리소그래피 시스템에 제공하여 적어도 하나의 후속 노출의 노출 조건을 수정하는 단계를 포함한다.
오버레이 계측 시스템이 본 개시 내용의 하나 이상의 예시적인 실시예에 따라 개시된다. 하나의 예시적인 실시예에서, 상기 시스템은 샘플 상의 오버레이 타겟을 가로질러 입자 빔을 스캐닝하는 입자 빔 계측 툴을 포함하며, 상기 오버레이 타겟은 상기 샘플의 제 1 층 상의 제 1 층 타겟 요소 및 상기 샘플의 제 2 층 상의 제 2 층 타겟 요소를 포함한다. 다른 예시적인 실시예에서, 상기 입자 빔 계측 툴은 상기 제 1 층 타겟 요소 및 상기 제 2 층 타겟 요소 모두와의 상기 입자 빔의 상호 작용과 연관된 스캔 신호를 추가로 캡처한다. 다른 예시적인 실시예에서, 상기 시스템은 입자 빔 계측 툴에 연결된 컨트롤러를 포함한다. 다른 예시적인 실시예에서, 상기 컨트롤러는 상기 입자 빔 계측 툴로부터 상기 스캔 신호를 수신한다. 다른 예시적인 실시예에서, 상기 컨트롤러는 상기 스캔 신호에 대해 하나 이상의 대칭 메트릭과 관련한 하나 이상의 대칭 측정치를 결정한다. 다른 예시적인 실시예에서, 상기 컨트롤러는 하나 이상의 대칭 측정치에 기반하여 상기 제 1 층과 상기 제 2 층 사이의 오버레이 측정치를 생성하며, 여기서 스캔 신호의 비대칭은 제 1 층 타겟 요소에 대한 제 2 층 타겟 요소의 오정렬을 나타내며, 오버레이 측정치의 값은 하나 이상의 대칭 측정치에 기반하고 있다.
전술한 일반적인 설명 및 다음의 상세한 설명은 모두 예시적이고 설명만을 위한 것일 뿐이며, 본 발명을 청구된 바와 같이 반드시 제한하는 것은 아니라는 것을 이해해야 한다. 본 명세서에 통합되어 그 일부를 구성하는 첨부 도면은 본 발명의 실시예를 예시하고, 일반적인 설명과 함께 본 발명의 원리를 설명하는 역할을 한다.
본 개시 내용의 다수의 이점은 첨부된 도면을 참조하면 본 기술 분야의 기술자에게 보다 잘 이해될 수 있다.
도 1a는 본 개시 내용의 하나 이상의 실시예에 따른 디바이스 상관 계측 측정(device-correlated metrology measurements)에 적합한 오버레이 계측 시스템의 개념도이다.
도 1b는 본 개시 내용의 하나 이상의 실시예에 따른 입자 기반 오버레이 계측 툴의 개념도이다.
도 2는 본 개시 내용의 하나 이상의 실시예에 따른 오버레이 계측 방법에서 수행되는 단계들을 나타내는 흐름도이다.
도 3a는 본 개시 내용의 하나 이상의 실시예에 따른 적층된 오버레이 타겟 피처들을 포함하는 2-층 오버레이 계측 타겟의 평면도(top view)이다.
도 3b는 본 개시 내용의 하나 이상의 실시예에 따른 적층된 오버레이 타겟 피처들을 포함하는 2-층 오버레이 계측 타겟의 측면도(profile view)이다.
도 4는 본 개시 내용의 하나 이상의 실시예에 따른 입사 입자 빔에 응답하는 오버레이 계측 타겟으로부터의 다수의 방출 소스의 측면도를 포함한다.
도 5a는 본 개시 내용의 하나 이상의 실시예에 따른 체계적으로 변화하는 공지된 비대칭 오프셋(systematically-varying known asymmetry offsets)을 갖는 교정(calibration) 샘플의 측면도이다.
도 5b는 본 개시 내용의 하나 이상의 실시예에 따른 도 5a의 교정 타겟 요소들과 연관된 교정 스캔 신호들을 포함한다.
이제, 첨부된 도면에 예시되는 개시된 발명의 요지에 대해 상세한 참조가 행해질 것이다. 본 개시 내용은 특정 실시예 및 그 특정 피처와 관련하여 특정하게 도시되고 설명되었다. 본원에 설명되는 실시예는 제한적인 것이 아니라 예시적인 것으로 간주된다. 본 개시 내용의 사상 및 범위를 벗어나지 않으면서 형태 및 세부 사항에 대한 다양한 변경 및 수정이 행해질 수 있다는 것이 본 기술 분야의 통상의 기술자에게는 명백하다.
본 개시 내용의 실시예들은 2 개의 샘플 층 상에 위치된 적층된 오버레이 타겟 요소들을 가로질러 입자 빔(예컨대, 전자 빔, 이온 빔 등)을 스캐닝함으로써 오버레이를 결정하는 것, 상기 타겟 요소들로부터 대응하는 스캔 신호를 캡처하는 것, 및 상기 스캔 신호의 대칭에 기반하여 상기 오버레이 타겟 요소들의 상대적 포지션을 결정하는 것에 관한 것이다.
예를 들어, 스캐닝 전자 현미경(scanning electron microscope)(SEM) 계측 툴(예컨대, 임계 치수(critical dimension) SEM (CD-SEM) 등) 또는 집속 이온 빔(focused ion beam)(FIB) 계측 툴과 같은 하지만 이에 제한되지 않는 입자 빔 계측 툴은 오버레이 타겟의 일부를 가로질러 입자 빔을 스캐닝하고 그 입자 빔에 응답하는 샘플로부터의 방출을 검출할 수 있다. 이러한 방출은 입자 빔에 응답하여 샘플로부터 나오는 다양한 타입의 입자 및/또는 방사선, 예를 들어, 입자 빔의 후방 산란 방출(backscattered emission of the particle beam), 2 차 방출(예컨대, 2 차 전자 방출 등), 또는 전자기 방사선(예컨대, 광)을 포함할 수 있지만, 이에 제한되는 것은 아니다. 또한, 입자 빔은 샘플의 다중 층 상의 타겟 요소들과 상호 작용할 수 있고, 따라서 입자 빔의 에너지에 의해 적어도 부분적으로 결정되는 상호 작용 볼륨에 기반하여 샘플의 다중 층 상의 타겟 요소들을 특성화할 수 있다. 예를 들어, 저에너지 입자 빔은 표면 층을 특성화하는 데 사용될 수 있는 반면, 비교적 고에너지 입자 빔은 샘플 내로 더 깊이 침투하여 이전에 제조된 층 상의 피처들을 특성화할 수 있다.
반도체 디바이스는 기판 상에 패터닝된 재료의 다중 프린팅 층으로서 형성될 수 있다. 각각의 패터닝된 층은, 하나 이상의 재료 증착 단계, 하나 이상의 리소그래피 단계, 또는 하나 이상의 에칭 단계와 같은 하지만 이에 제한되지 않는 일련의 공정 단계를 통해 제조될 수 있다. 또한, 각각의 패터닝된 층은 전형적으로 최종 디바이스를 적절히 구성하기 위해 특정의 허용 오차 내에서 제조되어야 한다. 예를 들어, 층들 사이의 요소들의 정렬(registration) 또는 그 요소들의 상대적인 배치와 연관된 오버레이와 같은 하지만 이에 제한되지 않는 프린팅 특성은 양호하게 특성화되고 제어되어야 한다. 따라서, 오버레이 타겟은 샘플을 가로질러 다수의 위치에서 하나 이상의 패터닝된 층 상에 제조되어 제조 공정의 효율적인 특성화를 가능하게 할 수 있다. 이와 관련하여, 패터닝된 층 상의 오버레이 타겟 요소들의 프린팅 특성의 편차는 반도체 디바이스의 일부를 형성하는 디바이스 피처를 포함하는 층 상의 모든 요소의 프린팅 특성의 편차를 나타낼 수 있다. 그러나, 샘플 상에서 디바이스 피처들에 대한 오버레이 타겟들의 크기, 배향, 밀도 및/또는 위치의 차이는 타겟에서 측정된 오버레이와 디바이스 피처들의 실제 오버레이 간의 미스매칭을 유발할 수 있다. 따라서, 오버레이 타겟에 대한 디바이스 관련 오버레이 측정을 보장하는 것은 오버레이 계측에서 지속되고 있는 어려운 문제이다.
본 개시 내용의 실시예는 디바이스 스케일 피처(device-scale features)를 갖는 전용 오버레이 타겟 상에서 오버레이를 측정하는 것에 관한 것이다. 이와 관련하여, 오버레이 타겟의 요소들은 대응하는 디바이스 피처들과 유사한 크기, 형상, 배향, 또는 밀도와 같은 하지만 이에 제한되지는 않는 피처들을 가질 수 있다. 따라서, 관심 대상의 디바이스 구조물들 및 오버레이 타겟은 실질적으로 유사한 특성으로 프린팅될 수 있으며, 이는 타겟 대 디바이스 오차를 완화시킬 수 있다.
본 개시 내용의 추가 실시예는 관심 대상의 층들 상의 공칭적으로 적층되거나 오버래핑되는 타겟들을 포함하는 오버레이 타겟들 상의 오버레이를 측정하는 것에 관한 것이다. 이와 관련하여, 오버레이 타겟들은 반도체 설계 규칙과 호환되지 않을 수 있는 넓은 개방 영역을 회피할 수 있다. 또한, 적층된 타겟 요소들을 포함하는 오버레이 타겟들은 입자 기반 계측 툴에서 균일한 시야 및 균일한 표면 대전을 가능하게 하여, 빔 배치 왜곡(beam placement distortion) 및 관련 측정 오차를 완화시킬 수 있다.
예를 들어, 적층된 오버레이 타겟의 오버레이는 관심 대상의 층 상의 타겟 요소들 간의 상대적 포지션에 기반할 수 있다. 또한, 적층된 오버레이 타겟은 공칭 오버레이(nominal overlay)(예를 들어, 샘플 층들 간의 오정렬(misregistration)이 없음)가 관심 대상의 층들 상의 임의의 선택된 타겟 요소 분포에 대응하도록 제조될 수 있다. 예를 들어, 적층된 오버레이 타겟은, 공칭 오버레이가 표면 층 상의 그 타겟 요소들이 표면 아래 층(sub-surface layer) 내의 타겟 바로 위에서 제조되는 타겟 요소들의 대칭 구성(예를 들어, 표면 층 내의 대칭 피처들의 라인들이 표면 아래 층들 내의 대칭 피처들의 라인과 정렬됨)에 대응하도록, 제조될 수 있다. 또 다른 예로서, 적층된 오버레이 타겟은, 공칭 오버레이가 표면 층 상의 타겟 요소들이 표면 아래 층 상의 타겟 요소들에 대해 선택된 비대칭 오프셋으로 제조되는 타겟 요소들의 비대칭 구성에 대응하도록, 제조될 수 있다.
본원에서 인식되는 것은, 적층된 오버레이 타겟 요소들과의 입자 빔 상호 작용과 연관된 샘플 방출이 샘플의 다중 층들 상의 타겟 요소들과 연관된 다수의 방출 소스를 포함할 수 있다는 것이다. 예를 들어, 적층된 오버레이 타겟 피처들과 상호 작용하는 전자 빔은 다중 샘플 층 상의 타겟 요소들로부터 후방 산란 방출 및 2 차 방출을 동시에 유도할 수 있으며, 그에 따라 검출된 방출 소스를 구별하는 것이 어려울 수 있다. 본 개시 내용의 추가 실시예는 하나 이상의 적층된 오버레이 타겟 요소들을 가로 지르는 스캔 신호의 대칭에 기반하여 오버레이를 검출하는 것에 관한 것이다. 예를 들어, 다중 층을 가로 지르는 대칭 정렬 타겟 구조물의 방출 신호는 또한 대칭일 수 있다. 반대로, 비대칭 정렬 타겟 구조물의 방출 신호는 비대칭일 수 있다. 따라서, 스캔 신호의 대칭은 하부의 대칭 피처들의 측정치 및 그에 따른 오버레이를 결정하기에 적합한 타겟 피처들의 상대적 포지션의 측정치를 제공할 수 있다.
본 개시 내용의 추가 실시예는 오버레이의 값(예를 들어, 하나 이상의 대칭 메트릭에 기반하여 다중 층들 상의 오버레이 타겟 구조물 간의 오정렬의 값)을 추출하는 것에 관한 것이다. 예를 들어, 대칭 메트릭은 스캔 신호에서의 다수의 피크, 스캔 신호에서의 피크들의 값, 오버레이 타겟 구조물의 중심에 대한 스캔 신호의 피크들의 위치, 스캔 신호 피크들의 분리 거리, 또는 스캔 신호의 적분을 포함할 수 있지만, 이에 제한되는 것은 아니다. 추가 실시예는 대칭 메트릭을 오버레이 값과 상관시키는 것에 관한 것이다. 예를 들어, 공지된 비대칭 오프셋들과 함께 다중 층들 상의 오버레이 타겟 피처들을 갖는 교정(calibration) 오버레이 타겟에 대해 교정 스캔 신호들이 생성될 수 있다. 이어서, 교정 스캔 신호들 및 대응하는 공지된 비대칭 오프셋들은 스캔 신호들의 대칭 특성들과 오버레이 측정치들 사이의 상관 관계를 생성하는 데 사용될 수 있고, 그에 따라 상관 관계에 기반하여 임의의 스캔 신호로부터 오버레이 측정치가 추출될 수 있다. 또한, 상관 관계는 패턴 인식 기술, 주요 성분 분석, 또는 머신 러닝 기술과 같은 하지만 이에 제한되지 않는 본 기술 분야에서 공지된 임의의 방법을 사용하여 생성될 수 있다.
본 개시 내용의 추가 실시예는 오버레이 측정치에 기반하여 오버레이 보정 가능한 것을 생성하는 것에 관한 것이다. 오버레이 보정 가능한 것은 그 후 피드백 및/또는 피드포워드 데이터로서 제조 툴(예를 들어, 리소그래피 툴)에 제공될 수 있다. 예를 들어, 샘플 상에서 측정된 현재 공정 단계와 연관된 오버레이 측정치는, 동일 또는 후속 로트들에서 후속 샘플에 대한 처리 단계에 대한 드리프트를 보상하고 오버레이를 선택된 허용 오차 내로 유지하는 데 사용될 수 있다. 다른 예로서, 현재의 공정 단계와 연관된 오버레이 측정치는 후속 공정 단계를 조정하도록 피드포워드되어 임의의 측정된 오버레이 오차를 보상할 수 있다.
도 1a는 본 개시 내용의 하나 이상의 실시예에 따른 디바이스 상관 계측 측정(device-correlated metrology measurements)에 적합한 오버레이 계측 시스템(100)의 개념도이다. 일 실시예에서, 오버레이 계측 시스템(100)은 적층된 오버레이 타겟 피처들의 층간 오버레이 측정뿐만 아니라 층내 패턴 배치 거리를 측정하는 데 적합한 적어도 하나의 오버레이 계측 툴(102)을 포함한다.
다른 실시예에서, 오버레이 계측 시스템(100)은 컨트롤러(104)를 포함한다. 다른 실시예에서, 컨트롤러(104)는 메모리 매체(108) 상에 유지되는 프로그램 명령어를 실행하도록 구성된 하나 이상의 프로세서(106)를 포함한다. 이와 관련하여, 컨트롤러(104)의 하나 이상의 프로세서(106)는 본 개시 내용의 전체에 걸쳐 설명되는 다양한 공정 단계 중 임의의 것을 실행할 수 있다. 예를 들어, 컨트롤러(104)는 오버레이 계측 툴(102)로부터 데이터를 수신할 수 있고, 디바이스 상관 오버레이 데이터를 추가로 생성할 수 있다. 다른 예로서, 컨트롤러(104)는 오버레이 계측 툴(102)로부터의 데이터에 기반하여 디바이스 관련 오버레이 보정 가능한 것을 생성할 수 있다.
또한, 컨트롤러(104)는 리소그래피 툴과 같은 하지만 이에 제한되지는 않는 하나 이상의 외부 제조 툴에 통신 가능하게 연결될 수 있다. 이와 관련하여, 컨트롤러(104)는 오버레이를 선택된 오버레이 허용 오차 내로 유지하기 위해 외부 제조 툴의 입력을 제어하기에 적합한 고급 공정 컨트롤러(advanced process controller)(APC)로서 동작할 수 있다.
컨트롤러(104)의 하나 이상의 프로세서(106)는 본 기술 분야에서 공지된 임의의 프로세싱 요소를 포함할 수 있다. 이러한 의미에서, 하나 이상의 프로세서(106)는 알고리즘 및/또는 명령어를 실행하도록 구성된 임의의 마이크로프로세서 타입의 디바이스를 포함할 수 있다. 일 실시예에서, 하나 이상의 프로세서(106)는 본 개시 내용의 전체에 걸쳐 설명되는 바와 같이, 오버레이 계측 시스템(100)을 동작시키도록 구성된 프로그램을 실행하도록 구성된 데스크탑 컴퓨터, 메인프레임 컴퓨터 시스템, 워크스테이션, 이미지 컴퓨터, 병렬 프로세서, 또는 임의의 다른 컴퓨터 시스템(예컨대, 네트워크형 컴퓨터)을 구성할 수 있다. 추가로 인식되는 것은, 용어 "프로세서"는 비일시적인 메모리 매체(108)로부터의 프로그램 명령어를 실행하는 하나 이상의 프로세싱 요소를 갖는 임의의 디바이스를 포괄하도록 광범위하게 정의될 수 있다는 것이다. 또한, 본 개시 내용의 전체에 걸쳐 설명되는 단계들은 단일 컨트롤러(104) 또는 대안적으로 다수의 컨트롤러에 의해 수행될 수 있다. 추가적으로, 컨트롤러(104)는 하나의 공통 하우징 내에 또는 다수의 하우징 내에 하우징되는 하나 이상의 컨트롤러를 포함할 수 있다. 이러한 방식으로, 임의의 컨트롤러 또는 컨트롤러들의 조합은 오버레이 계측 시스템(100) 내로의 통합에 적합한 모듈로서 개별적으로 패키징될 수 있다.
메모리 매체(108)는 관련된 하나 이상의 프로세서(106)에 의해 실행 가능한 프로그램 명령어를 저장하기에 적합한 본 기술 분야에서 공지된 임의의 저장 매체를 포함할 수 있다. 예를 들어, 메모리 매체(108)는 비일시적인 메모리 매체를 포함할 수 있다. 다른 예로서, 메모리 매체(108)는 판독 전용 메모리, 랜덤 액세스 메모리, 자기 또는 광학 메모리 디바이스(예를 들어, 디스크), 자기 테이프, 고체 상태 드라이브(solid state drive)(SSD) 등을 포함할 수 있지만, 이에 제한되지는 않는다. 추가로 주목되는 것은 메모리 매체(108)가 하나 이상의 프로세서(106)와 함께 공통 컨트롤러 하우징 내에 하우징될 수 있다는 것이다. 일 실시예에서, 메모리 매체(108)는 하나 이상의 프로세서(106) 및 컨트롤러(104)의 물리적 위치에 대해 원격에 위치될 수 있다. 예를 들어, 컨트롤러(104)의 하나 이상의 프로세서(106)는 네트워크(예컨대, 인터넷, 인트라넷 등)를 통해 액세스 가능한 원격 메모리(예컨대, 서버)에 액세스할 수 있다. 그러므로, 전술한 설명은 본 발명에 대한 제한으로서 해석되어서는 안되며 단지 예시에 불과할 뿐이다.
다른 예로서, 오버레이 계측 툴(102)은 샘플을 가로질러 집속된 빔을 스캐닝하고 하나 이상의 검출기 상의 샘플로부터 하나 이상의 측정 각도로 나오는 방사선 및/또는 입자를 캡처하여 이미지를 생성할 수 있다. 집속된 빔은 빔 경로를 (예를 들어, 갈보 미러(galvo mirror), 압전 미러(piezo-electric mirror) 등을 사용하여) 수정함으로써 및/또는 집속된 빔의 초점 볼륨(focal volume)을 통해 샘플을 병진시킴으로써 샘플을 가로질러 스캐닝될 수 있다.
도 1b는 본 개시 내용의 하나 이상의 실시예에 따른 입자 기반 오버레이 계측 툴(102)의 개념도이다. 오버레이 계측 툴(102)은 디바이스 피처들 또는 디바이스 스케일 피처들을 분해하기에 적합한 임의의 타입의 계측 툴, 예를 들어, 전자 빔 계측 툴(예컨대, SEM, CD-SEM 등), 또는 이온 빔 계측 툴(예컨대, 집속 이온 빔(focused-ion-beam)(FIB) 계측 툴)을 포함할 수 있지만, 이에 제한되지는 않는다.
일 실시예에서, 오버레이 계측 툴(102)은 입자 빔(112)(예컨대, 전자 빔, 입자 빔 등)을 생성하기 위한 입자 소스(110)(예컨대, 전자 빔 소스, 이온 빔 소스 등)를 포함한다. 입자 소스(110)는 입자 빔(112)을 생성하기에 적합한 본 기술 분야에서 공지된 임의의 입자 소스를 포함할 수 있다. 예를 들어, 입자 소스(110)는 전자 건(electron gun) 또는 이온 건(ion gun)을 포함할 수 있지만, 이에 제한되지는 않는다. 다른 실시예에서, 입자 소스(110)는 조정 가능한 에너지(tunable energy)를 갖는 입자 빔을 제공하도록 구성된다. 예를 들어, 전자 소스를 포함하는 입자 소스(110)는 0.1 kV 내지 30 kV의 범위의 가속 전압을 제공할 수 있지만, 이를 제공할 필요는 없다. 다른 예로서, 이온 소스를 포함하는 입자 소스(110)는 1 내지 50 keV의 범위의 에너지를 갖는 이온 빔을 제공할 수 있지만, 이를 제공할 필요는 없다.
다른 실시예에서, 오버레이 계측 툴(102)은 하나 이상의 입자 집속 요소(114)를 포함한다. 예를 들어, 하나 이상의 입자 집속 요소(114)는 단일 입자 집속 요소 또는 복합 시스템(compound system)을 형성하는 하나 이상의 입자 집속 요소를 포함할 수 있지만, 이에 제한되지는 않는다. 다른 실시예에서, 하나 이상의 입자 집속 요소(114)는 입자 빔(112)을 샘플 스테이지(120) 상에 위치한 샘플(118)로 지향시키도록 구성된 입자 대물 렌즈(116)를 포함한다. 또한, 하나 이상의 입자 집속 요소(114)는 정전기, 자기, 단 전위(uni-potential) 또는 이중 전위(double-potential) 렌즈를 포함하지만 이에 제한되지는 않는 본 기술 분야에서 공지된 임의의 타입의 전자 렌즈(electron lenses)를 포함할 수 있다.
다른 실시예에서, 오버레이 계측 툴(102)은 샘플(118)로부터 나오는 입자를 이미지화하거나 다른 방식으로 검출하기 위한 하나 이상의 검출기(122)를 포함한다. 일 실시예에서, 검출기(122)는 전자 컬렉터(electron collector)(예컨대, 2 차 전자 컬렉터, 후방 산란 전자 검출기 등)를 포함한다. 다른 실시예에서, 검출기(122)는 샘플(118)로부터 전자 및/또는 광자를 검출하기 위한 광자 검출기(예컨대, 광 검출기, x-선 검출기, 광전자 증배관(photomultiplier tube)(PMT) 검출기에 연결된 신틸레이팅 요소(scintillating element) 등)를 포함한다.
다른 실시예에서, 도시되지는 않았지만, 오버레이 계측 툴(102)은 샘플(118)로부터의 방출을 캡처하여 이를 하나 이상의 검출기(122)로 지향시키는 하나 이상의 수집 렌즈(collection lenses)를 포함한다. 예를 들어, 대물 렌즈(116)는 샘플로부터 방출을 수집하기 위한 수집 렌즈로서 동작할 수 있다. 또한, 하나 이상의 수집 요소(예컨대, 하나 이상의 추가 렌즈, 빔 편향기 등)는 방출을 하나 이상의 검출기(122)로 지향시킬 수 있다.
이해되어야 하는 것은, 도 1b에 도시된 바와 같은 오버레이 계측 툴(102)의 설명 및 관련된 전술한 설명은 단지 예시 목적으로만 제공되며 제한하는 것으로 해석되어서는 안된다는 것이다. 예를 들어, 오버레이 계측 툴(102)은 샘플(118)을 동시에 조사(interrogating)하기에 적합한 다중 빔 및/또는 다중 열 시스템(multi-beam and/or a multi-column system)을 포함할 수 있다. 추가 실시예에서, 오버레이 계측 툴(102)은 샘플(118)의 하나 이상의 위치에 하나 이상의 전압을 인가하도록 구성된 하나 이상의 컴포넌트(예를 들어, 하나 이상의 전극)를 포함할 수 있다. 이와 관련하여, 오버레이 계측 툴(102)은 전압 콘트라스트 이미징 데이터(voltage contrast imaging data)를 생성할 수 있다.
본원에서 인식되는 것은, 샘플(118)에서 입자 빔(112)의 침투 깊이는 입자 에너지에 의존할 수 있으며, 그에 따라 더 높은 에너지 빔은 전형적으로 샘플 내로 더 깊이 침투할 수 있게 된다는 것이다. 일 실시예에서, 오버레이 계측 툴(102)은 샘플(118) 내로의 입자 빔(112)의 침투 깊이에 기반하여 디바이스의 상이한 층들을 조사하기 위한 상이한 입자 에너지를 이용한다. 예를 들어, 오버레이 계측 툴(102)은 비교적 저에너지 전자 빔(예컨대, 약 1 keV 이하)을 이용할 수 있고, 이전에 제조된 층을 특성화하기 위해 보다 높은 에너지 빔(예컨대, 약 10 keV 이상)을 이용할 수 있다. 본원에서 인식되는 것은, 입자 에너지의 함수로서의 침투 깊이는 재료마다 다를 수 있으며, 그에 따라 특정 층에 대한 입자 에너지의 선택은 재료마다 다를 수 있다는 것이다.
도 2는 본 개시 내용의 하나 이상의 실시예에 따른 오버레이 계측 방법(200)에서 수행되는 단계들을 나타내는 흐름도이다. 본 출원인이 주목하는 것은 오버레이 계측 시스템(100)의 맥락에서 전술한 실시예 및 가능한 기술이 방법(200)으로 확장되도록 해석되어야 한다는 것이다. 그러나, 방법(200)은 오버레이 계측 시스템(100)의 아키텍처에 제한되지는 않는다는 것이 또한 주목된다.
일 실시예에서, 방법(200)은 샘플 상의 오버레이 타겟을 가로질러 입자 빔을 스캐닝하는 단계(202)를 포함하며, 상기 오버레이 타겟은 상기 샘플의 제 1 층 상의 제 1 층 타겟 요소 및 상기 제 1 층에 후속해서 제조되는 상기 샘플의 제 2 층 상의 제 2 층 타겟 요소를 포함한다.
도 3a 및 도 3b는 본 개시 내용의 하나 이상의 실시예에 따른 적층된 오버레이 타겟 피처들을 갖는 오버레이 타겟을 도시하고 있다. 구체적으로, 도 3a는 본 개시 내용의 하나 이상의 실시예에 따른 적층된 오버레이 타겟 피처들을 포함하는 2-층 오버레이 계측 타겟(302)의 평면도이다. 또한, 도 3b는 본 개시 내용의 하나 이상의 실시예에 따른 적층된 오버레이 타겟 피처들을 포함하는 2-층 오버레이 계측 타겟(302)의 측면도이다. 일 실시예에서, 오버레이 계측 타겟(302)은 샘플(118)의 제 1 층(306) 상의 제 1 층 타겟 요소(304)를 포함하고 샘플(118)의 제 2 층(310) 상의 제 2 층 타겟 요소(308)를 추가로 포함한다. 예를 들어, 제 2 층(310)은 제 1 층(306)에 후속해서 제조될 수 있고 현재 제조 층을 나타낼 수 있다. 후속 제조 단계에서 제 2 층 타겟 요소(308) 상에 하나 이상의 추가 층이 제조될 수 있는 경우가 있을 수 있다.
오버레이 타겟의 주어진 층 상의 타겟 요소들은 샘플의 2 개 이상의 층 간의 오버레이를 측정하기에 적합한 임의의 크기, 형상, 배향, 또는 분포를 가질 수 있다. 예를 들어, 도 3a 및 도 3b에 도시된 바와 같이, 제 1 층 타겟 요소들(304)은 제 1 층 피치(312)로 주기적으로 분포될 수 있고, 제 2 층 타겟 요소들(308)은 제 2 층 피치(314)로 분포될 수 있다. 또한, 제 1 층 타겟 요소들(304)은 제 1 층 요소 폭(316)을 가질 수 있는 반면, 제 2 층 타겟 요소들(308)은 제 2 층 요소 폭(318)을 가질 수 있다. 또한, 상이한 층들 상의 타겟 피처들의 주기 및/또는 폭은 동일하거나 상이할 수 있다. 예를 들어, 도 3a 및 도 3b에 도시된 바와 같이, 제 2 층 피치(314)는 제 1 층 피치(312)보다 3 배 더 크다. 추가적으로, 제 2 층 요소 폭(318)은 각각의 제 2 층 타겟 요소(308)가 3 개의 제 1 층 타겟 요소(304)와 중첩되도록 제 1 층 요소 폭(316)보다 3 배 더 크다.
일 실시예에서, 오버레이 타겟의 하나 이상의 층 상의 타겟 피처들은 대응하는 층들 상의 디바이스 피처들을 나타내는 크기, 형상, 배향, 또는 분포를 가질 수 있다. 이와 관련하여, 오버레이 타겟 피처들은 대응하는 디바이스 피처들과 실질적으로 동일한 특성들(예컨대, 패턴 배치 오차, 측벽 각도, 임계 치수 등)로 제조될 수 있고, 그에 따라, 오버레이 타겟 상에서 측정된 오버레이는 샘플 상의 대응하는 디바이스 피처들의 오버레이를 정확하게 나타낼 수 있게 된다. 예를 들어, 타겟 피처 피치(target feature pitch)(예컨대, 제 1 층 피치(312), 제 2 층 피치(314) 등) 및/또는 타겟 피처 폭(target feature width)(예컨대, 제 1 층 요소 폭(316), 제 2 층 요소 폭(318) 등)은 디바이스 피처들을 나타낼 수 있다. 본원에서 인식되는 것은, 샘플의 주어진 층 상의 디바이스 피처들이 다양한 크기, 형상, 배향, 또는 분포를 가질 수 있다는 것이다. 따라서, 디바이스 스케일 오버레이 타겟 요소는 관심 대상의 디바이스 피처들 중 적어도 일부를 나타내는 치수를 가질 수 있다.
주어진 층 상의 타겟 요소들은 샘플의 둘 이상의 층 간의 오버레이를 결정하기에 적합한 임의의 구성으로 적층될 수 있다. 일 실시예에서, 인접한 샘플 층들 상의 적어도 일부의 타겟 요소들은 공칭 대칭 구성으로 제조된다. 이와 관련하여, 샘플 층들 간의 오버레이는 대칭의 중단에 기반하여(예컨대, 비대칭 제조에 의해) 식별될 수 있다.
예를 들어, 도 3b의 측면도에 도시된 바와 같이, 제 2 층 타겟 요소(308a)는 제 1 층 타겟 요소(304a) 바로 위에 (및 제 1 층 타겟 요소들(304a 내지 304c)의 그룹 위에 대칭적으로) 제 1 층(306)과 제 2 층(310) 간의 제로 오정렬과 연관된 공칭 오버레이를 나타낼 수 있지만, 이를 나타낼 필요는 없는 대칭 구성으로 제조될 수 있다. 따라서, 타겟 피처들의 분리 방향(예컨대, 도 3a 및 도 3b에서의 X-방향)을 따라 제 1 층(306)과 제 2 층(310) 간의 오정렬은 제 1 층 타겟 요소들(304)에 대한 제 2 층 타겟 요소들(308)의 대칭의 중단으로 나타날 수 있다. 또한, 제 1 층 타겟 요소들(304)에 대한 제 2 층 타겟 요소들(308)의 대칭의 중단은 대칭 구성에 대한 제 2 층 타겟 요소들(308) 간의 오정렬의 값을 나타내는 비대칭 오프셋에 의해 특성화될 수 있다.
그러나, 도 3a 및 도 3b에 도시된 오버레이 계측 타겟(302)은 관련 설명과 함께, 단지 예시적인 목적으로만 제공되며 제한적인 것으로 해석되어서는 안된다는 것을 이해해야 한다. 예를 들어, 오버레이 타겟은 하나 이상의 층 내의 단일 타겟 요소를 가질 수 있으며, 그에 따라 오버레이 측정을 위해 인접한 관심 대상의 층들 상의 타겟 요소들은 적층될 수 있게 된다. 다른 예로서, 제 2 층 요소 폭(318)은 제 1 층 요소 폭(316)보다 작을 수 있으며, 그에 따라 제 1 층 타겟 요소(304)의 일부가 평면도에서 보여질 수 있다. 다른 예로서, 제 2 층 피치(314)는 제 1 층 피치(312)와 같거나 작을 수 있다. 다른 예로서, 주어진 층 상의 오버레이 타겟 피처들은 관심 대상 층들 간의 대칭이 유지되는 한, 다양한 크기, 형상, 배향, 및/또는 분포를 가질 수 있다.
단계(202)는 오버레이 타겟(예컨대, 오버레이 계측 타겟(302))을 가로질러 임의의 타입의 입자 빔을 스캐닝하는 단계를 포함할 수 있다. 예를 들어, 입자 빔은 입자 기반 오버레이 계측 툴(102)을 사용하여 생성될 수 있지만 입자 기반 오버레이 계측 툴(102)을 사용하여 생성되도록 요구되는 것은 아니다. 따라서, 입자 빔(예컨대, 입자 빔(112) 등)은 전자 빔, 이온 빔(예컨대, 양성자 빔 등), 또는 중성 입자의 빔을 포함할 수 있지만 이에 제한되지는 않는다.
또한, 단계(202)는 입자 빔이 관심 대상의 다중 층들 상의 타겟 요소들과 상호 작용하도록 입자 빔을 오버레이 타겟의 하나 이상의 타겟 요소에 대해 스캐닝하는 단계를 포함할 수 있다. 본원에서 전술한 바와 같이, 입자 빔(예컨대, 입자 빔(112) 등)의 상호 작용 볼륨 및/또는 상호 작용 깊이는 적어도 빔 에너지의 함수일 수 있으며, 입자 빔의 침투 깊이는 빔 에너지에 따라 증가하게 된다.
예를 들어, 다시 도 3a 및 도 3b를 참조하면, 단계(202)는 제 1 층 타겟 요소들(304a 내지 304c)과 상호 작용하기에 충분한 빔 에너지로 적어도 제 2 층 타겟 요소(308a)에 대해 입자 빔을 스캐닝하는 단계를 포함할 수 있다.
다른 실시예에서, 방법(200)은 제 1 층 타겟 요소 및 제 2 층 타겟 요소 모두와의 입자 빔의 상호 작용과 연관된 스캔 신호를 캡처하는 단계(204)를 포함한다. 예를 들어, 단계(204)는 단계(202)에서 스캐닝된 입자 빔에 응답하여 샘플로부터 검출된 방출과 연관된 스캔 신호를 캡처하는 단계를 포함할 수 있다. 또한, 스캔 신호는 입자 빔으로 샘플을 스캐닝하는 것에 응답하여 생성된 다수의 타입의 방출, 예를 들어, 하지만 이에 제한되는 것은 아닌, 입자 빔의 후방 산란 방출(backscattered emission)(BSE) 또는 입자의 2 차 방출(secondary emission)(SE) 및/또는 전자기 방사선(예컨대, 광)에 기반하여 생성될 수 있다.
도 4는 본 개시 내용의 하나 이상의 실시예에 따른 입사 입자 빔(112)에 응답하는 오버레이 계측 타겟(302)으로부터의 다수의 방출 소스의 측면도를 포함한다. 측면도(402)는 샘플에서 입자 빔(112)의 반사와 연관된(예컨대, 입자 빔(112)의 탄성 산란과 연관된) 후방 산란 방출의 일부 가능한 소스를 도시하고, 측면도(404)는 샘플(118)에서 입자 빔(112)의 흡수에 의해 유도되는 2 차 방출의 일부 가능한 소스를 도시한다.
일 실시예에서, 입자 빔(112)은 전자 빔(예컨대, 스캐닝 전자 현미경 계측 시스템에 의해 생성됨)을 포함한다. 입자 빔(112)과의 상호 작용은 그 후 샘플로부터 다수의 방출 신호를 생성할 수 있다. 예를 들어, 입자 빔(112)은 제 2 층 타겟 요소(308a)와의 상호 작용에 기반하여 제 2 층 BSE(406)를 생성 및/또는 제 2 층(310)을 통과한 후 제 1 층 타겟 요소(304a)와의 상호 작용에 기반하여 제 1 층 BSE(408)를 생성할 수 있다. 다른 예로서, 입자 빔(112)은 다수의 소스로부터 2 차 전자(secondary electrons)(SE)의 생성을 유도할 수 있다. 예를 들어, 입자 빔(112)은 제 2 층 타겟 요소(308a)로부터 제 2 층 SE(410)를 생성할 수 있다. 다른 예에서, 입자 빔(112)은 제 2 층(310)을 통과한 후 제 1 층 타겟 요소(304a)로부터 제 1 층 SE(412)를 생성할 수 있다. 다른 예에서, 도 4에 도시된 바와 같이, 제 1 층(306)에서 생성된 제 1 층 BSE(408)의 일부의 흡수시 제 2 층(310)에서 추가의 제 2 층 SE(414)가 생성되어 표면을 향해 다시 전파될 수 있다.
입자 빔(112)에 응답하여 생성된 방출은 단계(202)에서 관심 대상의 방출을 캡처하기에 적합한 임의의 개수의 검출기에 의해 캡처될 수 있다. 예를 들어, 방출은 오버레이 계측 시스템(100)의 적어도 하나의 검출기(122)에 의해 캡처될 수 있다. 또한, 임의의 검출기(122)는 스캔 동안 샘플을 가로 지르는 입자 빔(112)의 포지션의 함수로서 캡처된 신호의 강도와 연관된 스캔 신호를 생성할 수 있다.
다른 실시예에서, 방법(200)은 상기 스캔 신호에 대해 하나 이상의 대칭 메트릭과 관련한 하나 이상의 대칭 측정치를 결정하는 단계(206)를 포함한다. 다른 실시예에서, 방법(200)은 하나 이상의 대칭 측정치에 기반하여 제 1 층과 제 2 층 간의 오버레이 측정치를 생성하는 단계(208)를 포함하며, 여기서 스캔 신호의 비대칭은 제 1 층 타겟 요소에 대한 제 2 층 타겟 요소의 오정렬을 나타내며, 오버레이 측정치의 값은 하나 이상의 대칭 측정치에 기반하고 있다. 스캔 신호에 대한 하나 이상의 대칭 측정치를 결정하는 단계(206) 및/또는 단계(208)는 오버레이 계측 시스템(100)의 컨트롤러(104)를 사용하여 수행될 수 있지만, 오버레이 계측 시스템(100)의 컨트롤러(104)를 사용하여 수행될 필요는 없다. 예를 들어, 컨트롤러(104)는 스캔 신호를 수신하기 위해 검출기(122)에 통신 가능하게 연결될 수 있고, 스캔 신호의 대칭을 분석하기 위해 스캔 신호를 추가로 분석하고 대칭 측정치에 기반하여 오버레이 측정치를 추가로 생성할 수 있다.
본원에서 인식되는 것은, 검출기(예컨대, 검출기(122))는 샘플로부터 다수의 방출 소스(예컨대, 제 1 층 BSE(408), 제 2 층 BSE(406), 제 1 층 SE(412), 제 2 층 SE(410), 및/또는 추가의 제 2 층 SE(414)의 임의의 조합)를 동시에 캡처할 수 있다는 것이다. 그러나, 임의의 조합의 방출 소스를 포함하는 스캔 신호의 대칭 또는 비대칭은 입자 빔에 의해 스캐닝된 샘플 피처들의 기본 대칭 또는 비대칭을 반영할 수 있는 경우가 있을 수 있다. 따라서, 단계(206)는 임의의 개수의 대칭 메트릭과 관련한 대칭 측정치를 결정함으로써 스캔 신호를 특성화할 수 있다. 또한, 단계(208)는 대칭 측정치에 기반하여 오버레이 측정치를 결정할 수 있다.
예를 들어, 넌제로(non-zero) 오버레이(예컨대, 샘플 층들의 오정렬)의 존재는 공칭 대칭 측정치로부터 스캔 신호의 대칭 측정치의 편차에 기반하여 식별될 수 있다. 예를 들어, 넌제로 오버레이는 오버레이 타겟 요소들의 대칭 구성 또는 선택된 비대칭 오프셋과 연관된 선택된 비대칭 구성에 대응할 수 있다. 또한, 샘플 층들 간의 오정렬의 크기 및/또는 방향을 포함하는 오버레이 측정 값이 하나 이상의 대칭 메트릭과 관련한 스캔 신호의 분석에 기반하여 생성될 수 있다.
일 실시예에서, 공지된 비대칭 오프셋(예컨대, 대칭 구성으로부터 관심 대상의 샘플 층들 상의 타겟 피처들의 공지된 편차)과 대응하는 스캔 신호 간의 매핑을 제공하는 교정 데이터 세트와 관련한 오버레이 측정 값이 생성될 수 있다. 예를 들어, 하나 이상의 교정 샘플은 관심 대상의 샘플 층들 간의 체계적으로 변화하는 비대칭 오프셋으로 배열되는 측정 대상의 오버레이 타겟(예컨대, 오버레이 계측 타겟(302) 등)에 대응하는 적층된 오버레이 타겟 요소로 제조될 수 있다. 또한, 각각의 공지된 비대칭 오프셋과 연관된 교정 스캔 신호는 오버레이 계측 툴(예컨대, 오버레이 계측 툴(102))로 생성될 수 있다. 따라서, 교정 스캔 신호와 연관된 대칭 측정치가 분석되어 대칭 측정치와 공지된 비대칭 오프셋 간의 매핑을 생성할 수 있다. 따라서, 교정 데이터 세트는 임의의 관심 대상의 샘플 상에서 측정된 스캔 신호를 비대칭 오프셋에 매핑하는 데 사용될 수 있다.
비대칭 오프셋은 그 후 오버레이 값과 상관될 수 있다. 예를 들어, 대응하는 타겟 피처들의 비대칭 오프셋들의 편차에 대한 스캔 신호의 감도는 오프셋마다 다를 수 있다. 따라서, 오버레이 타겟은 관심 대상의 샘플 층들 상의 타겟 피처들 간의 임의의 선택된 비대칭 오프셋으로 제조되어 원하는 감도를 제공할 수 있다.
도 5a는 본 개시 내용의 하나 이상의 실시예에 따른 체계적으로 변화하는 공지된 비대칭 오프셋을 갖는 교정 샘플의 측면도이다. 일 실시예에서, 교정 샘플은 교정 샘플의 제 1 층(506) 상의 제 1 층 교정 타겟 요소(504)를 포함하고, 그리고 교정 샘플의 제 2 층(510) 상의 제 2 층 교정 타겟 요소(508)를 추가로 포함한다. 또한, 교정 타겟 요소들(예컨대, 제 1 층 교정 타겟 요소들(504), 제 2 층 교정 타겟 요소들(508) 등)은 대응하는 관심 대상의 오버레이 타겟(예컨대, 오버레이 계측 타겟(302) 등) 상의 오버레이 타겟 요소들과 실질적으로 동일한 크기, 형상, 및 배향을 가질 수 있다. 이와 관련하여, 교정 오버레이 타겟 요소들은 대응하는 오버레이 타겟과 실질적으로 동일한 특성(예컨대, 패턴 배치 오차, 임계 치수, 측벽 각도 등)으로 제조될 수 있다.
교정 타겟 요소들은 체계적으로 변화하는 공지된 비대칭 오프셋들(예를 들어, 제 1 층(506) 상의 타겟 요소들과 관련된 대칭 구성으로부터 제 2 층(510) 상의 타겟 요소들의 위치의 편차들)로 제조될 수 있다. 예를 들어, 도 5a에 도시된 바와 같이, 제 2 층 교정 타겟 요소(508a)는 널 비대칭 오프셋(null asymmetry offset)(512a)으로 대응하는 제 1 층 교정 타겟 요소(504a) 위에 대칭적으로 제조될 수 있고, 제 2 층 교정 타겟 요소들(508b 및 508c)은 동일하고 반대되는 비대칭 오프셋들(512b 및 512c)(예컨대, 비대칭)로 대응하는 제 1 층 교정 타겟 요소(504b 및 504c) 위에 대칭적으로 제조될 수 있고, 제 2 층 교정 타겟 요소들(508d 및 508e)은 동일하고 반대되는 비대칭 오프셋들(512d 및 512e)로 대응하는 제 1 층 교정 타겟 요소(504d) 위에 대칭적으로 제조될 수 있다.
도 5b는 본 개시 내용의 하나 이상의 실시예에 따른 도 5a의 교정 타겟 요소들과 연관된 교정 스캔 신호들(514)을 포함한다. 예를 들어, 교정 스캔 신호(514a)는 제 1 교정 타겟 요소(504a) 및 제 2 교정 타겟 요소(508a)에 대응할 수 있고, 교정 스캔 신호(514b)는 제 1 교정 타겟 요소(504b) 및 제 2 교정 타겟 요소(508b)에 대응할 수 있고, 교정 스캔 신호(514c)는 제 1 교정 타겟 요소(504c) 및 제 2 교정 타겟 요소(508c)에 대응할 수 있고, 교정 스캔 신호(514d)는 제 1 교정 타겟 요소(504d) 및 제 2 교정 타겟 요소(508d)에 대응할 수 있고, 그리고 교정 스캔 신호(514e)는 제 1 교정 타겟 요소(504e) 및 제 2 교정 타겟 요소(508e)에 대응할 수 있다.
본원에서 전술한 바와 같이, 교정 스캔 신호(514)의 대칭은 대응하는 교정 타겟 요소들의 제조 대칭 및 그에 따른 제 1 층(506)에 대한 제 2 층(510) 상의 교정 타겟 요소들 간의 비대칭 오프셋(예를 들어, 오정렬)을 나타낼 수 있다. 예를 들어, 교정 스캔 신호(514a)는 대칭 분포를 가지며, 교정 스캔 신호들(514b 및 514c)은 제 1 비대칭 분포의 동일하고 반대되는 인스턴스를 가지며, 그리고 교정 스캔 신호들(514d 및 514e)은 제 2 비대칭 신호의 동일하고 반대되는 인스턴스를 갖는다.
또한, 도 5a에 도시된 바와 같이, 교정 스캔 신호들(514)은 제 1 층(506)에 대한 제 2 층(510) 상의 교정 타겟 요소들의 체계적으로 변화하는 비대칭 오프셋들에 대응하는 체계적으로 변화하는 분포들을 나타낼 수 있다. 예를 들어, 교정 스캔 신호들(514b 내지 514e)은 교정 스캔 신호(514a)로부터의 체계적인 편차들을 나타낼 수 있으며, 이는 개념 표현(516)에 의해 개념적으로 도시될 수 있으며, 여기서, 동일하고 반대 방향으로 넌제로 비대칭 오프셋은 교정 스캔 신호들(514)의 대응하는 편차들을 초래한다. 따라서, 교정 스캔 신호들(514)은 대칭 메트릭과 비대칭 오프셋(예를 들어, 대칭으로부터의 편차) 간의 매핑을 제공하기 위해 대칭 메트릭과 관련하여 특성화될 수 있다.
또한, 도 5a의 교정 스캔 신호들(514)의 개념 표현(516)은 교정 스캔 신호들(514)의 특정 값보다는 교정 스캔 신호들(514)의 체계적인 편차를 개념적으로 설명하기 위한 것임을 이해해야 한다. 예를 들어, 도 5a의 교정 스캔 신호들(514)의 개념 표현(516)은 하나 이상의 대칭 메트릭과 관련하여 교정 스캔 신호(514)의 하나 이상의 대칭 측정치의 체계적인 변화를 반영할 수 있지만, 이를 반영할 필요는 없다.
오버레이 타겟 및/또는 교정 샘플의 스캔 신호는 본 기술 분야에서 공지된 임의의 타입의 대칭 메트릭의 임의의 조합에 대해 평가될 수 있다. 이와 관련하여, 스캔 신호와 비대칭 오프셋 간의 매핑은 스캔 신호의 실제 분포보다는 스캔 신호의 대칭 측정치에 기반할 수 있다.
일 실시예에서, 스캔 메트릭은 스캔 신호에서의 다수의 피크를 포함한다. 예를 들어, 대칭 교정 스캔 신호(514a)는 단일 피크를 포함하는 반면, 비대칭 교정 스캔 신호들(514b 내지 514e)은 다수(예를 들어, 2 개)의 피크를 포함한다.
다른 실시예에서, 스캔 메트릭은 스캔 신호 내의 피크들의 위치를 포함한다. 예를 들어, 스캔 신호 내의 피크들의 위치는 비대칭 오프셋의 값에 기반하여 변할 수 있다. 일 예에서,도 5b에 도시된 바와 같이, 대칭 교정 스캔 신호(514a)는 연관된 대칭 적층 오버레이 타겟 요소들(예컨대, 0 포지션)에 중심을 갖는 피크를 가질 수 있는 반면, 비대칭 교정 스캔 신호들(514b 내지 514e)에서의 하나 이상의 피크는 0 포지션으로부터 벗어날 수 있다.
다른 실시예에서, 스캔 메트릭은 스캔 신호 내의 피크들의 분리를 포함한다. 예를 들어, 스캔 신호 내의 피크들의 분리는 비대칭 오프셋의 값에 기반하여 변할 수 있다. 일 예에서, 도 5b에 도시된 바와 같이, 대칭 교정 스캔 신호(514a)는 단일 피크를 가질 수 있는 반면, 교정 스캔 신호들(514b 및 514c)은 제 1 피크 분리(518)를 갖는 피크들을 포함할 수 있고, 교정 스캔 신호들(514d 및 514e)은 제 2 피크 분리(520)를 갖는 피크들을 포함할 수 있다.
다른 실시예에서, 스캔 메트릭은 스캔 신호 내의 피크들의 값을 포함한다. 예를 들어, 스캔 신호 내의 피크들의 값은 비대칭 오프셋의 값에 기반하여 변할 수 있다. 일 예에서, 도 5b에 도시된 바와 같이, 대칭 교정 스캔 신호(514a)는 제 1 피크 값을 갖는 단일 피크를 가질 수 있는 반면, 교정 스캔 신호들(514b 및 514c)은 제 1 피크 값을 갖는 피크들을 포함할 수 있고, 교정 스캔 신호들(514d 및 514e)은 제 2 피크 값 및 제 3 피크 값을 갖는 피크들을 포함할 수 있다.
다른 실시예에서, 스캔 메트릭은 스캔 신호의 적분을 포함한다. 예를 들어, 스캔 신호의 적분은 비대칭 오프셋의 값에 기반하여 변할 수 있다.
대칭 메트릭 및 대응하는 비대칭 오프셋에 기반한 대칭 측정치들 간의 매핑은 본 기술 분야에서 공지된 임의의 기술을 사용하여 달성될 수 있다. 예를 들어, 스캔 신호는 라이브러리(libraries), 고속 감소 순서 모델(fast-reduced-order models), 회귀(regression), 변환 분석(transform analyses)(예컨대, 푸리에 또는 웨이블릿 변환(Fourier or wavelet transforms), 칼만 필터(Kalman filters) 등), 차원 감소 알고리즘(dimensionality-reduction algorithms)(예컨대, 주요 성분 분석(principal component analysis)(PCA), 독립 성분 분석(independent component analysis)(ICA), 로컬 선형 임베딩(local-linear embedding)(LLE) 등), 또는 희소 데이터 표현(sparse representation of data)을 포함하지만 이에 제한되지는 않는 데이터 피팅 및 최적화 기술(data fitting and optimization techniques)을 사용하여 분석될 수 있다.
다른 실시예에서, 스캔 신호와 비대칭 오프셋 사이의 매핑은 신경 네트워크(neural network), 또는 지원 벡터 머신(support-vector machine)(support-vector machine)(SVM) 알고리즘과 같은 하지만 이에 제한되지 않는 머신 러닝 알고리즘을 트레이닝(training)함으로써 생성될 수 있다. 예를 들어, 대응하는 비대칭 오프셋들, 및 교정 스캔 신호들(예컨대, 교정 스캔 신호들(514)) 또는 하나 이상의 대칭 메트릭에 기반한 교정 신호들의 대칭 측정치의 임의의 조합은 머신 러닝 알고리즘에 트레이닝 신호로서 제공될 수 있다. 이와 관련하여, 머신 러닝 알고리즘은 비대칭 오프셋과 관련 교정 스캔 신호 및/또는 교정 스캔 신호의 대칭 측정치 간의 상관 관계를 결정할 수 있다. 머신 러닝 알고리즘이 트레이닝되면, 머신 러닝 알고리즘은 단계(202)에서 생성된 스캔 신호 및 트레이닝 단계 동안 생성된 매핑에 기반하여 측정되는 샘플(118)에 대한 비대칭 오프셋을 결정할 수 있다.
다른 실시예에서, 단계(208)는 샘플 층들 상의 오버레이 타겟 피처들 간의 비대칭 오프셋에 기반하여 관심 대상의 샘플 층들에 대한 오버레이 측정치를 생성하는 단계를 포함한다. 본원에서 전술한 바와 같이, 오버레이 타겟은 오버레이 타겟 요소들의 임의의 선택된 비대칭 오프셋으로 제조될 수 있다. 따라서, 단계(208)는 오버레이 측정치를 생성하기 위해 선택된 값만큼 비대칭 오프셋을 조정하는 단계를 포함할 수 있다.
다른 실시예에서, 방법(200)은 디바이스 관련 오버레이에 기반한 오버레이 보정 가능한 것(overlay correctables)을 리소그래피 시스템에 제공하여 적어도 하나의 후속 노출의 노출 조건을 수정하는 단계(210)를 포함한다.
예를 들어, 단계(210)는 디바이스 관련 오버레이에 기반한 리소그래피 툴과 같은 하지만 이에 제한되지는 않는 제조 툴에 대한 제어 파라미터(또는 제어 파라미터에 대한 보정치)를 생성하는 단계를 포함할 수 있다. 제어 파라미터는 오버레이 계측 시스템(100)의 컨트롤러(104)와 같은 하지만 이에 제한되지는 않는 제어 시스템에 의해 생성될 수 있다. 오버레이 보정 가능한 것은 피드백 및/또는 피드포워드 제어 루프의 일부로서 제공될 수 있다. 일 실시예에서, 샘플 상에서 측정된 현재 공정 단계와 연관된 디바이스 관련 오버레이 측정치는 하나 이상의 제조 공정의 드리프트를 보상하는 데 사용되며, 따라서 동일 또는 상이한 로트들에서 후속 샘플들에 대한 다수의 노출에 걸쳐 선택된 허용 오차 내로 오버레이를 유지할 수 있다. 다른 실시예에서, 현재의 공정 단계와 연관된 디바이스 관련 오버레이 측정치는 후속 공정 단계를 조정하도록 피드포워드되어 임의의 측정된 오버레이 오차를 보상할 수 있다. 예를 들어, 후속 층들 상의 패턴들의 노출은 후속 층들의 측정된 오버레이와 매칭하도록 조정될 수 있다.
오버레이 측정을 위한 시스템 및 방법은 일반적으로 "오버레이 마크, 오버레이 마크 디자인 방법 및 오버레이 측정 방법(Overlay marks, methods of overlay mark design and methods of overlay measurements)"이라는 명칭으로 2012년 12월 11일자로 발행된 미국 특허 제8,330,281호, "두 층 간의 오정렬을 제어하는 주기적 패턴 및 기술(Periodic patterns and technique to control misalignment between two layers)"이라는 명칭으로 2016년 10월 25일자로 발행된 미국 특허 제9,476,698호, "회전 또는 미러 대칭을 갖는 구조물의 오버레이를 결정하기 위한 장치 및 방법"이라는 명칭으로 2009년 6월 2일자로 발행된 미국 특허 제7,541,201호, "산란 계측을 사용하여 오버레이 오차를 검출하기 위한 장치 및 방법(APPARATUS AND METHODS FOR DETECTING OVERLAY ERRORS USING SCATTEROMETRY)"이라는 명칭으로 2007년 7월 10일자로 발행된 미국 특허 제7,242,477호, "개선된 처리 제어를 위해 품질 메트릭을 제공하는 방법 및 시스템(METHOD AND SYSTEM FOR PROVIDING A QUALITY METRIC FOR IMPROVED PROCESS CONTROL)"이라는 명칭으로 2013년 2월 7일자로 공개된 미국 특허 공개 제2013/0035888호, 및 "SEM 오버레이 계측 시스템 및 방법(System and method of SEM overlay metrology)"이라는 명칭으로 2015년 12월 15일자로 발행된 미국 특허 제9,214,317호에 기술되며, 이들 모두는 그 전체가 본원에 참고로 포함된다.
본원에 설명된 발명의 요지는 때로는 다른 컴포넌트들 내에 포함되거나 다른 컴포넌트들과 연결된 상이한 컴포넌트들을 예시한다. 그러한 예시된 아키텍처는 단지 예시적인 것에 불과하며 실제로 동일한 기능을 달성하는 많은 다른 아키텍처들이 구현될 수 있다는 것을 이해해야 한다. 개념적 의미에서, 동일한 기능을 달성하기 위한 컴포넌트들의 임의의 배열은 원하는 기능이 달성되도록 효과적으로 "연관"된다. 따라서, 특정 기능을 달성하기 위해 결합된 임의의 두 개의 컴포넌트는 아키텍처 또는 중간의 컴포넌트와는 관계없이 원하는 기능이 달성되도록 서로 "연관된다"고 간주될 수 있다. 유사하게, 이와 같이 연관된 임의의 두 개의 컴포넌트는 또한 원하는 기능을 달성하기 위해 서로 "접속된" 또는 "연결된" 것으로 간주될 수 있으며, 그렇게 연관될 수 있는 임의의 두 개의 컴포넌트는 또한 서로 원하는 기능을 달성하기 위해 서로 "연결 가능한" 것으로 간주될 수 있다. 연결 가능한 특정 예는 물리적으로 상호 작용 가능한 및/또는 물리적으로 상호 작용하는 컴포넌트들 및/또는 무선으로 상호 작용 가능한 및/또는 무선으로 상호 작용하는 컴포넌트들 및/또는 논리적으로 상호 작용 가능한 및/또는 논리적으로 상호 작용하는 컴포넌트들을 포함하지만 이에 제한되는 것은 아니다.
본 개시 내용과 그에 따른 다수의 장점은 전술한 설명에 의해 이해될 것이고, 본 개시된 발명의 요지를 벗어나지 않고 또는 그의 모든 재료의 이점을 희생시키지 않는 범위 내에서 컴포넌트들의 형태, 구성, 및 배열에서 다양한 변경이 이루어질 수 있음이 명백할 것이라고 믿는다. 설명된 형태는 단지 설명적인 것에 불과하며, 아래의 청구항은 이러한 변경을 포괄하고 포함하기 위한 것이다. 또한, 본 발명은 첨부된 청구항에 의해 정의되는 것으로 이해되어야 한다.

Claims (35)

  1. 오버레이 계측 시스템으로서,
    입자 빔 계측 툴에 통신 가능하게 연결된 컨트롤러 - 상기 컨트롤러는 프로그램 명령어를 실행하도록 구성된 하나 이상의 프로세서를 포함함 -
    를 포함하며,
    상기 프로그램 명령어는 상기 하나 이상의 프로세서로 하여금 아래의 동작을 수행하게 하도록 구성되며, 상기 동작은:
    상기 입자 빔 계측 툴로부터 스캔 신호를 수신하는 것 - 상기 입자 빔 계측 툴은 샘플 상의 오버레이 타겟을 가로질러 입자 빔을 스캔하도록 구성되며, 상기 오버레이 타겟은 상기 샘플의 제 1 층 상의 제 1 층 타겟 요소와 상기 제 1 층에 후속하여 제조되는 상기 샘플의 제 2 층 상의 제 2 층 타겟 요소를 포함하고, 상기 입자 빔 계측 툴은 또한, 상기 제 1 층 타겟 요소 및 상기 제 2 층 타겟 요소 모두와의 상기 입자 빔의 상호 작용과 연관된 스캔 신호를 캡처하도록 구성됨 -;
    상기 스캔 신호에 대해 하나 이상의 대칭 메트릭과 관련한 하나 이상의 대칭 측정치를 결정하는 것; 및
    상기 하나 이상의 대칭 측정치에 기반하여 상기 제 1 층과 상기 제 2 층 간의 오버레이 측정치를 생성하는 것을 포함하며, 상기 스캔 신호의 비대칭은 상기 제 1 층 타겟 요소에 대한 상기 제 2 층 타겟 요소의 오정렬을 나타내며, 상기 오버레이 측정치의 값은 상기 하나 이상의 대칭 측정치에 기반하고,
    상기 하나 이상의 프로세서는 또한,
    하나 이상의 교정 샘플 상에서의 상기 제 1 층 타겟 요소 및 상기 제 2 층 타겟 요소의 하나 이상의 공지된 오버레이 구성에 기반하여 상기 입자 빔 계측 툴에 의해 생성된 하나 이상의 교정 스캔 신호를 포함하는 교정 데이터 세트를 수신하고; 그리고
    상기 하나 이상의 대칭 메트릭에 기반하여 상기 하나 이상의 교정 스캔 신호에 대한 하나 이상의 교정 대칭 측정치를 결정하도록 구성되고,
    상기 오버레이 측정치를 생성하는 것은 상기 하나 이상의 대칭 측정치와 상기 교정 대칭 측정치의 비교에 기반하여 상기 오버레이 측정치의 값을 결정하는 것을 포함하는 것인 오버레이 계측 시스템.
  2. 제1항에 있어서,
    상기 하나 이상의 프로세서는 또한, 상기 하나 이상의 프로세서로 하여금 상기 오버레이 측정치의 값에 기반한 오버레이 보정 가능한 것을 리소그래피 시스템에 제공하여 적어도 하나의 후속 노출의 노출 조건을 수정하게 하는 프로그램 명령어를 실행하도록 구성되는 것인 오버레이 계측 시스템.
  3. 제1항에 있어서,
    상기 스캔 신호는 후방 산란 입자 빔 신호 또는 2 차 방출 신호 중 적어도 하나를 포함하는 것인 오버레이 계측 시스템.
  4. 삭제
  5. 제1항에 있어서,
    상기 하나 이상의 대칭 측정치와 상기 교정 대칭 측정치의 비교에 기반하여 상기 오버레이 측정치의 값을 결정하는 것은,
    패턴 비교 기술을 사용함으로써 상기 하나 이상의 대칭 측정치와 상기 교정 대칭 측정치의 비교에 기반하여 상기 오버레이 측정치의 값을 결정하는 것을 포함하는 것인 오버레이 계측 시스템.
  6. 제1항에 있어서,
    상기 하나 이상의 대칭 측정치와 상기 교정 대칭 측정치의 비교에 기반하여 상기 오버레이 측정치의 값을 결정하는 것은,
    머신 러닝 기술을 사용함으로써 상기 하나 이상의 대칭 측정치와 상기 교정 대칭 측정치의 비교에 기반하여 상기 오버레이 측정치의 값을 결정하는 것을 포함하는 것인 오버레이 계측 시스템.
  7. 제1항에 있어서,
    상기 하나 이상의 대칭 메트릭은 상기 스캔 신호에서 다수의 피크를 포함하는 것인 오버레이 계측 시스템.
  8. 제1항에 있어서,
    상기 하나 이상의 대칭 메트릭은 상기 스캔 신호의 피크들의 위치를 포함하는 것인 오버레이 계측 시스템.
  9. 제8항에 있어서,
    상기 하나 이상의 대칭 메트릭은 상기 스캔 신호의 중심 포지션에 대한 상기 스캔 신호의 피크들의 위치를 포함하는 것인 오버레이 계측 시스템.
  10. 제1항에 있어서,
    상기 하나 이상의 대칭 메트릭은 상기 스캔 신호의 두 개 이상의 피크 간의 분리 거리를 포함하는 것인 오버레이 계측 시스템.
  11. 제1항에 있어서,
    상기 하나 이상의 대칭 메트릭은 상기 스캔 신호의 적분(integral)을 포함하는 것인 오버레이 계측 시스템.
  12. 제1항에 있어서,
    상기 입자 빔의 에너지는 상기 제 1 층 타겟 요소의 깊이와 매칭되는 샘플 내의 상호 작용 깊이를 제공하도록 선택되는 것인 오버레이 계측 시스템.
  13. 오버레이 계측 시스템으로서,
    입자 빔 계측 툴에 통신 가능하게 연결된 컨트롤러 - 상기 컨트롤러는 프로그램 명령어를 실행하도록 구성된 하나 이상의 프로세서를 포함함 -
    를 포함하며,
    상기 프로그램 명령어는 상기 하나 이상의 프로세서로 하여금 아래의 동작을 수행하게 하도록 구성되며, 상기 동작은:
    상기 입자 빔 계측 툴로부터 스캔 신호를 수신하는 것 - 상기 입자 빔 계측 툴은 샘플 상의 오버레이 타겟을 가로질러 입자 빔을 스캔하도록 구성되며, 상기 오버레이 타겟은 상기 샘플의 제 1 층 상의 제 1 층 타겟 요소와 상기 제 1 층에 후속하여 제조되는 상기 샘플의 제 2 층 상의 제 2 층 타겟 요소를 포함하고, 상기 입자 빔 계측 툴은 또한, 상기 제 1 층 타겟 요소 및 상기 제 2 층 타겟 요소 모두와의 상기 입자 빔의 상호 작용과 연관된 스캔 신호를 캡처하도록 구성됨 -;
    상기 스캔 신호에 대해 하나 이상의 대칭 메트릭과 관련한 하나 이상의 대칭 측정치를 결정하는 것; 및
    상기 하나 이상의 대칭 측정치에 기반하여 상기 제 1 층과 상기 제 2 층 간의 오버레이 측정치를 생성하는 것을 포함하며, 상기 스캔 신호의 비대칭은 상기 제 1 층 타겟 요소에 대한 상기 제 2 층 타겟 요소의 오정렬을 나타내며, 상기 오버레이 측정치의 값은 상기 하나 이상의 대칭 측정치에 기반하고,
    상기 제 1 층 타겟 요소는 제 1 층 타겟 요소들의 세트 중 하나이고, 상기 제 2 층 타겟 요소는 제 2 층 타겟 요소들의 세트 중 하나이며, 상기 컨트롤러에 의해 수신되는 상기 스캔 신호는 상기 제 1 층 타겟 요소들의 세트 및 상기 제 2 층 타겟 요소들의 세트와 연관된 상기 컨트롤러에 의해 수신된 스캔 신호들의 세트 중 하나이며, 상기 하나 이상의 프로세서는 또한, 상기 하나 이상의 프로세서로 하여금 아래의 동작을 수행하게 하는 프로그램 명령어를 실행하도록 구성되며,
    상기 동작은:
    상기 스캔 신호들의 세트에 대해 상기 하나 이상의 대칭 메트릭과 관련한 대칭 측정치들의 세트를 결정하는 것; 및
    상기 대칭 측정치들의 세트에 기반하여 상기 제 1 층과 상기 제 2 층 간의 오버레이 측정치를 생성하는 것을 포함하는 것인 오버레이 계측 시스템.
  14. 제1항에 있어서,
    상기 제 1 층 타겟 요소들의 세트는 제 1 피치로 분포되고, 상기 제 2 층 타겟 요소들의 세트는 제 2 피치로 분포되는 것인 오버레이 계측 시스템.
  15. 제14항에 있어서,
    상기 제 1 피치는 상기 제 2 피치와 동일한 것인 오버레이 계측 시스템.
  16. 제14항에 있어서,
    상기 제 1 피치와 상기 제 2 피치는 상이한 것인 오버레이 계측 시스템.
  17. 오버레이 계측 시스템으로서,
    샘플 상의 오버레이 타겟을 가로질러 입자 빔을 스캔하도록 구성되는 입자 빔 계측 툴 - 상기 오버레이 타겟은 상기 샘플의 제 1 층 상의 제 1 층 타겟 요소와 상기 제 1 층에 후속하여 제조되는 상기 샘플의 제 2 층 상의 제 2 층 타겟 요소를 포함하고, 상기 입자 빔 계측 툴은 또한, 상기 제 1 층 타겟 요소 및 상기 제 2 층 타겟 요소 모두와의 상기 입자 빔의 상호 작용과 연관된 스캔 신호를 캡처하도록 구성됨 -; 및
    상기 입자 빔 계측 툴에 통신 가능하게 연결된 컨트롤러 - 상기 컨트롤러는 프로그램 명령어를 실행하도록 구성된 하나 이상의 프로세서를 포함함 -
    를 포함하며,
    상기 프로그램 명령어는 상기 하나 이상의 프로세서로 하여금 아래의 동작을 수행하게 하도록 구성되며, 상기 동작은:
    상기 입자 빔 계측 툴로부터 상기 스캔 신호를 수신하는 것;
    상기 스캔 신호에 대해 하나 이상의 대칭 메트릭과 관련한 하나 이상의 대칭 측정치를 결정하는 것; 및
    상기 하나 이상의 대칭 측정치에 기반하여 상기 제 1 층과 상기 제 2 층 간의 오버레이 측정치를 생성하는 것을 포함하며,
    상기 스캔 신호의 비대칭은 상기 제 1 층 타겟 요소에 대한 상기 제 2 층 타겟 요소의 오정렬을 나타내며, 상기 오버레이 측정치의 값은 상기 하나 이상의 대칭 측정치에 기반하고,
    상기 하나 이상의 프로세서는 또한,
    하나 이상의 교정 샘플 상에서의 상기 제 1 층 타겟 요소 및 상기 제 2 층 타겟 요소의 하나 이상의 공지된 오버레이 구성에 기반하여 상기 입자 빔 계측 툴에 의해 생성된 하나 이상의 교정 스캔 신호를 포함하는 교정 데이터 세트를 수신하고; 그리고
    상기 하나 이상의 대칭 메트릭에 기반하여 상기 하나 이상의 교정 스캔 신호에 대한 하나 이상의 교정 대칭 측정치를 결정하도록 구성되고,
    상기 오버레이 측정치를 생성하는 것은 상기 하나 이상의 대칭 측정치와 상기 교정 대칭 측정치의 비교에 기반하여 상기 오버레이 측정치의 값을 결정하는 것을 포함하는 것인 오버레이 계측 시스템.
  18. 제17항에 있어서,
    상기 하나 이상의 프로세서는 또한, 상기 하나 이상의 프로세서로 하여금 상기 오버레이 측정치의 값에 기반한 오버레이 보정 가능한 것을 리소그래피 시스템에 제공하여 적어도 하나의 후속 노출의 노출 조건을 수정하게 하는 프로그램 명령어를 실행하도록 구성되는 것인 오버레이 계측 시스템.
  19. 제17항에 있어서,
    상기 입자 빔 계측 툴은 전자 빔 계측 툴을 포함하는 것인 오버레이 계측 시스템.
  20. 제17항에 있어서,
    상기 입자 빔 계측 툴은 집속(focused) 이온 빔 계측 툴을 포함하는 것인 오버레이 계측 시스템.
  21. 제17항에 있어서,
    상기 스캔 신호는 후방 산란 입자 빔 신호 또는 2 차 방출 신호 중 적어도 하나를 포함하는 것인 오버레이 계측 시스템.
  22. 삭제
  23. 제17항에 있어서,
    상기 하나 이상의 대칭 측정치와 상기 교정 대칭 측정치의 비교에 기반하여 상기 오버레이 측정치의 값을 결정하는 것은,
    패턴 비교 기술을 사용함으로써 상기 하나 이상의 대칭 측정치와 상기 교정 대칭 측정치의 비교에 기반하여 상기 오버레이 측정치의 값을 결정하는 것을 포함하는 것인 오버레이 계측 시스템.
  24. 제17항에 있어서,
    상기 하나 이상의 대칭 측정치와 상기 교정 대칭 측정치의 비교에 기반하여 상기 오버레이 측정치의 값을 결정하는 것은,
    머신 러닝 기술을 사용함으로써 상기 하나 이상의 대칭 측정치와 상기 교정 대칭 측정치의 비교에 기반하여 상기 오버레이 측정치의 값을 결정하는 것을 포함하는 것인 오버레이 계측 시스템.
  25. 제17항에 있어서,
    상기 하나 이상의 대칭 메트릭은 상기 스캔 신호에서 다수의 피크를 포함하는 것인 오버레이 계측 시스템.
  26. 제17항에 있어서,
    상기 하나 이상의 대칭 메트릭은 상기 스캔 신호의 피크들의 위치를 포함하는 것인 오버레이 계측 시스템.
  27. 제26항에 있어서,
    상기 하나 이상의 대칭 메트릭은 상기 스캔 신호의 중심 포지션에 대한 상기 스캔 신호의 피크들의 위치를 포함하는 것인 오버레이 계측 시스템.
  28. 제17항에 있어서,
    상기 하나 이상의 대칭 메트릭은 상기 스캔 신호의 두 개 이상의 피크 간의 분리 거리를 포함하는 것인 오버레이 계측 시스템.
  29. 제17항에 있어서,
    상기 하나 이상의 대칭 메트릭은 상기 스캔 신호의 적분을 포함하는 것인 오버레이 계측 시스템.
  30. 제17항에 있어서,
    상기 입자 빔의 에너지는 상기 제 1 층 타겟 요소의 깊이와 매칭되는 샘플 내의 상호 작용 깊이를 제공하도록 선택되는 것인 오버레이 계측 시스템.
  31. 오버레이 계측 시스템으로서,
    샘플 상의 오버레이 타겟을 가로질러 입자 빔을 스캔하도록 구성되는 입자 빔 계측 툴 - 상기 오버레이 타겟은 상기 샘플의 제 1 층 상의 제 1 층 타겟 요소와 상기 제 1 층에 후속하여 제조되는 상기 샘플의 제 2 층 상의 제 2 층 타겟 요소를 포함하고, 상기 입자 빔 계측 툴은 또한, 상기 제 1 층 타겟 요소 및 상기 제 2 층 타겟 요소 모두와의 상기 입자 빔의 상호 작용과 연관된 스캔 신호를 캡처하도록 구성됨 -; 및
    상기 입자 빔 계측 툴에 통신 가능하게 연결된 컨트롤러 - 상기 컨트롤러는 프로그램 명령어를 실행하도록 구성된 하나 이상의 프로세서를 포함함 -
    를 포함하며,
    상기 프로그램 명령어는 상기 하나 이상의 프로세서로 하여금 아래의 동작을 수행하게 하도록 구성되며, 상기 동작은:
    상기 입자 빔 계측 툴로부터 상기 스캔 신호를 수신하는 것;
    상기 스캔 신호에 대해 하나 이상의 대칭 메트릭과 관련한 하나 이상의 대칭 측정치를 결정하는 것; 및
    상기 하나 이상의 대칭 측정치에 기반하여 상기 제 1 층과 상기 제 2 층 간의 오버레이 측정치를 생성하는 것을 포함하며,
    상기 스캔 신호의 비대칭은 상기 제 1 층 타겟 요소에 대한 상기 제 2 층 타겟 요소의 오정렬을 나타내며, 상기 오버레이 측정치의 값은 상기 하나 이상의 대칭 측정치에 기반하고,
    상기 제 1 층 타겟 요소는 제 1 층 타겟 요소들의 세트 중 하나이고, 상기 제 2 층 타겟 요소는 제 2 층 타겟 요소들의 세트 중 하나이며, 상기 컨트롤러에 의해 수신되는 상기 스캔 신호는 상기 제 1 층 타겟 요소들의 세트 및 상기 제 2 층 타겟 요소들의 세트와 연관된 상기 컨트롤러에 의해 수신된 스캔 신호들의 세트 중 하나이며, 상기 하나 이상의 프로세서는 또한, 상기 하나 이상의 프로세서로 하여금 아래의 동작을 수행하게 하는 프로그램 명령어를 실행하도록 구성되며,
    상기 동작은:
    상기 스캔 신호들의 세트에 대해 상기 하나 이상의 대칭 메트릭과 관련한 대칭 측정치들의 세트를 결정하는 것; 및
    상기 대칭 측정치들의 세트에 기반하여 상기 제 1 층과 상기 제 2 층 간의 오버레이 측정치를 생성하는 것을 포함하는 것인 오버레이 계측 시스템.
  32. 오버레이 계측 시스템으로서,
    샘플 상의 오버레이 타겟을 가로질러 입자 빔을 스캔하도록 구성되는 입자 빔 계측 툴 - 상기 오버레이 타겟은 상기 샘플의 제 1 층 상의 제 1 층 타겟 요소와 상기 제 1 층에 후속하여 제조되는 상기 샘플의 제 2 층 상의 제 2 층 타겟 요소를 포함하고, 상기 입자 빔 계측 툴은 또한, 상기 제 1 층 타겟 요소 및 상기 제 2 층 타겟 요소 모두와의 상기 입자 빔의 상호 작용과 연관된 스캔 신호를 캡처하도록 구성됨 -; 및
    상기 입자 빔 계측 툴에 통신 가능하게 연결된 컨트롤러 - 상기 컨트롤러는 프로그램 명령어를 실행하도록 구성된 하나 이상의 프로세서를 포함함 -
    를 포함하며,
    상기 프로그램 명령어는 상기 하나 이상의 프로세서로 하여금 아래의 동작을 수행하게 하도록 구성되며, 상기 동작은:
    상기 입자 빔 계측 툴로부터 상기 스캔 신호를 수신하는 것;
    상기 스캔 신호에 대해 하나 이상의 대칭 메트릭과 관련한 하나 이상의 대칭 측정치를 결정하는 것; 및
    상기 하나 이상의 대칭 측정치에 기반하여 상기 제 1 층과 상기 제 2 층 간의 오버레이 측정치를 생성하는 것을 포함하며,
    상기 스캔 신호의 비대칭은 상기 제 1 층 타겟 요소에 대한 상기 제 2 층 타겟 요소의 오정렬을 나타내며, 상기 오버레이 측정치의 값은 상기 하나 이상의 대칭 측정치에 기반하고,
    상기 제 1 층 타겟 요소들의 세트는 제 1 피치로 분포되고, 상기 제 2 층 타겟 요소들의 세트는 제 2 피치로 분포되는 것인 오버레이 계측 시스템.
  33. 제32항에 있어서,
    상기 제 1 피치는 상기 제 2 피치와 동일한 것인 오버레이 계측 시스템.
  34. 제32항에 있어서,
    상기 제 1 피치와 상기 제 2 피치는 상이한 것인 오버레이 계측 시스템.
  35. 오버레이 계측 방법으로서,
    샘플 상의 오버레이 타겟을 가로질러 입자 빔을 스캐닝하는 단계 - 상기 오버레이 타겟은 상기 샘플의 제 1 층 상의 제 1 층 타겟 요소 및 상기 제 1 층에 후속하여 제조되는 상기 샘플의 제 2 층 상의 제 2 층 타겟 요소를 포함함 -;
    상기 제 1 층 타겟 요소 및 상기 제 2 층 타겟 요소 모두와의 상기 입자 빔의 상호 작용과 연관된 스캔 신호를 캡처하는 단계;
    상기 스캔 신호에 대해 하나 이상의 대칭 메트릭과 관련한 하나 이상의 대칭 측정치를 결정하는 단계;
    상기 하나 이상의 대칭 측정치에 기반하여 상기 제 1 층과 상기 제 2 층 간의 오버레이 측정치를 생성하는 단계 - 상기 스캔 신호의 비대칭은 상기 제 1 층 타겟 요소에 대한 상기 제 2 층 타겟 요소의 오정렬을 나타내며, 상기 오버레이 측정치의 값은 상기 하나 이상의 대칭 측정치에 기반하고 있음 -; 및
    상기 오버레이 측정치의 값에 기반한 오버레이 보정 가능한 것을 리소그래피 시스템에 제공하여 적어도 하나의 후속 노출의 노출 조건을 수정하는 단계를 포함하고,
    상기 방법은 또한,
    하나 이상의 교정 샘플 상에서의 상기 제 1 층 타겟 요소 및 상기 제 2 층 타겟 요소의 하나 이상의 공지된 오버레이 구성에 기반하여 생성된 하나 이상의 교정 스캔 신호를 포함하는 교정 데이터 세트를 수신하는 단계; 및
    상기 하나 이상의 대칭 메트릭에 기반하여 상기 하나 이상의 교정 스캔 신호에 대한 하나 이상의 교정 대칭 측정치를 결정하는 단계를 포함하고,
    상기 오버레이 측정치를 생성하는 것은 상기 하나 이상의 대칭 측정치와 상기 교정 대칭 측정치의 비교에 기반하여 상기 오버레이 측정치의 값을 결정하는 것을 포함하는 오버레이 계측 방법.
KR1020207019931A 2017-12-11 2018-12-10 스캐닝 전자 빔 신호의 대칭에 기반한 오버래핑 타겟 구조물의 오버레이 측정 KR102390311B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201762597093P 2017-12-11 2017-12-11
US62/597,093 2017-12-11
US15/979,336 2018-05-14
US15/979,336 US10473460B2 (en) 2017-12-11 2018-05-14 Overlay measurements of overlapping target structures based on symmetry of scanning electron beam signals
PCT/US2018/064641 WO2019118306A1 (en) 2017-12-11 2018-12-10 Overlay measurements of overlapping target structures based on symmetry of scanning electron beam signals

Publications (2)

Publication Number Publication Date
KR20200092402A KR20200092402A (ko) 2020-08-03
KR102390311B1 true KR102390311B1 (ko) 2022-04-22

Family

ID=66735332

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020207019931A KR102390311B1 (ko) 2017-12-11 2018-12-10 스캐닝 전자 빔 신호의 대칭에 기반한 오버래핑 타겟 구조물의 오버레이 측정

Country Status (8)

Country Link
US (1) US10473460B2 (ko)
EP (1) EP3724723A4 (ko)
JP (1) JP7111826B2 (ko)
KR (1) KR102390311B1 (ko)
CN (1) CN111433677B (ko)
SG (1) SG11202001694XA (ko)
TW (1) TWI755576B (ko)
WO (1) WO2019118306A1 (ko)

Families Citing this family (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11119416B2 (en) * 2018-08-14 2021-09-14 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming semiconductor structure and overlay error estimation
KR20210044283A (ko) * 2018-09-19 2021-04-22 에이에스엠엘 네델란즈 비.브이. 계측 방법 및 그 장치
KR102616712B1 (ko) 2018-10-08 2023-12-20 에이에스엠엘 네델란즈 비.브이. 계측 방법, 패터닝 디바이스, 장치 및 컴퓨터 프로그램
WO2020096731A1 (en) * 2018-11-08 2020-05-14 Applied Materials, Inc. Signal recognition during substrate patterning via digital photolithography
US11075126B2 (en) 2019-02-15 2021-07-27 Kla-Tencor Corporation Misregistration measurements using combined optical and electron beam technology
US11073768B2 (en) * 2019-06-26 2021-07-27 Kla Corporation Metrology target for scanning metrology
US11353799B1 (en) 2019-07-23 2022-06-07 Kla Corporation System and method for error reduction for metrology measurements
US11914290B2 (en) 2019-07-24 2024-02-27 Kla Corporation Overlay measurement targets design
WO2021054928A1 (en) 2019-09-16 2021-03-25 Kla Corporation Periodic semiconductor device misregistration metrology system and method
US11698251B2 (en) 2020-01-07 2023-07-11 Kla Corporation Methods and systems for overlay measurement based on soft X-ray Scatterometry
KR20210094314A (ko) 2020-01-21 2021-07-29 삼성전자주식회사 반도체 장치의 제조 방법
US11054753B1 (en) * 2020-04-20 2021-07-06 Applied Materials Israel Ltd. Overlay monitoring
US11353321B2 (en) 2020-06-12 2022-06-07 Kla Corporation Metrology system and method for measuring diagonal diffraction-based overlay targets
CN115917720A (zh) * 2020-06-25 2023-04-04 科磊股份有限公司 用于改善半导体装置的不对齐及不对称性的小波系统及方法
WO2022040228A1 (en) * 2020-08-17 2022-02-24 Tokyo Electron Limited Method of pattern alignment for field stitching
US11899375B2 (en) * 2020-11-20 2024-02-13 Kla Corporation Massive overlay metrology sampling with multiple measurement columns
WO2022119796A1 (en) * 2020-12-03 2022-06-09 Applied Materials, Inc. Deep learning based adaptive alignment precision metrology for digital overlay
US20220336292A1 (en) * 2021-04-19 2022-10-20 Nanya Technology Corporation Method of semiconductor overlay measuring and method of semiconductor structure manufacturing
US11720031B2 (en) 2021-06-28 2023-08-08 Kla Corporation Overlay design for electron beam and scatterometry overlay measurements
US11862524B2 (en) 2021-06-28 2024-01-02 Kla Corporation Overlay mark design for electron beam overlay
US11703767B2 (en) 2021-06-28 2023-07-18 Kla Corporation Overlay mark design for electron beam overlay

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050089773A1 (en) 2003-10-22 2005-04-28 Applied Materials Israel Ltd. System and method for measuring overlay errors
JP2009200466A (ja) * 2007-11-13 2009-09-03 Asml Netherlands Bv 検査方法及び装置、リソグラフィ装置、リソグラフィ処理セル、並びに、デバイス製造方法
US20150115154A1 (en) 2013-10-29 2015-04-30 Samsung Electronics Co., Ltd. Scanning electron microscope system capable of measuring in-cell overlay offset using high-energy electron beam and method thereof
US20150285627A1 (en) 2012-07-06 2015-10-08 Hitachi High-Technologies Corporation Overlay error measuring device and computer program for causing computer to measure pattern
JP2017532602A (ja) * 2014-10-14 2017-11-02 ケーエルエー−テンカー コーポレイション 画像ベースの測定および散乱測定ベースのオーバーレイ測定のための信号応答計計測

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6541770B1 (en) 2000-08-15 2003-04-01 Applied Materials, Inc. Charged particle system error diagnosis
US7317531B2 (en) 2002-12-05 2008-01-08 Kla-Tencor Technologies Corporation Apparatus and methods for detecting overlay errors using scatterometry
US7541201B2 (en) 2000-08-30 2009-06-02 Kla-Tencor Technologies Corporation Apparatus and methods for determining overlay of structures having rotational or mirror symmetry
US7068833B1 (en) 2000-08-30 2006-06-27 Kla-Tencor Corporation Overlay marks, methods of overlay mark design and methods of overlay measurements
US20030002043A1 (en) 2001-04-10 2003-01-02 Kla-Tencor Corporation Periodic patterns and technique to control misalignment
JP2008224258A (ja) 2007-03-09 2008-09-25 Hitachi High-Technologies Corp 荷電粒子線装置
NL1036245A1 (nl) * 2007-12-17 2009-06-18 Asml Netherlands Bv Diffraction based overlay metrology tool and method of diffraction based overlay metrology.
US9927718B2 (en) * 2010-08-03 2018-03-27 Kla-Tencor Corporation Multi-layer overlay metrology target and complimentary overlay metrology measurement systems
EP2458441B1 (en) * 2010-11-30 2022-01-19 ASML Netherlands BV Measuring method, apparatus and substrate
EP2694983B1 (en) 2011-04-06 2020-06-03 KLA-Tencor Corporation Method and system for providing a quality metric for improved process control
JP5965819B2 (ja) 2012-10-26 2016-08-10 株式会社日立ハイテクノロジーズ 荷電粒子線装置及び重ね合わせずれ量測定方法
JP2014143031A (ja) 2013-01-23 2014-08-07 Hitachi High-Technologies Corp 荷電粒子線装置および試料観察方法
US9214317B2 (en) 2013-06-04 2015-12-15 Kla-Tencor Corporation System and method of SEM overlay metrology
JP6227466B2 (ja) * 2014-04-14 2017-11-08 株式会社日立ハイテクノロジーズ 荷電粒子線装置および検査装置
JP6378927B2 (ja) * 2014-04-25 2018-08-22 株式会社日立ハイテクノロジーズ 計測システムおよび計測方法
EP3149544B1 (en) * 2014-06-02 2018-10-10 ASML Netherlands B.V. Method of designing metrology targets, substrates having metrology targets, method of measuring overlay, and device manufacturing method
CN107111250B (zh) * 2014-11-26 2019-10-11 Asml荷兰有限公司 度量方法、计算机产品和系统
WO2016117104A1 (ja) 2015-01-23 2016-07-28 株式会社 日立ハイテクノロジーズ パターン測定装置及び欠陥検査装置

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050089773A1 (en) 2003-10-22 2005-04-28 Applied Materials Israel Ltd. System and method for measuring overlay errors
JP2009200466A (ja) * 2007-11-13 2009-09-03 Asml Netherlands Bv 検査方法及び装置、リソグラフィ装置、リソグラフィ処理セル、並びに、デバイス製造方法
US20150285627A1 (en) 2012-07-06 2015-10-08 Hitachi High-Technologies Corporation Overlay error measuring device and computer program for causing computer to measure pattern
US20150115154A1 (en) 2013-10-29 2015-04-30 Samsung Electronics Co., Ltd. Scanning electron microscope system capable of measuring in-cell overlay offset using high-energy electron beam and method thereof
JP2017532602A (ja) * 2014-10-14 2017-11-02 ケーエルエー−テンカー コーポレイション 画像ベースの測定および散乱測定ベースのオーバーレイ測定のための信号応答計計測

Also Published As

Publication number Publication date
JP2021505918A (ja) 2021-02-18
KR20200092402A (ko) 2020-08-03
EP3724723A4 (en) 2021-09-01
SG11202001694XA (en) 2020-07-29
JP7111826B2 (ja) 2022-08-02
CN111433677A (zh) 2020-07-17
US20190178639A1 (en) 2019-06-13
US10473460B2 (en) 2019-11-12
EP3724723A1 (en) 2020-10-21
TWI755576B (zh) 2022-02-21
WO2019118306A1 (en) 2019-06-20
CN111433677B (zh) 2022-12-30
TW201935513A (zh) 2019-09-01

Similar Documents

Publication Publication Date Title
KR102390311B1 (ko) 스캐닝 전자 빔 신호의 대칭에 기반한 오버래핑 타겟 구조물의 오버레이 측정
JP7177846B2 (ja) オーバレイ及びエッジ配置誤差の計量及び制御
JP7118152B2 (ja) デバイスに関連付けられたオーバーレイの計測のためのシステムおよび方法
US11809090B2 (en) Composite overlay metrology target
KR102362670B1 (ko) 계측 타깃 정보 내용 향상
JP7462639B2 (ja) システム応答のリアルタイム検出及び補正
US11209737B1 (en) Performance optimized scanning sequence for eBeam metrology and inspection

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant