JP6616416B2 - 計測方法、コンピュータ製品およびシステム - Google Patents

計測方法、コンピュータ製品およびシステム Download PDF

Info

Publication number
JP6616416B2
JP6616416B2 JP2017528445A JP2017528445A JP6616416B2 JP 6616416 B2 JP6616416 B2 JP 6616416B2 JP 2017528445 A JP2017528445 A JP 2017528445A JP 2017528445 A JP2017528445 A JP 2017528445A JP 6616416 B2 JP6616416 B2 JP 6616416B2
Authority
JP
Japan
Prior art keywords
target
measurement
asymmetry
parameter
overlay
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2017528445A
Other languages
English (en)
Other versions
JP2017537352A (ja
Inventor
ボエフ、アリー、ジェフリー デン
バタチャリア、カウスチュヴ
Original Assignee
エーエスエムエル ネザーランズ ビー.ブイ.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by エーエスエムエル ネザーランズ ビー.ブイ. filed Critical エーエスエムエル ネザーランズ ビー.ブイ.
Publication of JP2017537352A publication Critical patent/JP2017537352A/ja
Application granted granted Critical
Publication of JP6616416B2 publication Critical patent/JP6616416B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/24Measuring arrangements characterised by the use of optical techniques for measuring contours or curvatures
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/26Measuring arrangements characterised by the use of optical techniques for measuring angles or tapers; for testing the alignment of axes
    • G01B11/27Measuring arrangements characterised by the use of optical techniques for measuring angles or tapers; for testing the alignment of axes for testing the alignment of axes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching

Description

[関連出願へのクロスリファレンス]
本出願は、2014年11月26日に出願された欧州出願14195009.7号の利益を主張し、その全体が参照により本書に援用される。
[技術分野]
本発明は、例えばリソグラフィ技術によるデバイス製造に利用可能なメトロロジーのための方法、装置およびコンピュータ製品に関し、リソグラフィ技術を用いるデバイス製造方法に関する。
リソグラフィ装置は、所望のパターンを基板の目標部分に与える機械である。リソグラフィ装置は、例えば集積回路(IC)の製造に用いることができる。その場合、マスクまたはレチクルとも称されるパターニングデバイスがICの個々の層に対応する回路パターンを生成するために使用されうる。このパターンは、基板(例えばシリコンウエハ)上の(例えばダイの一部、一つのダイ又はいくつかのダイを備える)目標部分に転写できる。パターンの転写は、基板上に設けられる放射感受性材料(レジスト)の層への結像を典型的に介する。一般に、単一の基板は、連続的にパターン化される隣接する目標部分のネットワークを含むであろう。
リソグラフィ工程(つまり、リソグラフィ露光を含むデバイスまたは他の構造の現像工程であり、レジストの現像やエッチングといった一以上の関連する処理ステップを典型的に含みうる)において、生成した構造の測定を例えば工程制御や検証に役立てることがしばしば求められる。このような測定を実行するための様々なツールが知られており、これには、限界寸法(CD)の測定にしばしば用いられる走査型電子顕微鏡や、基板の二つの層のアライメント精度であるオーバレイの測定に特化したツールが含まれる。最近、リソグラフィ分野に用いるための様々な形態の散乱計が開発されている。この装置は、放射のビームをターゲットに向け、散乱された放射の一以上の特性(例えば、単一角度での波長の関数としての反射強度、一以上の波長での反射角度の関数としての強度、反射角度の関数としての偏光)を測定して「スペクトル」を取得する。「スペクトル」から注目するターゲットの特性を決定できる。注目する特性の決定は、様々な技術を用いて実行されてよく、例えば、厳密結合波解析や有限要素法といった反復手法によるターゲット構造の再構成、ライブラリ検索、主成分分析などである。
デバイス製造者は、基板上に存在するターゲット(マーク)を用いて基板をアライメントする。アライメントセンサは、マークの位置をサブnmの再現性で測定する。製造者は、例えばターゲットの上に重なる周期構造を用いて製品上のオーバレイも測定する。ここで、サブnmの全測定不確かさ(TMU)数も同様に達成しうる。しかしながら、ターゲットの周期構造の断面形状は、非対称性もしくは測定される特性に影響を与える形状を有するかもしれない。計測装置およびアライメントセンサは、例えばエッチング、化学機械研磨(CMP)、堆積等の処理ステップにより生じるターゲットの構造的非対称性に敏感である。このような非対称性は、数nmのオーダの測定誤差につながる。この影響は、位置および/オーバレイ余地(バジェット)を支配する起点となるため、解決策が必要である。
測定レシピの選択(例えば各レシピが一以上の様々な波長および/または一以上の照明偏光を有する)は、ツール起因誤差(TIS)の平均値および/またはTISの変動性(TIS3シグマとも称される)といったパラメータを用いて実行されうる。しかし、参照層が非対称形状を示す場合には問題がある。
ターゲットの周期構造の形状における非対称性は、たいていの場合、測定されるオーバレイやアライメントなどに影響を及ぼすであろう。この影響は、測定に用いる照明の設定に依存して変化しうる。
ターゲットレシピの選択は、処理および結像後のターゲットの周期構造の形状の実際の知識なしに実行される。さらに、現行プロセスの背景事情(コンテキスト)は、レシピ選択の決断に用いられない。TISおよび/またはTMUに基づく条件子の使用は、ターゲットの非対称性に対して最も安定した測定レシピを常に導くとは限らない。
スループット、柔軟性および精度を改善可能なターゲットを用いる計測方法および装置の提供が望ましい。さらに、本発明はこれに限られないが、暗視野技術を用いて読み出し可能な小さなターゲット構造にこれを適用できれば、非常に大きな利点となるであろう。
ある実施の形態において、ターゲットの測定値からターゲットの構造的非対称性の形式を決定することと、非対称性の形式に関連する非対称性パラメータの値を決定するためにターゲットの光学測定のシミュレーションを実行することとを備える方法が提供される。
その結果、ターゲットの構造的非対称性の形式(例えば、側壁角度の非対称性、間隔の不均衡など)がターゲットの測定値(例えば光学測定)から決定される。ターゲットの光学測定のシミュレーションが実行される。シミュレーションは、非対称性の形式に関連する非対称性パラメータの値を決定するために用いられる。このような非対称性パラメータは、ターゲットを用いる測定の非対称性に起因する位置オフセット(例えば、本書に記載されるXOS)であってもよいし、および/または、ターゲットを用いる測定の非対称性に起因する位置オフセットを、ターゲットを用いる測定の非対称性に起因するプロセス依存の倍率オフセットに関連付ける倍率(本書に記載されるG)であってもよい。決定された非対称性パラメータは、例えばターゲットのオーバレイ測定に関連するオーバレイの計算を補正するために用いられてもよい。
ある実施の形態において、ターゲットの測定値から決定されるターゲットの構造的非対称性の形式に関連する非対称性パラメータの値を決定するためにターゲットの光学測定のシミュレーションを実行することと、ターゲットに関連するターゲット形成パラメータの変化に対する非対称性パラメータの感度を分析することとを備える方法が提供される。
その結果、ターゲットの光学測定のシミュレーションが実行される。このシミュレーションは、ターゲットの測定値(例えば光学測定)から決定される構造的非対称性の形式(例えば、側壁角度の非対称性、間隔の不均衡など)に関連する非対称性パラメータ(例えばXOSおよび/またはG)を決定するために用いられる。さらに、ターゲットに関連するターゲット形成パラメータの変化(例えば、積層体の屈折率変化、積層体の厚さの変化など)に対する非対称性パラメータの感度が分析される。ある実施の形態において、低い値または最小値の感度を有する光学測定の設定を見つけるために、一以上の光学測定の設定(例えば、波長、偏光など)に対する感度が分析されてもよい。光学測定の設定は、ターゲットのオーバレイ測定を行うために用いられてもよい。さらに、非対称性パラメータは、例えば、オーバレイ測定からオーバレイの計算を補正するために用いられてもよい。
ある実施の形態において、ターゲットにより回折された放射の測定パラメータを用いてターゲットの構造的非対称性パラメータを決定することと、ターゲットに関連するターゲット形成パラメータの変化に対して最小の感度となる構造的非対称性パラメータに基づいてターゲットの測定ビームの特性を決定することとを備える方法が提供される。
構造的非対称性パラメータ(例えばXOSおよび/またはG)の値は、ターゲットにより回折される放射の測定パラメータから決定されてもよい。構造的非対称性パラメータの値は、例えば測定パラメータを用いて非対称性の形式を決定し、非対称性の形式に対する構造的非対称性パラメータを計算するためにターゲットの光学測定のシミュレーションを実行して決定されてもよい。さらに、ターゲットに関連するターゲット形成パラメータの変化(例えば、積層体の屈折率変化、積層体の厚さの変化など)に対して最小の感度となる構造的非対称性パラメータに基づいて、ターゲットの測定ビームの特性(例えば、波長、偏光など)が決定される。決定された測定ビームの特性は、ターゲットのオーバレイ測定を行うために用いられてもよい。さらに、構造的非対称性パラメータは、例えば、オーバレイ測定からオーバレイの計算を補正するために用いられてもよい。
ある実施の形態において、リソグラフィ工程を用いて一連の基板にデバイスパターンが付与されるデバイス製造方法が提供される。この方法は、本書に記載される方法を用いて少なくとも一つの回折測定ターゲットを評価することと、当該方法の結果にしたがって一以上の基板に対するリソグラフィ工程を制御することとを含む。ある実施の形態において、少なくとも一つの回折測定ターゲットは、少なくとも一つの基板上のデバイスパターンの一部として形成され、または、デバイスパターンの側方に形成され、リソグラフィ工程を制御することは、当該方法の結果にしたがって後続基板に対するリソグラフィ工程を制御することを備える。
ある実施の形態において、本書に記載される方法をプロセッサに実行させるための機械に読み取り可能な指令を備える非一時的なコンピュータプログラム製品が提供される。
ある実施の形態において、基板上の回折測定ターゲットにビームを提供し、リソグラフィ工程のパラメータを決定するためにターゲットにより回折された放射を検出するよう構成される検査装置と、本書に記載される非一時的なコンピュータプログラム製品とを備えるシステムが提供される。
本発明の実施の形態の特徴および/または利点は、本発明の様々な実施の形態の構造および動作とともに、添付の図面を参照しながら本書に詳述される。本発明は、本書に記載される特定の実施の形態に限定されないことに留意される。このような実施の形態は、例示を目的としてのみ本書に示される。追加の実施の形態は、当業者であれば、本書に含まれる教示に基づいて明らかとなるであろう。
本発明の実施の形態は、以下の添付図面を参照しながら、例示を目的としてのみ説明されるであろう。
本発明のある実施の形態に係るリソグラフィ装置を示す図である。
本発明のある実施の形態に係るリソグラフィセルまたはクラスタを示す図である。
ある照明モードを提供する第1ペアの照明アパチャを用いた本発明のある実施の形態に係るターゲットの測定に用いられる暗視野測定装置を概略的に示す図である。
所定方向の照明に対するターゲットの回折スペクトルを概略的に示す図である。
回折に基づくオーバレイ測定用の測定装置を用いて別の照明モードを提供する第2ペアの照明アパチャを概略的に示す図である。
回折に基づくオーバレイ測定用の測定装置を用いてさらに別の照明モードを提供する第1ペアおよび第2ペアのアパチャを組み合わせた第3ペアの照明アパチャを概略的に示す図である。
基板上の多重周期構造(例えば多重グレーティング)ターゲットの形状および測定スポットの外郭を示す図である。
図3の装置で得られる図4のターゲットの画像を示す図である。
図3の装置を用いて本発明の実施の形態に適用可能なオーバレイ測定方法のステップを示すフローチャートである。
図7(a)−7(d)は、ゼロ領域内で異なるオーバレイ値を有するオーバレイ周期構造(例えばグレーティング)を概略的に示す断面図である。
理想的なターゲット構造におけるオーバレイ測定の原理を示す図である。
ターゲット構造のオーバレイ測定におけるオーバレイ測定の構造的非対称性の影響を示す図である。
二つの異なる形式の構造的非対称性(側壁角度の構造的非対称性および間隔不均衡の構造的非対称性)に対する波長の関数としてのKOSの値の例を示すグラフである。
側壁角度の構造的非対称性を概略的に示す図である。
間隔不均衡の構造的非対称性を概略的に示す図である。
光学測定レシピの波長の関数としてのΔG・KOS(任意単位)のシミュレーション値を示すグラフである。
光学測定レシピの波長の関数としてのスタック感度の値の例を示すグラフである。
本発明のある実施の形態に係る構造的非対称性パラメータの決定および非対称性パラメータの適用の処理を模式的に示すフローチャートである。
本発明のある実施の形態に係る拡張動作範囲計測ターゲットを模式的に示す図である。
プロセススタックの変化を考慮した本発明のある実施の形態に係る拡張動作範囲計測ターゲットの使用を模式的に示す図である。
多層オーバレイ測定用の本発明のある実施の形態に係る拡張動作範囲計測ターゲットの使用を模式的に示す図である。
本発明のある実施の形態に係る計測ターゲットを設計するためのシステムを概略的に示す図である。
本発明のある実施の形態に係る計測ターゲットを設計する工程を模式的に示すフローチャートである。
本発明のある実施の形態に係る計測、デザインおよび/または製造プロセスの制御の基礎として、性能のモニタに計測ターゲットが用いられるプロセスを模式的に示すフローチャートである。
実施の形態を詳細に記述する前に、実施の形態が実装されうる環境の例を示すことが有益である。
図1は、リソグラフィ装置LAを概略的に示す。この装置は、放射ビームB(例えばUV放射またはDUV放射)を調整するよう構成される照明システム(イルミネータ)ILと、パターニングデバイス(例えばマスク)MAを支持するよう構築され、特定のパラメータにしたがってパターニングデバイスを正確に位置決めするよう構成される第1位置決め装置PMに接続されるパターニングデバイスサポートまたはサポート構造(例えばマスクテーブル)MTと;基板(例えばレジストコートされたウェハ)Wを保持するよう構築され、特定のパラメータにしたがって基板を正確に位置決めするよう構成される第2位置決め装置PWに接続される基板テーブル(例えばウェハテーブル)WTと;パターニングデバイスMAにより放射ビームBに付与されたパターンを基板Wの(例えば一以上のダイを含む)目標部分Cに投影するよう構成される投影システム(例えば屈折型投影レンズシステム)PSと、を含む。
照明システムは、放射を方向付け、放射を成形し、または放射を制御するための屈折型、反射型、磁気型、電磁気型、静電型あるいは他の形式の光学素子といった各種光学素子またはこれらの任意の組み合わせを含んでもよい。
パターニングデバイスサポートは、パターニングデバイスの向き、リソグラフィ装置のデザイン、および、例えばパターニングデバイスが真空環境で保持されるか否かといった他の条件に応じた方法でパターニングデバイスを保持する。パターニングデバイスサポートは、機械式、真空式、静電式または他の固定技術を用いてパターニングデバイスを保持できる。パターニングデバイスサポートは、フレームまたはテーブルであってよく、例えば必要に応じて固定式または可動式であってよい。パターニングデバイスサポートは、例えば投影システムに対して、パターニングデバイスが所望の位置にあることを確実にしてよい。本書での「レチクル」または「マスク」の用語の使用は、より一般的な用語である「パターニングデバイス」と同義であるとみなされてよい。
本書での「パターニングデバイス」の用語は、放射ビームの断面にパターンを付して例えば基板の目標部分にパターンを生成するために使用可能な任意のデバイスを参照するものとして広く解釈されるべきである。放射ビームに付されるパターンは、例えばパターン位相シフトフィーチャまたはいわゆるアシストフィーチャを含む場合、基板の目標部分における所望のパターンに完全に対応しなくてもよいことに留意されるべきである。たいていの場合、放射ビームに付されるパターンは、目標部分に生成される集積回路などのデバイスの特定の機能層に対応するであろう。
パターニングデバイスは、透過型であっても反射型であってもよい。パターニングデバイスの例には、マスク、プログラマブルミラーアレイ、およびプログラマブルLCDパネルが含まれる。マスクはリソグラフィの分野では周知であり、バイナリマスクやレベンソン型位相シフトマスク、ハーフトーン型位相シフトマスク、さらに各種のハイブリッド型マスクが含まれる。プログラマブルミラーアレイの一例は、マトリックス状に配列される小型のミラーを採用し、各ミラーは入射する放射ビームを異なる方向に反射するように個別に傾斜できる。傾斜されるミラーは、ミラーマトリックスにより反射される放射ビームにパターンを付与する。
図示されるように、装置は透過型である(例えば透過型マスクを用いる)。代わりに、装置が反射型であってもよい(例えば上述のような形式のプログラマブルミラーアレイを用いるか、反射型マスクを用いる)。
リソグラフィ装置は、投影システムと基板の間の隙間を埋めるように、基板の少なくとも一部が比較的高屈折率を有する液体(例えば水)により覆われる形式の装置であってもよい。液浸液は、リソグラフィ装置の他の隙間、例えばパターニングデバイスと投影システムの間に適用されてもよい。液浸技術は、投影システムの開口数を増やすための技術として周知である。本書で用いられる「液浸」の用語は、基板などの構造が流体中に水没しなければならないこと意味するのではなく、むしろ露光中に投影システムPSと基板Wの間に流体が配置されることを意味するのみである。
図1を参照すると、イルミネータILは、放射源SOからの放射ビームを受ける。ソースおよびリソグラフィ装置は、ソースがエキシマレーザの場合、別体であってもよい。この場合、ソースがリソグラフィ装置の一部を形成するとみなされず、放射ビームがソースSOからイルミネータILに向けて、例えば適切な方向付けミラーおよび/またはビームエキスパンダを含むビームデリバリシステムBDの助けを借りて通過する。別の場合、例えばソースが水銀ランプの場合、ソースがリソグラフィ装置の一体的部分であってもよい。ソースSOおよびイルミネータILは、必要に応じてビームデリバリシステムBDとともに、放射システムと称されてもよい。
イルミネータILは、放射ビームの角度強度分布を調整するためのアジャスタADを含んでもよい。一般に、イルミネータの瞳面における強度分布の少なくとも外側半径範囲および/または内側半径範囲(通常それぞれσアウタ、σインナと呼ばれる)を調整できる。また、イルミネータILは、インテグレータINやコンデンサCOなどの様々な他の要素を含んでもよい。イルミネータは、ビーム断面における所望の均一性及び強度分布を有するように放射ビームを調整するために用いられてもよい。
放射ビームBは、パターニングデバイスサポート(例えばマスクテーブルMT)に保持されるパターニングデバイス(例えばマスク)MAに入射し、パターニングデバイスによりパターン化される。パターニングデバイス(例えばマスク)MAの通過後、放射ビームBはビームを基板Wの目標部分Cに合焦させる投影システムPSを通過する。第2位置決め装置PWおよび位置センサIF(例えば干渉計デバイス、リニアエンコーダ、2Dエンコーダまたは静電容量センサ)の助けを借りて、放射ビームBの経路上に異なる目標部分Cが位置するように基板テーブルWTが正確に移動されることができる。同様に、第1位置決め装置PMおよび別の位置センサ(図1には明示されていない)は、例えば、マスクライブラリからの機械検索後またはスキャン中に、放射ビームBの経路に対してパターニングデバイス(例えばマスク)MAを正確に位置決めするために用いることができる。
パターニングデバイス(例えばマスク)MAおよび基板Wは、マスクアライメントマークM,Mおよび基板アライメントマークP,Pを用いて位置決めされうる。基板アライメントマークは図示されるように専用の目標部分を占めているが、目標部分の間のスペースに位置してもよい(これらはスクライブラインアライメントマークとして知られる)。同様に、パターニングデバイス(例えばマスク)MA上に二以上のダイが設けられる場合には、マスクアライメントマークがダイの間に位置してもよい。小さなアライメントマークがダイの内側のデバイスフィーチャ内に含まれていてもよく、この場合には、マーカが可能な限り小さく、かつ、隣接するフィーチャとは異なる結像または処理条件を必要としないことが望ましい。アライメントマーカを検出できるある実施の形態のアライメントシステムは、別途後述する。
図示される装置は以下のモードのうち少なくとも一つで使用することができる。
1.ステップモードでは、パターニングデバイスサポート(例えばマスクテーブル)MTおよび基板テーブルWTaが実質的に静止状態とされる間、放射ビームに付与されたパターンの全体が目標部分Cに一度で投影される(つまり、単一静的露光)。その後、基板テーブルWTaがX方向および/またはY方向にシフトされ、その結果、異なる目標部分Cを露光できる。ステップモードにおいて、露光フィールドの最大サイズは、単一静的露光にて結像される目標部分Cのサイズを制限する。
2.スキャンモードでは、パターニングデバイスサポート(例えばマスクテーブル)MTおよび基板テーブルWTaが同期してスキャンされる間、放射ビームに付与されるパターンが目標部分Cに投影される(つまり、単一動的露光)。パターニングデバイスサポート(例えばマスクテーブル)MTに対する基板テーブルWTaの速度および方向は、投影システムPSの拡大(縮小)特性および像反転特性により決定されうる。スキャンモードにおいて、露光フィールドの最大サイズは、単一動的露光における目標部分の(非スキャン方向の)幅を制限する。一方で、スキャン動作の長さは、目標部分の(スキャン方向の)高さを決定する。
3.別のモードでは、パターニングデバイスサポート(例えばマスクテーブル)MTがプログラマブルパターニングデバイスを保持して実質的に静止状態を維持し、基板テーブルWTaが移動またはスキャンされる間、放射ビームに付与されるパターンが目標部分Cに投影される。このモードにおいて、一般にパルス放射源が用いられ、基板テーブルWTaの移動後またはスキャン中の一連の放射パルスの間に必要に応じてプログラマブルパターニングデバイスが更新される。この動作モードは、上述のタイプのプログラマブルミラーアレイなどのプログラマブルパターニングデバイスを使用するマスクレスリソグラフィに容易に適用可能である。
上記の使用モードを組み合わせて動作させてもよいし、使用モードに変更を加えて動作させてもよく、さらに全く別の使用モードを用いてもよい。
リソグラフィ装置LAは、いわゆるデュアルステージ式であり、二つのテーブルWTa,WTb(例えば二つの基板テーブル)および二つのステーション(露光ステーションおよび測定ステーション)を有し、ステーション間でテーブルを交換できる。例えば、一方のテーブル上の基板が露光ステーションで露光されている間、別の基板が他の基板テーブル上に測定ステーションにて搭載され、様々な準備ステップを実行できる。この準備ステップは、レベルセンサLSを用いて基板の表面制御をマッピングすること、アライメントセンサASを用いて基板上のアライメントマーカの位置を測定することを含んでもよく、双方のセンサは基準フレームRFにより支持される。仮に位置センサIFが測定ステーションまたは露光ステーションにあるテーブルの位置を測定できない場合、双方のステーションにてテーブルの位置が追跡可能となるよう第2位置センサが設けられてもよい。別の例として、一方のテーブル上の基板が露光ステーションにて露光されている間、基板のない別のテーブルが測定ステーションにて待機する(選択的に測定ステーションにて測定動作が実行されてもよい)。この他のテーブルは、一以上の測定デバイスを有し、選択的に他のツール(例えばクリーニング装置)を有してもよい。基板の露光が完了すると、基板のないテーブルが露光ステーションに移動して測定等を実行し、基板のあるテーブルが基板の取出および別の基板の搭載が可能な場所(例えば測定ステーション)に移動する。このマルチテーブル構成は、装置のスループットの実質的な増大を可能にする。
図2に示されるように、リソグラフィ装置LAは、リソグラフィセルLC(たまにリソセルまたはリソクラスタとも称され、基板上での一以上の露光前および露光後プロセスを実行するための装置も含む)の一部を形成する。従来、これらは、レジスト層を堆積させる一以上のスピンコート装置SC、露光されたレジストを現像する一以上の現像装置DE、一以上の冷却プレートCH、および、一以上のベークプレートBKを含む。基板ハンドラまたはロボットROは、基板を入力/出力ポートI/O1,I/O2から取り出し、異なるプロセス装置間で基板を移動させ、リソグラフィ装置のローディングベイLBに基板を運ぶ。これら装置(しばしば集合的にトラックと称される)は、トラック制御ユニットTCUの制御下にあり、TCU自体は監視制御システムSCSにより制御され、SCSはリソグラフィ制御ユニットLACUを介してリソグラフィ装置も制御する。したがって、異なる装置がスループットおよびプロセス効率を最大化させるように動作しうる。
リソグラフィ装置により露光される基板を正確かつ一貫して露光するため、露光された基板を検査して、後続層との間のオーバレイ誤差、ライン幅、限界寸法(CD)などの一以上の特性を測定することが望ましい。もしエラーが検出されれば、特に同一バッチの別基板がまだ露光されている程度に迅速かつ高速に検査が実行できれば、一以上の後続基板の露光に対して調整がなされてもよい。また、すでに露光された基板も(歩留まり向上のために)剥離および再加工されてよいし、または、廃棄されてもよく、これにより不良であることが分かっている基板上で露光が実行されるのを回避できる。基板上のいくつかの目標部分のみが不良である場合には、良好である目標部分のみにさらなる露光が実行されてもよい。別の可能性は、エラーを補償するために後続の処理ステップの設定を変化させることであり、例えば、リソグラフィ処理ステップから生じる基板対基板のCD変動を補償するためにトリムエッチステップの時間を調整できる。
検査装置は、基板の一以上の特性を決定するため、具体的には、異なる基板または同じ基板の異なる層の一以上の特性が層ごとおよび/または基板にわたってどのように異なるのかを決定するために用いられる。検査装置は、リソグラフィ装置LAまたはリソセルLC内に一体化されてもよいし、または、独立式の装置であってもよい。最速の測定を可能にするため、検査装置は、露光されたレジスト層における一以上の特性を露光直後に測定することが望ましい。しかしながら、レジスト内の潜像は非常に低いコントラストを有し(放射で露光されたレジスト部分とそうでないレジスト部分の間には非常に小さい屈折率差しかない)、全ての検査装置が潜像の有効な測定の実行に十分な感度を有しているわけではない。したがって、測定は露光後のベークステップ(PEB)の後に実行されうる。PEBは通常、露光された基板上で実行される第1ステップであり、レジストの露光された部分と露光されていない部分の間のコントラストを増大させる。この段階において、レジスト内の像は、半潜像(semi-latent)と称されうる。現像されたレジスト像の測定を実行することも可能であり(この時点でレジストの露光された部分または露光されていない部分のいずれかが除去されている)、または、エッチングなどのパターン転写ステップの後に実行することも可能である。後者の可能性は、基板の不良を再加工する可能性を制限するが、例えばプロセス制御を目的として有益な情報をさらに提供するかもしれない。
従来の散乱計に用いられるターゲットは、相対的に大きい周期構造レイアウトを備え(例えば一以上のグレーティングを備える)、例えば40μm×40μmである。この場合、測定ビームは、たいてい周期構造レイアウトより小さいスポットサイズを有する(つまり、一以上の周期構造がスポットに完全に覆われないようにレイアウトが不足する)。これは、ターゲットを無限とみなすことができるために、ターゲットの数学的再構成を単純化する。しかしながら、例えば、ターゲットがスクライブライン内ではなく製品フィーチャの間に位置することができれば、ターゲットのサイズが減少し、例えば20μm×20μm以下または10μm×10μm以下になる。この場合、周期構造レイアウトが測定スポットより小さく作成されるかもしれない(つまり、周期構造レイアウトがはみ出る)。典型的にこのようなターゲットは暗視野散乱計を用いて測定され、ゼロ次の回折(鏡面反射に相当)が遮られ、高次のみが処理される。暗視野計測の例は、PCT特許出願公開番号WO2009/078708およびWO2009/106279に見出すことができ、これらは参照によりその全体が本書に援用される。本技術のさらなる発展は、米国特許出願公開US2011−0027704,US2011−0043791およびUS2012−0242970に記載されており、これらは参照によりその全体が本書に援用される。回折次数の暗視野検出を用いた回折に基づくオーバレイは、より小さなテーゲット上でのオーバレイ測定を可能にする。これらのターゲットは、照明スポットより小さくすることができ、基板上の製品構造により囲まれていてもよい。ある実施の形態において、複数のターゲットを一つの画像内で測定できる。
ある実施の形態において、基板上のターゲットは、一以上の1Dの周期的グレーティングを備えてもよく、現像後に固いレジストラインで棒状体(バー)が形成されるように印刷される。ある実施の形態において、ターゲットが2Dの周期的グレーティングを備えてもよく、固いレジスト柱状体(ピラー)またはレジスト内のビアから一以上のグレーティングが現像後に形成されるよう印刷される。バー、ピラーまたはビアは、代替的に基板内に刻まれ(エッチングされ)てもよい。グレーティングパターンは、リソグラフィ投影装置(具体的には投影システムPL)内の色収差に対する感度を有してもよく、照明の対称性およびこのような収差の存在は、印刷されたグレーティングの変化に現れるであろう。したがって、印刷されたグレーティングの測定データは、グレーティングの再構成に用いることができる。ライン幅や形状といった1Dグレーティングのパラメータまたはピラーやビアの幅や長さもしくは形状といった2Dグレーティングのパラメータは、印刷工程および/または他の測定プロセスの知見から、処理ユニットPUにより実行される再構成プロセスに入力されてもよい。
本発明の実施の形態への使用に適した暗視野計測装置は、図3(a)に示される。(グレーティングなどの周期構造を備える)ターゲットTおよび回折された光線は、図3(b)により詳細に示される。暗視野計測装置は、独立式の装置であってもよいし、リソグラフィ装置LA(例えば、測定ステーションにて)またはリソグラフィセルLCのいずれかに組み込まれてもよい。装置を通じて複数の分岐を有する光軸は、破線Oで示される。この装置において、出力11(例えば、レーザまたはキセノンランプなどのソースまたはソースに接続された開口)により出力される放射は、レンズ12,14および対物レンズ16を備える光学システムにより、プリズム15を介して基板W上に向けられる。これらレンズは、4F配置の二重シーケンスで構成される。検出器上に基板の像を与えるのであれば、異なるレンズ配置を用いることもできる。
ある実施の形態において、このレンズ配置は、空間周波数フィルタリング用の中間瞳面の利用を可能にする。したがって、放射が基板に入射する位置での角度範囲は、基板面での空間スペクトルを示し、本書で(共役)瞳面と称される面内の空間強度分布を定義することにより選択できる。具体的には、例えば、レンズ12と14の間であって対物レンズ瞳面の逆投影像である面内に適切な形状のアパチャプレート13を挿入することによりこれを実現できる。図示される例では、符号13N,13Sのアパチャプレート13が異なる形状を有し、異なる照明モードの選択を可能にする。第1照明モードにおいて、アパチャプレート13Nは、説明のみを目的として「北」と指定された方向からの軸外照明を提供する。第2照明モードにおいて、アパチャプレート13Sは、同様であるが「南」と名付けられた反対方向からの照明を提供するために用いられる。所望の照明モード外のいずれの不要な放射も所望の測定信号に干渉しうることから、瞳面の残りは暗闇であることが望ましい。
図3(b)に示されるように、ターゲットTは、対物レンズ16の光軸Oに実質的に直交するよう基板Wに配置される。軸Oからずれた角度からターゲットTに入射する照明Iの光線は、ゼロ次の光線(実線0)および二つの1次光線(一点破線+1および二点破線−1)を生じさせる。はみ出る小さなターゲットTの場合、これらの光線は、計測ターゲットTおよび他のフィーチャを含む基板の領域をカバーする多数の平行光線の一つにすぎない。プレート13のアパチャは(有効な放射量を認めるのに必要な)有限の幅を有するため、実際には入射光線Iがある角度範囲を占め、回折光線0および+1/−1は多少拡がるであろう。小さいターゲットの点像分布関数によれば、+1および−1の各次数は、ある角度範囲にわたってさらに拡がり、図示されるような単一の理想的な光線とならないであろう。なお、周期構造のピッチおよび照明角度は、1次光線が中心光軸の近くにアライメントされて対物レンズに入射するように設計または調整されることができる。図3(a)および3(b)に示される光線は、図面において純粋にこれらが容易に識別可能となるように、多少軸外しとなるよう示されている。
基板W上のターゲットにより回折される少なくとも0および+1の次数は、対物レンズ16により収集され、プリズム15を通って戻るように方向付けられる。図3(a)に戻ると、北(N)および南(S)の符号が付された径方向に反対のアパチャを指定することにより、第1および第2照明モードの双方が示される。入射光線Iが光軸の北側からである場合、つまり、アパチャプレート13Nを用いて第1照明モードが適用される場合、+1(N)の符号が付された+1の回折光線が対物レンズ16に入射する。反対に、アパチャプレート13Sを用いて第2照明モードが適用される場合、(−1(S)の符号が付された)−1の回折光線が対物レンズ16に入射するものとなる。したがって、ある実施の形態において、測定結果は、例えば、ターゲットの回転後、照明モードの変更後、または、−1次および+1次の回折次数強度を個別に得るための結像モードの変更後において、特定条件下でターゲットを二回測定することにより得られる。特定のターゲットに対するこれら強度を比較することによりターゲット内の非対称性の測定が与えられ、リソグラフィ工程のパラメータの指標(例えばオーバレイ誤差)としてターゲット内の非対称性を用いることができる。上述の状況では、照明モードが変更される。
ビームスプリッタ17は、回折ビームを二つの測定路に分割する。第1測定路において、光学システム18は、ゼロ次および1次の回折ビームを用いて第1検出器19(例えばCCDまたはCMOSセンサ)上でターゲットの回折スペクトル(瞳面像)を形成する。各回折次数がセンサ上の異なる点でぶつかるため、画像処理は、次数を比較および対比できる。センサ19に撮像される瞳面像は、計測装置のピント調整および/または1次回折ビームの強度測定の規格化に用いることができる。瞳面像は、本書に詳述されない再構成などの多くの測定の目的のために用いることもできる。
第2測定路において、光学システム20,22は、センサ23(例えばCCDまたはCMOSセンサ)上に基板上のターゲットの像を形成する。第2測定路において、瞳面に共役となる面内に開口絞り21が設けられる。開口絞り21は、ゼロ次の回折ビームを遮るように機能し、センサ23上に形成されるターゲットの画像DFが−1または+1次のビームから形成されるようにする。センサ19および23の撮像画像は、画像処理制御部PUに出力される。PUの機能は、実行すべき測定の具体的な形式に依存するであろう。なお、本書に用いられる「画像」の用語は広義である。仮に−1次および+1次の一方しか存在しなければ、周期構造のフィーチャ(例えばグレーティング線)の画像自体は形成されないであろう。
図3に示されるアパチャプレート13および絞り21の具体的形状は、純粋に例にすぎない。本発明の別の実施の形態において、ターゲットの軸上照明が用いられ、実質的に一方の1次回折放射のみをセンサに向けて通過させるために軸外アパチャを持つ開口絞りが用いられる。さらに別の実施の形態において、1次ビームの代わりに又は1次ビームに加えて、2次、3次、さらに高次のビーム(図3に不図示)を測定に用いることができる。
これら異なる形式の測定に適用可能な照明を作るため、アパチャプレート13は、所望のパターンを所定の位置にもたらすように回転するディスクの周りに形成される多数のアパチャパターンを備えてもよい。なお、アパチャプレート13Nまたは13Sは、一方向(設定に応じてXまたはY)に方向付けられた周期構造の測定に用いられる。直交する周期構造の測定のため、90°または270°のターゲットの回転が実行されてもよい。異なるアパチャプレートが図3(c)および(d)に示される。図3(c)は、二つの別の形式の軸外照明モードを示す。図3(c)の第1照明モードにおいて、アパチャプレート13Eは、説明のみを目的として、既述の「北」に対して「東」と指定された方向からの軸外照明を提供する。図3(c)の第2照明モードにおいて、アパチャプレート13Wは、同様であるが「西」と名付けられた反対方向からの照明を提供するために用いられる。図3(d)は、二つの別の形式の軸外照明モードを示す。図3(d)の第1照明モードにおいて、アパチャプレート13NWは、既述の「北」および「西」と指定された方向からの軸外照明を提供する。第2照明モードにおいて、アパチャプレート13SEは、同様であるが既述の「南」および「東」と名付けられた反対方向からの照明を提供するために用いられる。これら装置の使用、および、装置の多くの他の変形および応用は、例えば、上記の従前に発行された特許出願公開に記載されている。
図4は、基板上に形成される複合計測ターゲットの例を示す。複合ターゲットは、互いに近くに位置する四つの周期構造(この場合、グレーティング)32,33,34,35を備える。ある実施の形態において、周期構造の全てが計測装置の照明ビームにより形成される測定スポット31の内側となる程度に十分に互いに近接して配置される。その場合、四つの周期構造の全てが結果として同時に照明され、センサ19および23上に同時に結像される。オーバレイ測定に特化した例において、周期構造32,33,34,35はそれ自体が上位層(overlying)の周期構造により形成される複合周期構造(例えば複合グレーティング)であり、つまり、一の層内の少なくとも一つの周期構造が他の層内の少なくとも一つの周期構造の上に覆うように、基板W上に形成されるデバイスの異なる層内に周期構造がパターン化される。このようなターゲットは、20μm×20μmの範囲内または16μm×16μmの範囲内の外形寸法を有しうる。さらに、全ての周期構造が特定の層ペアの間のオーバレイの測定に用いられる。単一の層ペアより多くを測定可能なターゲットし、複合周期構造の異なる部分が形成される異なる層間のオーバレイの測定を容易にするため、周期構造32,33,34,35はバイアスの異なるオーバレイオフセットを有してもよい。したがって、基板上のターゲット用の周期構造の全ては、ある層ペアの測定に用いられるであろうし、基板上の別の同じターゲット用の周期構造の全ては、別の層ペアの測定に用いられるであろう。ここで、異なるバイアスは、層ペアの間の区別を助けるであろう。オーバレイバイアスの意味は、特に図7を参照しながら後述される。
図7(a)−(c)は、異なるバイアスを持つ各ターゲットTのオーバレイ周期構造(この場合グレーティング)の断面を概略的に示す。これらは、図3および4に見られる基板W上に用いることができる。X方向に周期性を持つ周期構造が例示のみを目的として示される。異なるバイアスおよび異なる向きを伴うこれら周期構造の異なる組み合わせを与えることができる。
図7(a)から始めると、符号L1およびL2が付される二つの層に形成される複合オーバレイターゲット600が示される。下層L1において、第1周期構造(この場合グレーティング)は、フィーチャ(例えばライン)602およびスペース604により基板606上に形成される。層L2において、第2周期構造(この場合グレーティング)は、フィーチャ(例えばライン)608およびスペース610により形成される。(断面は、フィーチャ602,608が紙面に入る方向に延びるよう描かれる。)周期構造のパターンは、双方の層においてピッチPで繰り返される。ライン602および608は、例示を目的としてのみ記述されており、ドット、ブロックおよびビアホールなどの他の形式のフィーチャを用いることができる。図7(a)に示される場合において、オーバレイ誤差およびバイアスは存在せず、その結果、各フィーチャ608が下層周期構造内のフィーチャ602のちょうど上にある(ここで、当該測定は「ライン・オン・ライン」である。ある実施の形態において、各フィーチャ608がスペース610のちょうど上にある場合にオーバレイ誤差が生じないかもしれないが、当該測定は「ライン・オン・トレンチ」である)。
図7(b)にて、上部周期構造のフィーチャ608が下部周期構造のフィーチャ602に対して右に距離d(距離dはピッチPより小さい)だけシフトするように、バイアス+dを持つ同じターゲットが示される。つまり、フィーチャ608およびフィーチャ602は、仮に両者がそれぞれの公称位置に正確に印刷されれば、フィーチャ608がフィーチャ602に対して距離dだけオフセットされるであろうように配置される。バイアス距離dは、実際上、数nmであり、例えば10nm20nmかもしれない一方で、ピッチPは例えば300−1000nmの範囲であり、例えば500nmまたは600nmである。図7(c)にて、フィーチャ608がフィーチャ602に対して左にシフトされるようにバイアス−dを持つ同じターゲットが示される。図7(a)から7(c)に示されるこの種のバイアスされたターゲット、および、測定でのこれらの使用は、例えば、上述の特許出願公開に記載される。
さらに、上記に示唆されるように、図7(a)−(c)は(+dまたは−dの小さなバイアスの有無に拘わらず)フィーチャ602の上を覆うフィーチャ608であって、ゼロ領域にバイアスを有する「ライン・オン・ライン」ターゲットとして称されるものを描いているが、ターゲットがピッチの半分であるP/2に調整されたバイアスを有してもよく、上部周期構造内の各フィーチャ608が下部周期構造内のスペース604の上を覆うようにしてもよい。これは、「ライン・オン・トレンチ」ターゲットと称される。この場合において、小さなバイアス+dまたは−dが適用されてもよい。「ライン・オン・ライン」ターゲットまたは「ライン・オン・トレンチ」ターゲットの選択は、用途に依存する。
図4に戻ると、図示されるように、周期構造32,33,34、35は、入射する放射をXおよびY方向に回折させるようにそれらの向きが異なりうる。一例において、周期構造32および34は、それぞれ+dおよび−dのバイアスを持つX方向の周期構造である。周期構造33および35は、それぞれ+dおよび−dのオフセットを持つY方向の周期構造であってよい。4個の周期構造が図示されているが、別の実施の形態は、所望の精度を得るためにより大きなマトリックスを含んでもよい。例えば、3×3のアレイの9個の複合周期構造が−4d,−3d,−2d,−d,0,+d,+2d,+3d,+4dのバイアスを有してもよい。これら周期構造の個別の画像は、センサ23の撮像画像にて識別可能である。
図5は、図3の装置内の図4のターゲットを使用し、図3(d)のアパチャプレート13NWまたは13SEを用いるときに、センサ23上に形成され、センサ23により検出されうる画像例を示す。センサ19は異なる個別の周期構造32−35を分解できないが、センサ23であればできる。黒い四角は、センサ上の画像の視野を示し、この範囲内の円形領域41に対応する箇所に基板上の照明スポット31が結像する。この範囲内の矩形領域42−45が周期構造32−35の像を表す。仮に周期構造が製品領域に位置していれば、この画像の視野の周辺に製品フィーチャも視認しうる。画像処理制御部PUは、周期構造32−35の個別画像42−45を識別するためのパターン認識を用いてこれらの画像を処理する。このようにして、センサフレーム内の特定の場所に極めて正確に画像がアライメントされる必要がなくなり、測定装置全体としてのスループットが大きく改善される。
いったん周期構造の個別画像が識別されると、例えば、識別された領域内で選択されたピクセルの強度値を平均化または合計することにより、それら個別画像の強度を測定できる。画像の強度および/または他の特性は互いに比較できる。これらの結果は、リソグラフィ工程の異なるパラメータ測定のために組み合わせることができる。オーバレイ性能はこのようなパラメータの一例である。
図6は、例えばPCT特許出願公開番号WO2011/012624に記載される方法を用いて、要素周期構造32−35を含む二つの層の間のオーバレイ誤差を周期構造の非対称性を通じてどのように測定するかを示しており、それらの+1次および−1次の暗視野画像の強度の比較により明らかになる。ステップM1にて、基板(例えば半導体ウェハ)が図2のリソグラフィセルを通じて1回以上処理され、周期構造32−35を備えるターゲットを含む構造が生成される。M2にて、図3の計測装置を使用し、一以上の1次回折ビーム(−1という)を用いて周期構造32−35の画像が取得される。ある実施の形態において、第1照明モード(例えばアパチャプレート13NWを用いて生成される照明モード)が使用される。その後、例えば、照明モードの変更、画像モードの変更、または、計測装置の視野内での基板Wの180°の回転のいずれかにより、別の1次回折ビーム(+1)を用いて周期構造の第2画像を得ることができる(ステップM3)。その結果、+1回折放射は、第2画像内に撮像される。ある実施の形態において、照明モードが変更され、第2照明モード(例えばアパチャプレート13SEを用いて生成される照明モード)が使用される。ある実施の形態において、0°および180°の基板の向きで測定を行うことにより、TIS(ツール起因誤差)のような機器由来の人為的影響を除去できる。
なお、各画像に1次回折放射の片方のみが含まれることにより、ここで称される「画像」は従来の暗視野顕微鏡画像ではない。個別の周期構造フィーチャは分解されない。各周期構造は、単に特定の強度レベルの領域として示されるであろう。ステップM4にて、注目領域(ROI)が各要素周期構造の画像内に識別され、ここから強度レベルが測定されるであろう。
個別の周期構造32−35のそれぞれについて注目領域を識別してその強度を測定すると、周期構造の非対称性(つまり、例えばオーバレイ誤差)を決定できる。これは、ステップM5にて画像処理制御部PUが各周期構造32−35について得られる+1および−1次の強度値を比較してそれらの強度の任意の差異(つまり、非対称性)を識別することでなされる。「差異」の用語は、減算のみを意味することを意図しない。差異は、比率の形式で計算されてもよい。ステップM6にて、多数の周期構造について測定された非対称性を(可能であれば)それら周期構造のオーバレイバイアスの知識とともに使用して、ターゲットTの近傍におけるリソグラフィ工程の一以上の性能パラメータを計算する。注目する性能パラメータはオーバレイである。焦点および/または線量といったリソグラフィ工程の他の性能パラメータも計算できる。一以上の性能パラメータは、リソグラフィ工程の改善のためにフィードバックすることができ、図6の測定および計算プロセス自体の改善、ターゲットTのデザインの改善などに用いることができる。
オーバレイを決定するためのある実施の形態において、図8は、ゼロオフセットを有し、オーバレイターゲットを形成する個別の周期構造内に構造的非対称性を何ら有しない「理想的」なターゲットについてのオーバレイ誤差OVと測定された非対称性Aとの間の関係を表す曲線702を示す。このグラフは、オーバレイを決定する原理を説明するだけのものであり、各グラフにおいて、測定される非対称性Aおよびオーバレイ誤差OVの単位は任意である。
図7(a)−7(c)の「理想的」な場合において、曲線702は、測定される非対称性Aがオーバレイと正弦波状の関係を有することを示す。正弦波変化の周期Pは、周期構造の周期(ピッチ)に対応し、もちろん適切なスケールに変換される。この例では正弦波形状が純粋であるが、実際の状況では高調波を含むことができる。単純化を目的として、この例(a)では、ターゲットから1次回折放射のみが画像センサ23(または特定の実施の形態におけるその等価物)に到達することが仮定され、(b)では、これら1次の範囲内において、上層と下層の周期構造間の強度とオーバレイの結果の間に純粋な正弦波の関係が存在するように実験的ターゲットがデザインされることが仮定される。実際上これが真実であるかは、光学システムのデザイン、照明放射の波長および周期構造のピッチP、ターゲットのデザイン(設計)およびスタック(積層体)に相関する。
上述のように、単一測定に頼る代わりに、オーバレイ測定のためにバイアスされた周期構造を用いることができる。このバイアスは、そのバイアスが作られるパターニングデバイス(例えばレチクル)に規定される既知の値を有し、測定信号に対応するオーバレイの基板上較正として機能する。図面において、この計算がグラフを用いて示される。図6のステップM1−M5において、それぞれ+dおよび−dのバイアスを有する要素周期構造(例えば、図7(b)および7(c)に示される)について非対称性測定結果A(+d)およびA(−d)が得られる。これら測定結果を正弦波曲線に合わせると、図示されるような点704および706が与えられる。バイアスが既知であれば、真のオーバレイ誤差OVを計算できる。正弦波曲線のピッチPは、ターゲットのデザインから分かる。曲線702の縦スケールは最初分からないが、我々が1次高調波比例定数と呼ぶことのできる未知の係数Kである。
方程式の項において、オーバレイと測定された非対称性Aとの関係性は以下のように仮定される:
Figure 0006616416
ここで、OVは、周期構造のピッチPが角度2πラジアンに一致するようなスケールで表される。二つの値Aに到るための異なる既知のバイアスを持つ周期構造の二つの測定結果を用いれば、二つの方程式を解いて未知のKおよびオーバレイOVを計算できる。
この測定技術は、高速であり(いったん較正されれば)コンピュータ的に比較的単純であるが、オーバレイ/横シフトが非対称性のみによって生じるという仮定に依拠する。つまり、例えばターゲットに構造的非対称性がないという「理想的」な状況を仮定している。重畳する周期的構造の一方または双方に含まれるフィーチャの非対称性といった積層体中の任意の構造的非対称性は、オーバレイ/横シフト以外の1次の非対称性をも生じさせる。オーバレイに関係しないこの構造的非対称性は、測定結果に明確に摂動を与え、不正確な結果をもたらすであろう。
構造的非対称性の一例として、ターゲットの一以上の周期構造が構造的に変形しうる。例えば、ターゲットの周期構造フィーチャ(例えばグレーティングライン)の一以上の側壁が意図されたように垂直ではないかもしれない。別の例として、周期構造フィーチャ間の一以上のスペース(例えばグレーティングスペースまたはトレンチ)が意図よりも大きいかもしれないし、小さいかもしれない。さらに、ターゲットの周期構造の一以上のフィーチャ(例えばグレーティングライン)が意図よりも小さい幅または大きい幅を有するかもしれない。また、ターゲットの一以上の周期構造について意図するものとの差異が均一であったとしても、その意図するものとの差異がターゲットの一以上の他の周期構造について同じではないかもしれない。複合ターゲットの下部周期構造における構造的非対称性は、構造的非対称性の一般的な形態である。これは、例えば、下部周期構造が最初に形成された後に実行される化学機械研磨(CMP)などの基板処理ステップに起因しうる。
図7(d)を参照すると、下部周期構造の構造的非対称性の例が概略的に示される。図7(a)−(c)にて周期構造内のフィーチャおよびスペースが完全な角形の側面となるように示されるが、実際のフィーチャおよびスペースは、表面上でいくらかの傾きを有し、特定の粗さを有するであろう。それらが少なくとも対称形状となることが意図されるにも拘わらずである。下部周期構造内の図7(d)のフィーチャ602および/またはスペース604はもはや対称形状を有しておらず、むしろ、例えば一以上の処理ステップにより歪むようになっている。したがって、例えば、各スペース604の底面は傾いている。フィーチャおよびスペースの側壁角度も非対称になっている。図6の方法により二つのバイアスされた周期構造のみを用いてオーバレイが測定される場合、構造的非対称性はオーバレイと区別されることができず、その結果、オーバレイ測定の信頼性が失われる。
したがって、測定(例えば、ターゲットをアライメントに用いる場合のアライメント測定、ターゲットをオーバレイ測定に用いる場合のオーバレイ測定など)の精度は、ターゲットの一以上の周期構造(例えばグレーティング)の非対称な構造的変形により著しく減少しうる。構造的非対称性から生じる測定誤差は、ターゲットの生成または測定工程の変更(例えばプロセスオフセット)により補正されうる。これは、例えば、歩留まり(つまり、ターゲットが正確であったかどうかを決定するための処理済デバイスの評価)、ターゲットの断面、または、複雑な測定および分析的な再構成に基づく。しかしながら、これらの方法は低速および/または破壊的となりうる。これらは、一定である非対称性起因のプロセス誤差を補正することにのみ有効であるかもしれない。しかし、ターゲットの構造的非対称性の変化は、断面または歩留まり測定により効果的に解決されない。したがって、例えば、これらの制限または他の制限の一以上を克服する、構造的非対称性について評価および補正する安定した解決策が求められる。
したがって、オーバレイおよび他の影響により生じる測定ターゲットの非対称性への寄与をより直接的かつ単純な方法で区別できることが好ましい。そのため、ある実施の形態では、ターゲットの非対称な変形の測定を決定する安定的な計算手法が提供され、その測定がターゲットを用いてなされる測定結果の補正に用いられうる。ターゲットを用いてなされるその測定は、例えばリソグラフィ工程によるデバイス生成において本質的に用いられうる。さらに、ターゲットを用いてなされる測定結果の補正に用いられること以外にも、ターゲットの非対称な変形の測定は、ターゲットの(再)設計(例えば設計レイアウトの変更)、ターゲットの形成工程(例えば材料変更、印刷工程や条件の変更など)、測定条件の公式化(例えば、測定ビームの波長、偏光、照明モードなどの項における光学測定公式の変更)などに用いられうる。
ある実施の形態は、オーバレイの測定に用いる回折ターゲットの+1次および−1次の回折に基づく測定の項に記述されるであろう。このような測定技術の原理の一部は、上述されている。しかしながら、本発明のある実施の形態は、ターゲットを用いる他の測定技術にも応用しうる。例えば、本書に記載される技術は、アライメントターゲットの測定に応用しうる。
上述のように、仮にターゲットが完全に対称であれば、ターゲットからの+1次および−1次の放射の間で検出される強度の非対称性ΔIは、以下で与えられる:
Figure 0006616416
ここで、Kはプロセス依存の倍率(スケール係数、1次高調波比例定数)であり、重なり合うターゲットの周期構造(例えば下側または下部グレーティング)に対するターゲットの周期構造(例えば上側または上部グレーティング)の横シフトであり、Pは周期構造のピッチである。したがって、重畳する二つの周期構造の間のオーバレイ(OV)は、上述のように、バイアスされた二つの周期構造を用いて測定される強度の非対称性から得られるかもしれない。
しかし、ここでは、完全に対称ではないターゲットについて考察する。より具体的には、ターゲットの下部周期構造(例えば下部グレーティング)が非対称に変形している実施の形態について説明する。しかしながら、本書に記載される技術は、ターゲットの上部周期構造(例えば上部グレーティング)における構造的変形といった他の形式または追加の形式のターゲットの構造的非対称性に応用しうる。
式(2)に戻ると、構造的非対称性は、式に二つの追加項を導入する。第1項は、測定強度の非対称性の定数Kに対するオフセットKOSである。第2項は、横シフトXに対する位置オフセットXOSである。その結果、ターゲットの構造的非対称性が存在する場合、検出される強度の非対称性ΔIは以下のようになる:
Figure 0006616416
追加項KOSおよびXOSは、積層体依存であり(例えば、ターゲット内の周期構造の上に重なる材料もしくは下に位置する材料、または、その形成に依存する)、かつ、レシピ依存である(例えば、波長や偏光などの光学測定の一以上のパラメータに依存する)。
図9は、ターゲットの構造的非対称性、例えば図7(d)に示される下部周期構造の構造的非対称性の影響を示す。「理想的」な正弦波曲線702はもはや適用されない。代わりに、少なくとも近似的に、構造的非対称性は、オーバレイの全値にわたって比較的一定である非対称性の値AまたはΔIに対してオフセットKOSを加える影響を有する。さらに、少なくとも近似的に、構造的非対称性は、横シフトに対してオフセットXOSを加える影響を有する。ここで、オフセットの影響は図9においてXOS/Pと示され、横シフトの値は図9においてX/Pと示される。ここで、Xは(式(2)および(3)でXとして示される)横シフトであり、Pはピッチである。その結果得られる曲線は、図9に712として示される。
さらに、最初において、追加項KOSおよびKOSはたいてい未知である。その結果、それら、または、非対称性の他の関連する測定を確定することが望ましい。
オフセット項KOSは、一以上の様々な技術により決定できる。例えば、ターゲットの下部周期構造については、下部周期構造の上方に設けられる上部周期構造などの上に重なる層の前に、本書に記述される技術を用いて直接的に測定することができる。
別の例として、オフセット項KOSは、例えば、三以上の異なるバイアス値を有する複合周期構造を用いた測定から計算できる。つまり、このような計算を通じて、KOSの項を式(3)のKの項から分離できる。このような決定方法の例は、PCT特許出願公開番号WO2013/143814、米国特許出願公開番号2013/0258310および欧州特許出願番号13194522.2に記載されており、それぞれが参照によりその全体が本書に援用される。例えば、オフセット項KOSは、−d,+dおよびゼロバイアスまたは0.5Pバイアスを持つ少なくとも3個のバイアスされた複合周期構造を用いて測定できる。別の例として、オフセット項KOSは、−d,+d,−d+0.5P,+d+Pのバイアスを持つ少なくとも4個のバイアスされた複合周期構造を用いて測定できる。
課題はオフセットXOSの決定である。この項が実際のシフトXに加わるためである。したがって、いくつかの背景(context)情報がなければ、これらの項を分離することは事実上不可能である。
したがって、ある実施の形態において、重なり合う周期構造を持つターゲット積層体は、ターゲット(つまり、重なり合う周期構造)の光学測定をシミュレータで定義される放射ビームでシミュレーションするコンピュータシミュレータにより定義される。結果として、多数のパラメータp(p,p,pなど)の項でパラメータ化されたターゲットモデルが設定される。これらパラメータは、例えば1D周期構造における側壁角度、フィーチャの高さまたは深さ、フィーチャの幅などである。ターゲット材料および下位層/上位層の特性も(測定放射ビームに存在する特定の波長での)屈折率といったパラメータによって表される。さらに、波長、偏光などの測定ビームおよび測定に関連するパラメータも定義されうる。その結果、ターゲットが数十(複数ダース)のパラメータに定義されうる一方で、固定値を有するようにこれらの多くをモデルが定義し、残りは変数または「浮動」パラメータとなる。さらに、浮動パラメータのいくつかは、完全に独立した浮動パラメータとなることなく変化することが許容されるかもしれない。
さらに、モデルにおいて、ターゲットの周期構造の公称寸法や、様々な測定レシピの値(すなわち、波長や偏光などの測定ビームや測定に関連するパラメータ)に沿った任意の材料の公称値(例えば屈折率等)などがパラメータとして与えられ、その後、シミュレーションにて処理される。ある実施の形態において、公称値は、測定データを含んでもよい。例えば、測定データは、計測装置を用いる光学測定結果を含んでもよいし、公称値はこのような測定結果から再構成されてもよい。シミュレーションにおいて、形状およびモデルの異なる要素の光学特性を表すパラメータは、ターゲットの散乱特性の計算に用いられ、例えば、RCWA(厳密結合波解析)や任意の他のマクスウェル方程式のソルバーといった厳密な光学回折方法が用いられる。これは、ターゲットの推定またはモデル回折パターンを与える。
さらに、シミュレーションにおいて、下部周期構造に対する上部周期構造のX=0に対応する位置は、構造的非対称性により位置などが正確に定まることができない場合であっても定義される。ある実施の形態において、ユーザ(例えばデバイス製造者)は、例えば、プロセスの物理的説明またはモデル、または電気的オーバレイ測定結果またはデバイス歩留まりとの相関といった他の方法に基づいて、この位置を定義するための入力を与えることができる。例えば(プロセス起因のいかなる非対称性をも有しない)意図する構造の形状の知識を用いて、位置を定義しうる。ある実施の形態において、ユーザは異なる位置の定義を用いてシミュレーションを再実行してもよい。ターゲットからの測定結果がデバイス設計の公差の範囲内となるように位置を定義(または再定義)しうる。つまり、例えばオーバレイ誤差が少なくともデバイス設計のオーバレイ余地の範囲内、好ましくは十分にオーバレイ余地の範囲内となるように、位置を定義(または再定義)してもよい。
いったんX=0に対応する位置が定義できれば、シミュレータは、ある実施の形態において、特定のターゲット積層体および周期構造の一以上の特定の構造的非対称性についてΔIの値を計算する。その結果、ある実施の形態において、(例えば瞳面内または像面内の)検出器にわたるピクセルにて決定される、正および負の1次回折間の(例えば瞳面内または像面内の)強度の差分から非対称性起因の誤差が計算されうる。瞳面で検出される場合、小さな量の非対称性であっても比較的大きな非対称性起因の誤差を有するピクセルが特定されるかもしれない。これらのピクセルは、瞳面全体についての非対称性起因の誤差の計算から除外されてもよい。非対称性起因の誤差は、非対称性を有するモデル上での具体的な測定装置の測定結果をシミュレーションする数値計算により計算されてもよい。このような計算の実施の形態は、米国特許出願公開2006/0033921に記載されており、その全体が参照により本書に援用される。さらに、非対称性起因の誤差は、異なる横シフトでの非対称性起因の誤差の差異を考慮して、異なる横シフト(異なる値のX)にて計算されてもよい。例えば、米国特許出願公開2012/0013881(その全体が参照により本書に援用される)を参照のこと。
そのため、シミュレータは、一以上の具体的な構造的非対称性を特定のターゲット積層体に適用してΔIの値を得ることができる。その後、ΔIは、具体的な構造的非対称性により生じるKOSおよびXOSの値を(例えば式(3)を用いて)計算するために用いることができる。
さらに、KOSおよびXOSの項は、倍率Gにより互いに関連付けられる(例えば線形的に関連付けられる):
Figure 0006616416
つまり、倍率Gは、シミュレーションに基づくKOSおよびXOSの計算値から決定できる。
したがって、仮に実際のターゲットの積層体の性質が分かっており、実際のターゲットの構造的非対称性の「形式」が分かっていれば、実際のターゲットに関連し、かつ、実際のターゲットに対して有効であろう具体的な適切な値のGをシミュレーションから決定できる。シミュレーションに基づくGの値を用いて、実際のターゲットに関連して測定された非対称性オフセットKOSから実際のターゲットについての位置シフトXOSを計算するために式(4)を用いることができ、KOSの値は、例えば上述の一以上の技術を用いて計算または測定できる。
そのため、実際のターゲットに関連するシミュレーションから適切な値のGに到るための少なくとも二つのさらに別の考察が存在する。実際のターゲットの積層体の性質および実際のターゲットの構造的非対称性の「形式」である。例えば、非対称性の実際の「形式」(例えば形状)は、通常、演繹的には分からない。構造的非対称性は、例えば側壁角度の非対称性、底面角度の非対称性、上面角度の非対称性、その他の形式の非対称性、または、これらの組み合わせであることができる。セグメント化された周期構造(例えば、グレーティングが周期的なラインおよびスペースを有し、ライン自体が例えば周期方向の法線方向にさらにセグメント化される)の場合、異なるセグメント間の非対称性(不均衡)の可能性を考察する必要があるかもしれない。
そのため、ある実施の形態において、ターゲットの非対称性(非対称性モデル)の「形式」が実際のターゲットの測定から再構成される。例えば、非対称性の各形式が具体的な「フィンガープリント」のKOSおよび/またはオーバレイの値を波長および偏光の関数として有する。したがって、実際のターゲットのKOSおよび/またはオーバレイの値を、様々な測定レシピ、例えば波長および/または偏光について(好ましくは基板上の様々な場所においても)測定することにより、その測定結果を「フィンガープリント」に対して比較し、測定されたKOSおよび/またはオーバレイの値にどの「フィンガープリント」が最も適合性を有するかを決定でき、その結果、構造的非対称性の形式を特定できる。
図10(A)は、FinFET積層体における構造的非対称性の二つの形式について、TE偏光の波長の関数としてKOSの変化を描いたグラフの例を示す。側壁角度の非対称性は線1100により示され、間隔不均衡の非対称性は線1102により示される。図10(B)は、側壁角度の非対称性の例を示し、フィーチャの側壁が意図したようには垂直ではない。側壁角度の非対称性は、例えばエッチング工程で生じることができる。図10(C)は、間隔不均衡の例を示し、少なくとも一つのフィーチャのペア間の間隔が少なくとも一つの他のフィーチャのペア間の間隔と異なる。間隔不均衡は、例えば間隔(スペーサ)のパターニング工程で生じることができる。
図10(A)に見ることができるように、これら二つの形式の構造的非対称性は、波長範囲にわたって顕著に異なる変化を有する。これは、マルチ波長測定が構造的非対称性の形式を特定できることを意味し、そのマルチ波長測定結果を「フィンガープリント」と比較することにより、実際のターゲットにおける構造的非対称性の「形式」の特定に最も適合するものを見つけることができる。構造的非対称性の「形式」の知見があれば、構造的非対称性の「形式」を決定するために生成されるシミュレーションに基づくKOSおよびオーバレイの値を(例えば式(4)を用いて)Gの値の導出に用いることができる。
理解されるであろうように、「フィンガープリント」用のデータは、異なる偏光、照明モード等について、および/または、他の構造的非対称性について得ることができる。したがって、ある実施の形態において、異なる具体的な構造的非対称性およびその組み合わせの「フィンガープリント」のライブラリが存在することができ、ここで「フィンガープリント」は、例えばデータまたはデータを表す構成物(較正データを表す数式や、データを表すグラフ線など)の集合体である。「フィンガープリント」が具体的な種類(例えば、側壁角度、間隔不均衡など)の構造的非対称性について記述される一方で、「フィンガープリント」が異なる種類の構造的非対称性の組み合わせ(例えば、側壁角度と間隔不均衡の双方)を表してもよい。したがって、実際上の構造的非対称性が一種類の構造的非対称性となる傾向にあったとしても、または、一種類の構造的非対称性の影響を受けたとしても、構造的非対称性の形式が一種類の構造的非対称性に限定されるものではない。
さらに、上述のように、実際の積層体の性質は、十分な精度で分からないかもしれない。プロセス変化(例えば、ターゲットがデバイスの材料層を典型的に共有するために、デバイス開発の研究開発段階に必然的または意図的に生じる)に起因して、ターゲット用の実際の積層体は、シミュレーションに用いる基準となる積層体とは異なるかもしれない。つまり、積層体に変化があるかもしれない。
そのため、ある実施の形態では、一以上の光学測定レシピのいずれにおいて、倍率Gがプロセス変化に対して小さい感度(例えば最小の感度)を有するかどうかの決定がなされる(レシピは光学測定の波長、偏光などの設定である)。これは、そのレシピを用いれば、積層体の変化が存在したとしても、そのレシピで測定されたKOSから非対称性起因の位置オフセットXOSが正確に計算されうることを意味するであろう。
プロセス変化は、以下から選択される一以上を含みうる:積層体材料の変化(例えば屈折率変化)、材料の厚さ変化(例えば上を被覆する材料の変化)、構造的非対称性の大きさの変化など。ある実施の形態において、プロセス変化は、構造的非対称性の形式自体とは異なる積層体の任意の変化であってもよい。これは、特定のプロセスの構造的非対称性の形式がたいていの場合に極めて一定している一方で、構造的非対称性の大きさの変化(例えば、側壁の傾斜角度の変化、間隔不均衡の幅の変化など)が生じることができるためである。
プロセス変化に対するGの感度を決定するため、式(4)から、位置オフセットXOSの変化ΔXOSは、プロセス起因の倍率Gの変化ΔGと測定されたKOSの誤差ΔKOSの組み合わせによって以下の式にしたがって生じることが理解される:
Figure 0006616416
ここで、第2項G×ΔKOSは、測定結果の誤差に関連し、例えば平均化または一以上の他の測定誤差補正技術により補正されることができる一方で、第1項ΔG×KOSは、どのレシピにおいて倍率Gがプロセス変化に対して低い感度(例えば最小感度)を有するかを決定するために評価される必要がある。つまり、プロセスパラメータに対する摂動(例えばモンテカルロ法にしたがった摂動)が様々な異なる光学測定レシピについてのシミュレーションにおいて作られる。図11(A)は、光学測定レシピの波長λの関数としてのΔG・KOS(任意単位)のシミュレーション値を示すグラフ例であり、シミュレーションでモデル化された典型的なFinFET積層体について計算され、シミュレーションでのプロセス変化の摂動にしたがっている。約720nmの波長にて積層体の変化に起因する位置誤差の変化が最小となることが分かる。したがって、積層体誤差が存在したとしても、非対称性起因の位置誤差XOSは、測定されたKOSおよびそのレシピでのシミュレーションに基づくGの値から正確に計算されうる。
比較として、図11(B)は、公称スタック感度のグラフの例を波長の関数として示す。スタック感度は、ターゲット(例えばグレーティングなどの周期構造)層間の回折によって信号強度がオーバレイの変化によりどの程度変化するかの測定結果として理解することができる。図11(B)から、非対称性起因の位置オフセットを目的とするプロセス変化に対して最も安定した波長は、必ずしもスタック感度が最高となる波長ではないことが分かる。したがって、仮に光学測定レシピの波長を(最高の全測定不確かさ(TMU)を達成する目的で)最高のスタック感度に最適化したとしても、そのレシピは優れたTMUを達成するであろうが、比較的劣った非対称性の安定性を有するであろう。
図12を参照すると、ある実施の形態に係るターゲットの構造的非対称性パラメータを決定し、非対称性パラメータを適用する方法を示すフローチャートが与えられる。1200にて、実際のターゲットの測定データが得られる。このようなデータは、本書に記載されるように、回折に基づく測定データであることができる。
1210にて、積層体調整プロセスが実行される。ある実施の形態において、測定データは、実施のターゲットの非対称性の形式を決定するために評価される。ある実施の形態において、ターゲットの構造的非対称性を決定することは、ターゲットの測定結果から決定されたオーバレイの値、または、ターゲットの測定結果から決定された非対称性に起因するプロセス依存の倍率オフセット(例えばKOS)の値を、光学測定のパラメータの関数として評価することを備える。ある実施の形態において、光学測定のパラメータは、光学測定の測定ビームの波長および/または偏光を含む。ある実施の形態において、決定された値を評価することは、(i)決定された値または決定された値を表す構成物と、(ii)一以上のフィンガープリントのオーバレイの値のセットまたはフィンガープリントの値のセットを表す一以上のフィンガープリント構成物と、の間の適合性を決定することを備え、フィンガープリントのセットまたはフィンガープリントの構成物のそれぞれは、異なる形式の非対称性を表す。そのため、上述のように、ある実施の形態において、このような評価は、様々な測定レシピ(例えば様々な波長および/偏光)についてのオーバレイの測定値または実際のターゲットのKOSを、波長および/または偏光の関数としての一以上の「フィンガープリント」のオーバレイまたはKOSと比較することを含み、測定されたオーバレイまたはKOSの変化に対してどの「フィンガープリント」が最も適合性を有するかを決定するために構造的非対称性の具体的な形式がそれぞれ特定され、その結果、構造的非対称性の種類が特定される。
さらに、ターゲットのシミュレーション表現についての光学測定のシミュレーションが実行され、非対称性の形式に関連する非対称性パラメータの値が決定される(1230)。ある実施の形態において、ターゲットを用いた測定結果の非対称性に起因するプロセス依存の倍率オフセットの値(例えばKOS)、および、ターゲットを用いた測定結果の非対称性に起因する位置オフセットの値(例えばXOS)がシミュレーションから決定される。ある実施の形態において、上述のように、シミュレータは、所定のターゲット積層体について及び周期構造の一以上の所定の構造的非対称性について、ΔIの値を計算する。つまり、シミュレータは、一以上の具体的な構造的非対称性を所定のターゲット積層体に適用してΔIの値を生成する。ΔIの値は、その後、具体的な構造的非対称性により生じるKOSおよびXOSの値を(例えば式(3)を用いて)計算するために用いることができる。ある実施の形態において、上位層周期構造の光学測定のシミュレーションは、特定された非対称性に対する横シフトがゼロの位置に設定される。したがって、決定された非対称性の形式は、シミュレーション用に所定の構造的非対称性を定義するために、または、複数のシミュレーションされた構造的非対称性の中から所望のデータを選択するために用いることができる。
したがって、KOSおよびXOSの値から、ターゲットを用いる測定結果の非対称性に起因する位置オフセット(例えばXOS)を、ターゲットを用いる測定結果の非対称性に起因するプロセス依存の倍率オフセット(例えばKOS)に関連付ける倍率Gといった非対称性パラメータを決定できる(1230)。非対称性パラメータ(例えば倍率G)は、補正の実行、ターゲットの設計などに用いることができる。ある実施の形態において、非対称性パラメータは、ターゲットを用いる測定結果の非対称性に起因する位置オフセット(例えばXOS)であってもよい。ある実施の形態において、位置オフセットは、上述のような倍率Gおよびターゲットを用いる測定結果の非対称性に起因するプロセス依存の倍率オフセット(例えばKOS)を用いて決定されてもよい。
1220にて、プロセス安定性評価が実行される。ある実施の形態において、ターゲットに関連するターゲット形成パラメータの変化に対する非対称性パラメータの感度が分析される。つまり、ある実施の形態において、一以上の光学測定のレシピ(レシピは、光学測定の波長、偏光などの設定である)のいずれにおいて、非対称性パラメータ(例えば倍率G)がターゲット形成パラメータの変化(例えばプロセス変化)に対して小さい感度(例えば最小の感度)を有するかどうかの決定がなされる。したがって、ある実施の形態において、感度分析は、ターゲット形成パラメータの変化に対する非対称性パラメータの感度が最小値となる光学測定のパラメータ(例えば、光学測定の測定ビームの波長および/または偏光)の値を決定することを備える。ある実施の形態において、分析することはシミュレーションを実行することを備える。例えば、ΔG×KOSの項がプロセス変化に対してシミュレーションで評価される。つまり、様々な異なる光学測定レシピについてプロセスパラメータに対する摂動がシミュレーションで生成され、例えばΔG×KOSの最小値を有するレシピは、実際のターゲットの測定用のレシピを選択するため、および、シミュレーションに基づく非対称性パラメータ(例えば、特定の値のG)を選択するために用いられる。
1230にて、決定された非対称性パラメータ(この場合、倍率G)は、ターゲットの設計などでの測定結果の補正に用いるために提供される。1235により示されるように、積層体の調整および/またはプロセス安定性分析は、大量製造に用いるターゲットに先行する準備または開発段階にて実行されてもよい。追加的または代替的に、積層体の調整および/またはプロセス安定性分析は、大量製造の間に実行されてもよい。この場合、測定データは、例えば、スループットの向上のためにまばらにサンプリングされたターゲットに由来してもよい。
決定された非対称性パラメータ(この場合、倍率G)を実際のターゲットの測定結果の補正に使用する例は、1295に示される。つまり、ある実施の形態において、決定された非対称性パラメータは、大量製造中になされるターゲットの測定に適用される。ある実施の形態において、測定結果はターゲットの高密度サンプリングに由来してもよい。したがって、ある実施の形態において、決定された非対称性パラメータは、実際のターゲットの測定結果を生成する工程にフィードフォワードされてもよい。さらに、ターゲット形成パラメータの変化(例えば、プロセス変化)に対して非対称性パラメータ(例えば、倍率G)が低い感度(例えば最小感度)を有することとなる光学測定のレシピ(レシピは、光学測定の波長、偏光などの設定である)は、実際のターゲットの測定結果を生成する工程にフィードフォワードされてもよい。
1260にて、例えば光学測定のレシピ1250(レシピは、光学測定の波長、偏光などの設定である)を用いて実際のターゲットの測定データが取得される。このレシピにて、ターゲット形成パラメータの変化(例えば、プロセス変化)に対して非対称性パラメータ(例えば、倍率G)が低い感度(例えば最小感度)を有する。このようなデータは、本書に記載されるような回折に基づく測定データであることができる。その測定データは、例えばプロセス安定性分析1220にて決定されるように、ターゲット形成パラメータの変化(例えば、プロセス変化)に対して非対称性パラメータ(例えば、倍率G)が低い感度(例えば最小感度)を有することとなる光学測定レシピ(レシピは、光学測定の波長、偏光などの設定である)を用いて取得される。後述するように、測定データは、例えば、三以上の異なるバイアス値を有する複合周期構造を用いて取得される測定データを含んでもよい。
1270にて、測定データから、ターゲットを用いる測定結果(例えばKOS)の非対称性に起因するプロセス依存の倍率オフセットの値が決定される。上述のように、プロセス依存の倍率オフセットの値は、一以上の様々な技術を用いて決定できる。例えば、上述のように、例えば、三以上の異なるバイアス値を有する複合周期構造を用いる測定データから決定できる。
1280にて、実際のターゲットの測定結果に対する構造的非対称性の影響を決定するために非対称性パラメータ1240(例えば倍率G)が用いられる。ある実施の形態において、非対称性パラメータ(例えば倍率G)は、プロセス依存の倍率オフセット1270と組み合わされる。つまり、構造的非対称性(例えばXOS)に起因する位置シフトに到るために式(4)が用いられてもよい。ここで、ターゲットの測定は、実際のターゲットのオーバレイovを測定することであり、位置シフトは実際のオーバレイの変化(例えばδov)に変換される。したがって、1290にて、オーバレイ測定は、構造的非対称性を考慮して補正されうる。
特定層へのプロセスの累積(プロセススタック)は、公差を大幅に超えて変化することができる。典型的に存在するターゲットは、プロセススタックにおける大きな変化(つまり、プロセス変化)に対処することができない。さらに、回折に基づく典型的なオーバレイターゲットは、層ペアの間のオーバレイの測定に用いられる。しかし、単一の層ペアだけでなく、複数の層ペアの間でのオーバレイ測定をするニーズが存在する。そのため、ある実施の形態によれば、全体サイズとしては小さいが、マルチ・デザイン・グレーティングのセットを含むマルチ・グレーティング・ターゲット・クラスタ(グレーティングの単一クラスタ)を備える回折計測ターゲットが提供される。参照の便宜上、このターゲットを拡張動作範囲計測ターゲットと呼ぶ。本書に記載される技術は、拡張動作範囲計測ターゲットに適用されてもよい。
例えばプロセス開発において、拡張動作範囲計測ターゲットに由来するグレーティングのサブセットは、特定のプロセススタックの条件に用いることができる一方、拡張動作範囲計測ターゲットに由来する別のグレーティングのサブセットは、別のプロセススタックの条件に用いることができ、したがって、プロセススタックにおける非常に大きな変化を考慮できる。代替的または追加的に、例えば多層オーバレイについて、拡張動作範囲計測ターゲットに由来するグレーティングのサブセットは、ある層のペアのために用いることができる一方で、拡張動作範囲計測ターゲットの別のサブセットは、別の層のペアのために用いることができ、したがって、多層オーバレイを可能にする。
したがって、非常に大きなプロセススタックの変化がある(例えば、計測ターゲットの特定のグレーティングの設計ではプロセススタックの変化に適切に対処できない)状況において、拡張動作範囲計測ターゲットが大幅に異なる設計(全てが妥当なサイズのターゲットに含まれる)を可能にし、プロセススタックの変化が生じたとしても、成功する測定結果となる確率を高めるであろう。これは、プロセススタックの変化を積極的に予期した異なる設計の存在により、1回の測定が成功する確率を高めることができるであろう。また、マルチオーバレイ測定の状況において、拡張動作範囲計測ターゲットは、1回の測定シーケンスにおいて、多層間のオーバレイ測定を可能にする。つまり、ある実施の形態において、層の複数ペアを1回の測定シーケンスにて測定でき、ある実施の形態において、層の複数ペアの回折データを同時に検出できる。
拡張動作範囲計測ターゲットが異なるデザインのグレーティングを有することで、異なるデザインのグレーティングのセットを持つ単一の計測ターゲットにより、プロセススタックおよび/または多層体における非常に大きな変化に対処できる。これにより、異なる個別ターゲットのそれぞれ用に異なるパターニングデバイス(例えばマスク)を生成するコストおよび/または測定時間のコストを顕著に低減できる。さらに、比較的小さなサイズの拡張動作範囲計測ターゲットにより、複数の異なる個別ターゲット用のターゲット「不動産」(つまり、これら個別の層ペアターゲットを収容するためのパターニングデバイスのパターン上の利用可能なスペース)のコスト、および、増加する測定回数に起因するスループットのコストを顕著に低減しうる。そのため、拡張動作範囲計測ターゲットは、これら複数のターゲットの全てを、複数の個別ターゲットに比べて不動産の視点から十分に小さく、測定回数の観点からもより好ましい単一のターゲット・クラスタの範囲内にもたらすことができる。
図13を参照すると、ある実施の形態の拡張動作範囲計測ターゲット800が示される。拡張動作範囲計測ターゲット800は、複数のサブターゲットを備え、この例では、4個のサブターゲット802,804,806,808を備える。理解されるであろうように、異なる数のサブターゲットが設けられてもよい。例えば、2個だけのサブターゲットが設けられてもよい。代わりに、3個、5個、6個、7個、8個などのサブターゲットが設けられてもよい。ある実施の形態において、各サブターゲット802−808は、隣接するサブターゲットからギャップ820だけ離れている。ある実施の形態において、ギャップは、200nm以上、250nm以上、350nm以上、500nm以上、750nm以上または1μm以上である。ギャップは、サブターゲットの再構成を容易にしてサブターゲットを個別に特定できるようにする。さらに、ギャップは、あるサブターゲットから別のサブターゲットまで拡がる回折のクロストークを避けるのに役立つかもしれない。
各サブターゲットは、周期構造を備える。ある実施の形態において、各サブターゲットは、少なくとも周期構造のペアを備える。ある実施の形態において、各サブターゲットは、少なくとも周期構造の二つのペアを備える。ある実施の形態において、サブターゲット内の周期構造のフィーチャ(例えばライン)は、同じ方向に延びる。ある実施の形態において、サブターゲットの少なくとも一つの周期構造は、サブターゲットの別の周期構造のラインが延びる方向と実質的に直交する方向に延びるラインを有してもよい。ある実施の形態において、あるサブターゲットの周期構造のフィーチャが延びる方向は、別のサブターゲットのそれとは異なってもよい。
ある実施の形態において、図13に示されるように、各サブターゲットは、第1方向に延びるラインを有する第1ペアの周期構造810と、第1方向と実質的に直交する第2方向に延びるラインを有する第2ペアの周期構造820とを有する。この例において、各サブターゲット802−808は、図4のターゲットと全体的に同様のレイアウトを有する。つまり、各サブターゲットは、X方向に延びるラインを持ち、反対側の角に配置される第1ペアのグレーティングと、Y方向に延びるラインを持ち、第1ペアのグレーティングに対して反対側の角に配置される第2ペアのグレーティングとを有する。しかしながら、サブターゲットのレイアウトは、図13に示されるものと異なっていてもよい。例えば、周期構造の場所が異なってもよい。別の例として、周期構造のあるペアの長さおよび/または幅は、周期構造の別のペアの長さおよび/または幅とは異なっていてもよい。
サブターゲット802−808は、図4のターゲットと同一の連続する領域内に完全にまたは少なくとも部分的に収まることができるようなサイズを有する。例えば、拡張動作範囲計測ターゲット800は、25μm×25μm以内、20μm×20μm以内、16μm×16μm以内、12μm×12μm以内、10μm×10μm以内、または、8μm×8μm以内の外形寸法を有してもよい。ある実施の形態において、各サブターゲットの少なくとも一部が基板上の特定サイズの連続領域内に収まる。ある実施の形態において、複数のサブターゲットの各周期構造は、基板上の特定サイズの連続領域内に収まる。ある実施の形態において、この特定サイズは、1000μm以下、900μm以下、800μm以下、700μm以下、600μm以下、500μm以下、450μm以下、400μm以下、350μm以下、300μm以下、250μm以下、200μm以下、150μm以下、または、100μm以下である。ある実施の形態において、サブターゲット802−808の各周期構造は、約3μm×3μmまたは約4μm×4μmより小さくない。ある実施の形態において、サブターゲット802−808の各周期構造は、約9μmまたは約16μmより小さくない。
ある実施の形態において、各サブターゲットの少なくとも一部は、基板上の測定スポットの領域内(例えば、測定スポットの幅の範囲内)である。ある実施の形態において、複数のサブターゲットの各周期構造の少なくとも一部は、基板上の測定スポットの領域内(例えば、測定スポットの幅の範囲内)である。ある実施の形態において、複数のサブターゲットの各周期構造は、基板上の測定スポットの領域内(例えば、測定スポットの幅の範囲内)である。ある実施の形態において、測定スポットは、約35μm以下、約30μm以下、約25μm以下、約20μm以下、約15μm以下、または、約10μm以下の幅(例えば直径)を有する。そのため、ある実施の形態において、複数のサブターゲットを1回の測定シーケンスで測定でき、ある実施の形態において、複数のサブターゲットの回折データを同時に検出できる。
図4のターゲットと同様、複数のサブターゲットは、少なくとも部分的に別の周期構造の上に重なる(この他の周期構造は、単に分かりやすさのため、図13に示されない)。ある実施の形態において、各サブターゲット802−806は、少なくとも部分的にそれぞれの周期構造の上に重なる。ある実施の形態において、第1の拡張動作範囲計測ターゲット800は、第2の拡張動作範囲計測ターゲット800の上に重なる。その場合、第1の拡張動作範囲計測ターゲット800の複数のサブターゲット802−806のそれぞれは、第2の拡張動作範囲計測ターゲット800の複数のサブターゲット802−806のそれぞれの上に重なるであろう。ある実施の形態において、第1の拡張動作範囲計測ターゲット800がある一つの層内にあり、第2の拡張動作範囲計測ターゲット800が別の一つの層内にあってもよい。ある実施の形態において、第1の拡張動作範囲計測ターゲット800が一つの層内にあり、第2の拡張動作範囲計測ターゲット800が異なる層内にある複数のサブターゲットのそれぞれを有してもよい。
さらに、複数のサブターゲットが単一レイアウト内に形成される場合以外に、(a)異なるプロセス条件および/または(b)多層オーバレイ用の異なる層のペアのために、複数のサブターゲットのそれぞれが設計される。いいかえれば、ある実施の形態において、複数のサブターゲットの第1サブターゲット802は、複数のサブターゲットの第2サブターゲット804と異なるデザインを有する。ある実施の形態において、各サブターゲット802−808が異なるデザインを有してもよい。ある実施の形態において、複数のサブターゲットの二以上のサブターゲット802,808が複数のサブターゲットの二以上の他のサブターゲット804,806とは異なるデザインを有してもよい。
図14を参照すると、異なるプロセス条件用に設計された複数のサブターゲットを有する(図13のデザインの)拡張動作範囲計測ターゲット900,902の使用例が示される。参照を容易にするため、サブターゲット802,804,806,808は、図14において一列に描かれている。図13のレイアウトから理解されるであろうように、図14のサブターゲット806,808は、実際には、図14のサブターゲット802,804の「手前側」または「奥側」に配置される。つまり、それぞれ紙面の内側および外側に配置される。さらに、この実施の形態では、第1の拡張動作範囲計測ターゲット900が一つの層にあり、第2の拡張動作範囲計測ターゲット902が別の一つの層にある。つまり、図14において、第1の拡張動作範囲計測ターゲット900の各サブターゲット802,804,806,808は上部層にあり、第2の拡張動作範囲計測ターゲット902の各サブターゲット802,804,806,808は(第1の拡張動作範囲計測ターゲット900の各サブターゲット802,804,806,808が少なくとも部分的に第2の拡張動作範囲計測ターゲット902のサブターゲット802,804,806,808のそれぞれの上に重なるように)第1の拡張動作範囲計測ターゲット900の下の単一層内にある。
図14の例において、各サブターゲット802,804,806,808は、異なるプロセススタック用に設計される。この例において、サブターゲット802は、100nmの第1層904および100nmの第2層906を有するプロセススタック用に設計され、サブターゲット804は、100nmの第1層904および110nmの第2層906を有する異なるプロセススタック用に設計され、サブターゲット806は、110nmの第1層904および110nmの第2層906を有する異なるプロセススタック用に設計され、サブターゲット808は、120nmの第1層904および110nmの第2層906を有するプロセススタック用に設計される。理解されるであろうように、異なるプロセススタックの条件は、この例に用いるものと異なってもよい。例えば、プロセス条件は、層の厚さ以外であることができる。他のプロセス条件は、屈折率、層材料、エッチレート、ベーク温度、露光焦点、露光線量などを含んでもよい。さらに、この実施の形態において、拡張動作範囲計測ターゲット900は、その下に重なる関連した拡張動作範囲計測ターゲット902とは異なるように設計される(例えば、図14において、拡張動作範囲計測ターゲット902のグレーティングラインがセグメント化される一方、拡張動作範囲計測ターゲット900ではそうならない)が、拡張動作範囲計測ターゲット900および拡張動作範囲計測ターゲット902が同一であってもよい。さらに、図14では4個の異なるプロセススタックを良好に測定できるが、良好に測定できる可能性を有するであろう異なる数のプロセススタックが存在してもよい。
デザインの差異の観点において、ある実施の形態において、差異とは、少なくとも一つのサブターゲット802,804,806,808と別のサブターゲット802,804,806,808との間の周期構造のピッチの差である。ある実施の形態において、ピッチは、100nmから1000nmの範囲で選択される。ある実施の形態において、デザインの差異とは、少なくとも一つのサブターゲット802,804,806,808と別のサブターゲット802,804,806,808との間の周期構造のフィーチャ(例えばライン)またはスペースの幅の差である。ある実施の形態において、デザインの差異とは、少なくとも一つのサブターゲット802,804,806,808と別のサブターゲット802,804,806,808との間の周期構造のフィーチャのセグメント化の差である。ある実施の形態において、デザインの差異とは、少なくとも一つのサブターゲット802,804,806,808と別のサブターゲット802,804,806,808との間の周期構造のバイアス(例えば量および/または方向)の差である。ある実施の形態において、バイアスは、1nmから60nmの範囲で選択される。矢印は、ある実施の形態のバイアスの方向を描いている。バイアスが必須ではないことが確認されよう。ある実施の形態において、デザインの差異とは、重なり合う拡張動作範囲計測ターゲット間のラインまたはスペースの幅の差(例えば、「上部および下部のCD」の差)であり、例えば、第1の拡張動作範囲計測ターゲットの少なくとも一つのサブターゲット802,804,806,808のラインまたはスペースの幅が、重なり合う第2の拡張動作範囲計測ターゲットの少なくとも一つのサブターゲット802,804,806,808のラインまたはスペースの幅が異なる。ある実施の形態において、デザインの差異とは、サブターゲット802,804,806,808とそれらに関連する周期構造のレイアウトの差である。ある実施の形態において、デザインの差異とは、少なくとも一つのサブターゲット802,804,806,808と別のサブターゲット802,804,806,808との間の測定ビームの最適波長の差である。各サブターゲット802,804,806,808について同じ波長の測定レシピが用いられる場合、各サブターゲットで最小の性能損失を受けるようにサブターゲット802,804,806,808が最適化されてもよい。または、ある実施の形態において、複数のサブターゲットについて複数の波長が用いられてもよいし、サブターゲットに適用される広帯域波長から複数の波長が分離されてもよい。
そのため、ある実施の形態において、拡張動作範囲計測ターゲット900,902は、第1の例において、サブターゲット802の特徴を有するプロセススタック、つまり、100nmの第1層904および100nmの第2層906を有するプロセススタックに提供されうる。したがって、それら拡張動作範囲計測ターゲット900,902の測定がなされるとき、サブターゲット802からの測定結果がプロセススタックにとって良好である一方、サブターゲット804,806,808からの測定結果はそれほど良好でないであろう。しかし、便利なことに、同じ拡張動作範囲計測ターゲット900,902は、第2の例において サブターゲット804の特徴を有するプロセススタック、つまり、100nmの第1層904および110nmの第2層906を有するプロセススタックに提供されうる。したがって、それら拡張動作範囲計測ターゲット900,902の測定がこの異なるプロセススタックでなされるとき、サブターゲット804からの測定結果がこの場合のプロセススタックにとって良好である一方、サブターゲット802,806,808からの測定結果はそれほど良好でないであろう。
測定結果が良好であるかを決定するため、一以上の異なる技術が用いられてもよい。例えば、上述の第1の例において、サブターゲット804,806,808を効果的に測定できないために、それらのいずれかの測定結果が単純に存在しないかもしれないし、非常に弱い測定結果が存在するかもしれない。別の例では、残差(例えばオーバレイ残差)を各サブターゲットについて測定でき、あるサブターゲットについて小さいまたは最小の残差は、サブターゲットからの測定結果が良好であることを意味しうる。別の例では、同じパラメータ(例えばオーバレイ)を別工程により測定しうる。例として、電気的試験がパラメータの値の決定のために実行されてもよく、電気的試験による測定と最も近い値を持つサブターゲットは、サブターゲットからの測定結果が良好であることを意味しうる。
図15を参照すると、多層オーバレイ用の複数のサブターゲットを有する(図13のデザインの)拡張動作範囲計測ターゲット1000,1002の使用例が示される。参照を容易にするため、サブターゲット802,804,806,808は、図15において一列に描かれている。図13のレイアウトから理解されるであろうように、図15のサブターゲット806,808は、実際には、図15のサブターゲット802,804の「手前側」または「奥側」に配置される。つまり、それぞれ紙面の内側および外側に配置される。さらに、この実施の形態では、第1の拡張動作範囲計測ターゲット900は、一つの層にあり、第2の拡張動作範囲計測ターゲット902は、異なる層内の複数のサブターゲットのそれぞれを有する。つまり、図15において、第1の拡張動作範囲計測ターゲット900の各サブターゲット802,804,806,808は上部層にあり、第2の拡張動作範囲計測ターゲット902の各サブターゲット802,804,806,808は(第1の拡張動作範囲計測ターゲット900の各サブターゲット802,804,806,808が少なくとも部分的に第2の拡張動作範囲計測ターゲット902のサブターゲット802,804,806,808のそれぞれの上に重なるように)第1の拡張動作範囲計測ターゲット900の下の異なる層内にある。
図15の例において、各サブターゲット802,804,806,808は、異なる層用に設計される。この例において、サブターゲット802は、上部層および層1010の第1の層ペアのオーバレイを測定するために設計され、サブターゲット804は、上部層および層1008の第2の層ペアのオーバレイを測定するために設計され、サブターゲット806は、上部層および層1006の第3の層ペアのオーバレイを測定するために設計され、サブターゲット808は、上部層および層1004の第4の層ペアのオーバレイを測定するために設計される。この例の各サブターゲットが異なる層ペアを測定する一方で、ある実施の形態において、二以上のサブターゲットが第1の層ペアを測定してもよいし、一以上の他のサブターゲットが第2の層ペアを測定してもよい。さらに、図15では4個の異なる層ペアが測定可能であるが、異なる数の層ペアが計測可能であってもよい。
この実施の形態において、第1拡張動作範囲計測ターゲット900の各サブターゲット802,804,806,808は、同一のデザインを有し、第1拡張動作範囲計測ターゲット900のサブターゲット802,804,806,808は、デザインの観点で、第2拡張動作範囲計測ターゲット902のサブターゲット802,804,806,808と同じである。しかしながら、上述のように、第2拡張動作範囲計測ターゲット902の二以上のサブターゲット802,804,806,808が異なる層内にある一方、第1拡張動作範囲計測ターゲット900の下に依然として重なっている。ある実施の形態において、第1拡張動作範囲計測ターゲット900の一以上のサブターゲット802,804,806,808は、第1拡張動作範囲計測ターゲット900の別の一以上のサブターゲット802,804,806,808とは異なるデザインを有してもよい。ある実施の形態において、第1拡張動作範囲計測ターゲット900の一以上のサブターゲット802,804,806,808は、第2拡張動作範囲計測ターゲット902の一以上のサブターゲット802,804,806,808とは異なるデザインを有してもよい。
ある実施の形態において、拡張動作範囲計測ターゲットにおける各サブターゲット802,804,806,808の場所に起因して、特定の異なる層ペアのそれぞれについてのオーバレイを容易に作成できる。さらに、拡張動作範囲計測ターゲットが異なる層ペアのそれぞれについてサブターゲット802,804,806,808を有するため、複数の異なる層ペアの測定が1回の測定シーケンスで取得されうる。例えば、異なる層ペアのそれぞれの回折情報が1回で撮像される。異なる層ペアで別々に測定されたオーバレイの値を用いる代わりに、または、これに加えて、サブターゲット802,804,806,808を用いる測定の平均値、中央値または他の統計値をプロセス制御に用いてもよい。これは、一以上のサブターゲット802,804,806,808の小ささに起因した特定の信頼性に対して懸念がある場合に役立つかもしれない。
このように、拡張動作範囲計測ターゲットは、例えばプロセス開発段階および多層オーバレイ測定における計測ターゲットを用いる新たな手法を切り拓くことができる。先進的ノード(例えば、困難かつ変動するプロセスおよび/またはマルチパターニング(例えばダブルパターニング)用の複数層を伴う)では、デバイス設計者および製造者がプロセススタックを動的に変化させており、および/または、複数の層を用いており、計測が機能することを期待している。拡張動作範囲計測ターゲットは、このように、計測結果に対するより多くのプロセス安定性をもたらすことができ、比較的未知のプロセススタックへの計測を初回で成功させる確率を高めることができる。例えば、測定速度に起因する利益は、拡張動作範囲計測ターゲットの各サブターゲットの少なくとも一部が測定スポットの領域内に位置する場合に実現できる。もしそうであれば、拡張動作範囲計測ターゲットは、例えば、プロセス条件が不明かもしれない場合におけるプロセススタックへの計測を初回で成功させる可能性を高めることができる。さらに、拡張動作範囲計測ターゲットは、ターゲットの「不動産」、パターニングデバイスの製造および/またはスループットの観点からコストを低減しつつ、多層の素早い測定を可能にでき、および/または、プロセススタックの顕著な変動に対処できる。さらに、拡張動作範囲計測ターゲットは、存在する計測装置を用いる開発および/または製造場所で用いることができ、センサハードウェアの変更を必要としないかもしれない。
ある実施の形態において、計測ターゲットを設計するシステムおよび方法が提供される。ある実施の形態において、計測ターゲットは、予想される異なるプロセススタックおよび/または所望の測定に対して適切となるべきである。さらに、計測ターゲットは、典型的なプロセス変化(これは異なるプロセススタックに由来する顕著な差異とは異なる)をカバーできるようにすべきである。したがって、ある実施の形態において、計測ターゲットの安定性の確保を助けるような設計手法が用いられる。つまり、計測ターゲット(それに関連する周期構造を含む)は、プロセススタック情報を用いる計算および/またはシミュレーションにより計測ターゲットの安定性の確保を助けるように設計されることができる。例えば、異なるプロセススタック用の拡張動作範囲計測ターゲットについて、サブターゲットに関連する特定の異なるプロセススタックに関連して予想される典型的なプロセス変化のために拡張動作範囲計測ターゲットの各サブターゲットの安定性を決定できる。
上述のように、提案する計測ターゲットデザインは、それらの適合性および/または実行可能性を確認するための試験および/またはシミュレーションに依存してもよく、印刷可能性および検出可能性の双方に起因してもよい。商業的環境において、オーバレイマークの良好な検出可能性とは、低い全測定不確かさ及び短い移動−取得−移動時間の組み合わせであると考えられうる。低速の取得は生産ライン全体のスループットに悪影響を与えるためである。現代のマイクロ回折に基づくオーバレイターゲット(μDBO)は、面上で10−20μmのオーダであり、モニタ基板の文脈で用いられるような40×160μmのターゲットと比べて本質的に低い検出信号を与えるかもしれない。
追加的に、いったん上述の基準を満たす計測ターゲットが選択されると、膜厚変化、様々なエッチバイアス、エッチおよび/または研磨処理により生じる地理的な非対称性といった典型的なプロセス変化に対して検出可能性が変化するおそれがあるであろう。したがって、様々なプロセス変化に対して低い検出可能性変化および低いオーバレイ/アライメント変化を有するターゲットを選択することが役立つかもしれない。同様に、結像されるマイクロ電子デバイスの製造に用いられる具体的な装置のフィンガープリント(印刷特性であり、例えばレンズ収差を含む)は、一般に、計測ターゲットの結像および製造に影響を与えるであろう。したがって、計測ターゲットがフィンガープリントの影響に耐性を示すのを確実にすることが役立つかもしれない。具体的なリソグラフィフィンガープリントにより、いくつかのパターンがより大きい又は小さい影響を受けるであろうからである。
したがって、ある実施の形態において、計測ターゲットを設計する方法が提供される。ある実施の形態において、提案される一以上の計測ターゲットデザインの適合性および/または実行可能性を確認するために、様々な計測ターゲットをシミュレーションすることが望ましい。
リソグラフィおよび計測ターゲットを含む製造プロセスをシミュレーションするためのシステムにおいて、主要な製造システム要素および/またはプロセスは、例えば図16に示される様々な機能モジュールにより記述できる。図16を参照すると、機能モジュールは、計測ターゲット(および/またはマイクロ電子デバイス)のデザインパターンを定義する設計レイアウトモジュール1300;パターニングデバイスパターンをターゲットデザインに基づいてどのようにポリゴンにレイアウトするか定義するパターニングデバイスレイアウトモジュール1302;シミュレーションプロセスの間に利用される画素化された連続階調のパターニングデバイスの物理的特性をモデル化するパターニングデバイスモデルモジュール1304;リソグラフィシステムの光学要素の性能を定義する光学モデルモジュール1306;所定のプロセスに利用されるレジストの性能を定義するレジストモデルモジュール1308;フォトレジスト現像工程(例えばエッチ)の性能を定義するプロセスモデルモジュール1310;計測ターゲットとともに用いられる計測システムの性能を定義し、その結果、計測システムとともに用いられるときの計測ターゲットの性能を定義する計測モジュール1312を含む。一以上のシミュレーションモジュールの結果、例えば、予測等高線およびCDは、結果モジュール1314に与えられる。
照明および投影光学系の特性(NAシグマ(σ)設定および任意の具体的な照明源形状を含むがこれに限られない)は、光学モデルモジュール1306に取得される。ここで、σ(またはシグマ)は、照明の外側半径範囲である。基板上を被覆するフォトレジスト層の光学特性(つまり、屈折率、膜厚、伝搬および偏光効果)は、光学モデルモジュール1306の部分として取得されてもよい。一方で、レジストモデルモジュール1308は、例えば基板上に形成されるレジストフィーチャの等高線を予測するために、レジスト露光、露光後ベーク(PEB)および現像の間に生じる化学プロセスを記述する。パターニングデバイスモデルモジュール1304は、ターゲットデザインフィーチャがパターニングデバイスのパターンにどのようにレイアウトされるかを取得し、(例えばUS特許番号7,587,704に記載されるような)パターニングデバイスの詳細な物理的特性の指標を含んでもよい。シミュレーションの目的は、例えばエッジ位置やCDを正確に予測することであり、したがって、これをターゲットデザインに対して比較することができる。ターゲットデザインは、一般に、プレOPCパターニングデバイスレイアウトとして定義されることができ、GDSIIやOASISなどの標準化されたデジタルファイルフォーマットに与えられるであろう。
一般に、光学モデルおよびレジストモデル間の接続は、レジスト層内でシミュレーションされる空中像強度であり、これは基板への投影放射、レジスト界面での屈折およびレジスト膜積層体内での多重反射により生じる。放射強度分布(空中像強度)は、光子の吸収により潜在的な「レジスト像」に変換され、これはさらに拡散プロセスおよび様々な負荷効果により変更される。フルチップ用途に十分に高速な効率的なシミュレーション方法は、レジスト積層体内の実際の3次元強度分布を2次元空中(およびレジスト)像で近似する。
したがって、モデル公式は、プロセス全体の既知の物理および化学の(全てではないが)ほとんどを記述し、各モデルパラメータは、別個の物理的または化学的効果に対応することが好ましい。したがって、このモデル公式は、モデルが製造プロセス全体のシミュレーションにいかにうまく用いることができるかの上限を設定する。しかしながら、いくつかの場合、モデルパラメータが測定誤差および読取誤差により不正確であるかもしれず、システム内に他の不完全性があるかもしれない。モデルパラメータの精密な較正により、極めて正確なシミュレーションを実行できる。
製造プロセスにおいて、様々なプロセスパラメータの変化は、デバイスデザインを忠実に反映できる適切なターゲットの設計に顕著な影響を及ぼす。このようなプロセスパラメータは、(エッチングまたは現像工程により決定される)側壁角度、(デバイス層またはレジスト層の)屈折率、(デバイス層またはレジスト層の)厚さ、入射する放射の周波数、エッチング深さ、フロアの傾斜(チルト)、放射源の吸光係数、(レジスト層またはデバイス層の)コーティング非対称性、化学機械研磨工程中の浸食変化などを含む。
計測ターゲットデザインは、例えば、ターゲット係数(TC)、スタック感度(SS)、オーバレイ影響(OV)などの様々なパラメータにより特徴付けることができる。スタック感度は、ターゲット(例えばグレーティング)層間の回折に起因して、オーバレイの変化に対して信号強度がどの程度変化するかの測定結果として理解できる。ターゲット係数は、測定システムによる光子収集の変化に起因した、特定の測定回数に対する信号対雑音比の測定結果として理解できる。ある実施の形態において、ターゲット係数は、光子雑音に対するスタック感度の比率として考えることもできる。つまり、信号(つまり、スタック感度)を光子雑音の測定結果で除算すれば、ターゲット係数を決定しうる。オーバレイ影響は、ターゲットデザインの関数としてオーバレイ誤差の変化を測定する。
本書には、例えば計測システムのシミュレーションまたはターゲットの製造工程のシミュレーション(例えば、リソグラフィ工程を用いる計測ターゲットの露光、計測ターゲットの現像、ターゲットのエッチングなどが含まれる)に用いる計測ターゲットデザインを定義するためのコンピュータに実装される方法が記述される。ある実施の形態において、ターゲットについての一以上のデザインパラメータ(例えば幾何学的寸法)を特定でき、さらに一以上のデザインパラメータについて個別の値または値の範囲を特定できる。さらに、ユーザおよび/またはシステムは、例えばターゲットを必要とするリソグラフィ工程に基づいて、同一層内または複数層間のいずれかにおいて、一以上の制約が一以上のデザインパラメータ(例えば、ピッチおよびスペース幅の関係、ピッチまたはスペース幅の限界、フィーチャ(例えばライン)幅およびピッチの関係(例えばフィーチャ幅はピッチより小さい)、非対称性パラメータなど)に課されてもよい。ある実施の形態において、個別の値または範囲が特定されている一以上のデザインパラメータに、または一以上の他のデザインパラメータに、一以上の制約が課されてもよい。
図17は、ある実施の形態にしたがって計測ターゲットデザインを決定するためのコンピュータに実装される方法を概略的に示す。方法は、ブロックB1にて、計測ターゲットの複数のデザインパラメータ(例えば幾何学的寸法)のそれぞれについての値の範囲または複数の値を与えることを含む。
ある実施の形態において、計測ターゲットデザインシステムのユーザは、一以上のデザインパラメータ(例えば幾何学的寸法)を計測ターゲットについて特定しうる。例として、ユーザは、拡張動作範囲計測ターゲットの必要性を特定し、さらに拡張動作範囲計測ターゲットのサブターゲットの数を特定する。さらに、ある実施の形態において、ユーザは、一以上の周期構造といった計測ターゲットの一以上のデザインパラメータのそれぞれの個別の値または値の範囲を特定してもよい。拡張動作範囲計測ターゲットの例として、ユーザは、拡張動作範囲計測ターゲットの一以上のサブターゲットおよびサブターゲットの一以上の周期構造の一以上のデザインパラメータのそれぞれの個別の値または値の範囲を特定(例えば選択)してもよい。例えば、ユーザは、計測ターゲットのフィーチャ(例えばライン)幅、スペース幅、計測ターゲットのサイズ、ピッチなどの値の範囲またはセットを選択してもよい。ある実施の形態において、計測ターゲットが複数の周期構造(例えばグレーティング)またはセグメント化された周期構造(例えばグレーティング)を備える場合、他のデザインパラメータ(例えば共通ピッチ)の値の範囲またはセットを選択または提供してもよい。
ある実施の形態において、デザインパラメータは、以下から選択される一以上の幾何学的寸法を有してもよい:ターゲットの周期構造のピッチ、ターゲットの周期構造フィーチャ(例えばライン)の幅、ターゲットの周期構造のスペース幅、周期構造のフィーチャの一以上のセグメント化パラメータ(セグメント化の形式に依存するXおよび/またはY方向のセグメント化ピッチ/フィーチャ幅/スペース幅)。さらに、パラメータが単層または複数層(例えば二つの層または二つの層に加えて中間シールド層)について特定されてもよい。複数層について、それらのピッチが共通してもよい。特定の計測ターゲット(例えば焦点またはアライメントターゲット)について、他のパラメータが用いられてもよい。他のデザインパラメータは、以下から選択される一以上のような物理的制約であってもよい:ターゲット用の計測システムに用いる放射の波長、計測システムに用いる放射の偏光、計測システムの開口数、ターゲット形式および/またはプロセスパラメータ。ある実施の形態において、均一および対称ではないパターン、例えば変調されたオーバレイターゲットおよび焦点ターゲットが設けられてもよい。したがって、デザインパラメータが特定方向に変化してもよいし、必ずしも均一でなくてもよい。
ブロックB2にて、計測ターゲットの一以上のデザインパラメータについて一以上の制約が与えられる。選択的に、ユーザが一以上の制約を定義してもよい。制約は、線形代数の数式である。ある実施の形態において、制約が非線形であってもよい。いくつかの制約は、他の制約に関連してもよい。例えば、フィーチャ幅、ピッチおよびスペース幅は、三つのうち任意の二つが既知であれば、三つ目が完全に決定されうるように関連する。
ある実施の形態において、ユーザは、計測ターゲットの面積、寸法またはその両方に対する制約を特定してもよい。拡張動作範囲計測ターゲットについて、ユーザは、サブターゲットの数に対する制約を特定してもよい。
ある実施の形態において、制約は、計測パラメータ制約であってもよい。例えば、いくつかの計測システムにおいて、システムの物理が制約を課してもよい。例えば、システムに用いる放射の波長がターゲットデザインのピッチ、例えば下限を制約してもよい。ある実施の形態において、波長、ターゲット形式および/または計測システムの開口の関数としてピッチの制限(上限/下限)がある。制約として用いることのできる物理的な制限は、以下から選択される一以上を含む:計測システムに用いる放射の波長、計測システムに用いる放射の偏光、計測システムの開口数および/またはターゲット形式。ある実施の形態において、制約はプロセスパラメータ制約(例えば、エッチ形式、現像形式、レジスト形式など)であってもよい。ある実施の形態において、制約は、本書に記載されるような非対称性パラメータであってもよい。
使用される特定のプロセスに依存して、ある実施の形態において、一以上の制約は、ある層のデザインパラメータ(例えば幾何学的寸法)と別の層のデザインパラメータ(例えば幾何学的寸法)との間の制約に関連してもよい。
ブロックB3にて、プロセッサにより、方法は、デザインパラメータの解を求め、および/または、デザインパラメータの値の範囲内または複数の値から抽出して選択する。ここで、複数の計測ターゲットデザインは、一以上の制約を満たす一以上のデザインパラメータを有する。例えば、解を求めることを含む実施の形態において、一以上の潜在的な計測ターゲットデザインの解が求められてもよい。つまり、一以上の潜在的な計測デザインは、例えば特定の値の解を得るための一以上の同等の制約を用いて許容される値の解を求めることにより導出されてもよい。例えば、抽出を含む実施の形態において、様々なデザインパラメータおよび制約により凸多面体が定義されてもよい。凸多面体の量は、全ての制約を満たすサンプル計測ターゲットデザインを与える一以上のルールにしたがって抽出されてもよい。一以上の抽出ルールは、サンプル計測ターゲットデザインに適用されてもよい。
しかしながら、このようにして発見される全ての計測ターゲットデザインがプロセス変化を同等に表すものではないことが留意されよう。このように、ある実施の形態において、本書に記載される方法を用いて発見される計測ターゲットデザインがブロックB4にてさらにシミュレーションされ、例えば一以上の計測ターゲットデザインの実行可能性および/または適合性が決定されてもよい。シミュレーションされた計測ターゲットデザインは、その後、ブロックB5にて評価され、例えば主要性能評価指標(KPI)または安定性条件に基づく格付けにより、一以上の計測ターゲットデザインのいずれがプロセス変化を最良に又はより適切に示すかが特定されてもよい。ブロックB6にて、例えば測定のための特定の計測デザインが選択され、使用されてもよい。
図18は、計測、設計および/または製造プロセスの制御の基礎として、性能のモニタに計測ターゲットが用いられるプロセスを模式的に示すフローチャートである。ステップD1にて、製品フィーチャおよび本書に記載される一以上の計測ターゲットを製造するために基板が処理される。ステップD2にて、リソグラフィ工程パラメータ(例えばオーバレイ)の値が測定され、例えば図6の方法を用いて計算され、選択的に非対称性パラメータを用いて補正される。ステップD3にて、測定されたリソグラフィ工程パラメータ(例えばオーバレイ)の値が、計測レシピを更新するために(利用可能でありうる他の情報とともに)用いられてもよい。更新された計測レシピは、リソグラフィ工程パラメータの再測定および/または後続処理される基板上のリソグラフィ工程パラメータの測定に用いられる。このようにして、計算されたリソグラフィ工程パラメータの精度が改善される。必要に応じて、更新プロセスは自動化できる。ステップD4にて、リソグラフィ工程パラメータの値は、リソグラフィパターニングステップ、および/または、デバイス製造プロセスにおける再加工および/または別基板の処理のための他のプロセスステップを制御するレシピの更新に用いられる。もう一度述べるが、必要に応じてこの更新は自動化できる。
本書に記載する計測ターゲットの実施の形態は、大部分がオーバレイ測定の観点で記述されているが、本書に記述される計測ターゲットの実施の形態は、リソグラフィ工程パラメータの一以上の追加的または代替的な測定に用いられてもよい。例えば、計測ターゲットは、露光線量変化の測定や露光のフォーカス/デフォーカスの測定などに用いられてもよい。
上述のターゲット構造は、測定を目的として特に設計および形成された計測ターゲットであるが、他の実施の形態において、基板上に形成されるデバイスの機能部分であるターゲット上で特性が測定されてもよい。多くのデバイスは、グレーティングに類似した規則的、周期的構造を有する。本書に用いられるターゲットのグレーティングまたは周期構造の用語は、グレーティングや周期構造が測定の実行のために特に設けられていることを必要としない。さらに計測ターゲットのピッチPは、測定ツールの光学システムの分解能に近いが、リソグラフィ工程により目標部分Cに作成される典型的な製品フィーチャの寸法より非常に大きくてもよい。実際のところ、オーバレイ周期構造のフィーチャおよび/またはスペースは、製品フィーチャの寸法と同様に小さな構造を含むように作成されてもよい。
基板およびパターニングデバイス上に実現されるターゲットの物理的構造に関連して、ある実施の形態は、機械に読み取り可能な指令の一以上のシーケンスを含む、および/または、ターゲットデザインを記述し、基板用にターゲットを設計する方法を記述し、基板上にターゲットを製造する方法を記述し、基板上のターゲットを測定する方法を記述し、および/または、リソグラフィ工程についての情報を得るために測定結果を分析する方法を記述する機能データを含むコンピュータプログラムを含んでもよい。このコンピュータプログラムは、例えば図3の装置内のユニットPUおよび/または図2の制御ユニットLACUで実行されてもよい。このようなコンピュータプログラムが記憶されるデータ記憶媒体(例えば半導体メモリ、磁気または光学ディスク)が提供されてもよい。例えば図3に示されるような形式の実在する計測装置がすでに製造および/または使用されている場合、本発明のある実施の形態は、本書に記載される一以上の方法をプロセッサに実行させるための更新されたコンピュータプログラム製品を提供することにより実現可能である。プログラムは、選択的に、光学システム、基板サポート、および、適切な複数のターゲット上でリソグラフィ工程のパラメータを測定する方法を実行するための同様のものを制御するために構成されてもよい。プログラムは、さらなる基板を測定するためのリソグラフィおよび/または計測レシピを更新できる。プログラムは、さらなる基板のパターニングおよび処理のためのリソグラフィ装置を(直接的または間接的に)制御するよう構成されてもよい。
本書の実施の形態は、オーバレイを測定する計測ターゲットに注目してきたが、本書の記載は、適切な変更とともに、例えば、アライメントマークを用いるリソグラフィ装置における基板およびパターニングデバイスのアライメントに適用されてもよい。したがって、例えば、アライメントマーク用の構造的非対称性オフセットKOSがアライメントセンサを用いて直接的に測定されてもよく、その後、アライメントスタックのモデルは、KOSと構造的非対称性に起因するアライメントの位置オフセットXOSとの間の倍率の決定に用いることができる。同様に、アライメント測定のための適切なレシピが決定されてもよい。
さらに、本書では、例えば回折次数に由来する強度から重なり合う周囲構造の相対位置を測定する、回折に基づく計測に関連して実施の形態が記載された。しかしながら、本書の実施の形態は、必要に応じた適切な変更とともに、例えばターゲットの高品質画像を用いて層1のターゲット1から層2のターゲット2までの相対位置を測定する、画像に基づく計測に適用されてもよい。通常、これらターゲットは、周期構造または「ボックス」(ボックス・イン・ボックス(BiB))である。
上記では、光学リソグラフィとの関連で本発明の実施の形態の使用に特に言及しているが、本発明は、インプリントリソグラフィなどの他の用途においても使用可能であり、文脈上許されれば、光学リソグラフィに限定されないことが理解されよう。インプリントリソグラフィでは、パターニングデバイスの微細構成によって、基板W上に生成されるパターンが画定される。パターニングデバイスの微細構成を基板Wに設けられたレジストの層に押しつけ、その後、電磁放射、熱、圧力またはその組合せにより、レジストを硬化する。レジストを硬化した後、パターニングデバイスMAがレジストから除去され、パターンが残される。
本書で用いられる「放射」および「ビーム」の用語は、いかなる種類の電磁的な放射を包含し、紫外(UV)放射(例えば、365nm、248nm、193nm、157nmもしくは126nm、または、その近傍の波長を有する)および極端紫外(EUV)放射(例えば、5−20nmの範囲の波長を有する)を含むとともに、イオンビームや電子ビームといった粒子ビームをも含む。
「レンズ」の用語は、文脈が許される場合において、屈折型、反射型、磁気型、電磁気型および静電型の光学素子を含む任意の種類の光学素子の任意の一つまたは組み合わせと称されてもよい。
特定の実施の形態の前述の説明は、当業者の範囲内の知識を適用することによって、他の人が、過度の実験をすることなく、本発明の一般的な考え方から逸脱することなく、そのような特定の実施の形態を様々な用途に容易に変更および/または適合させることができる本発明の一般的性質を十分に明らかにするであろう。したがって、そのような適合および変更は、本書に提示された教示および示唆に基づいて、開示された実施の形態の意義および均等物の範囲内にあることが意図される。本明細書の表現または用語は、本明細書の用語または表現が教示および指針に照らして当業者によって解釈されるように、限定ではなく例示による説明のためのものであることが理解されよう。
本発明の範囲は、上述の例示的な実施の形態のいずれにも限定されるのではなく、以下の請求項およびその等価物にしたがってのみ規定されるべきである。

Claims (30)

  1. ターゲットの測定値から前記ターゲットの構造的非対称性の形式を決定することと、
    前記非対称性の形式に関連する非対称性パラメータの値を決定するために前記ターゲットの光学測定のシミュレーションを実行することと、を備え
    前記非対称性パラメータは、前記ターゲットを用いる測定結果の前記非対称性に起因する位置オフセットを、前記ターゲットを用いる測定結果の前記非対称性に起因するプロセス依存の倍率オフセットに関連付ける倍率を含むことを特徴とする方法。
  2. 前記非対称性パラメータは、前記ターゲットを用いる測定結果の前記非対称性に起因する位置オフセットを含むことを特徴とする請求項1に記載の方法。
  3. 前記ターゲットの構造的非対称性の形式を決定することは、前記光学測定のパラメータの関数として、ターゲットの測定から決定されるオーバレイの値、または、前記ターゲットの測定から決定される非対称性に起因するプロセス依存の倍率オフセットの値を評価することを備えることを特徴とする請求項1または2に記載の方法。
  4. 前記光学測定のパラメータは、当該光学測定の測定ビームの波長および/または偏光を含むことを特徴とする請求項に記載の方法。
  5. 前記決定される値を評価することは、(i)前記決定される値または前記決定される値を表す構成物と、(ii)前記光学測定のパラメータの関数としての一以上のフィンガープリントのオーバレイもしくはプロセス依存の倍率オフセットの値のセットまたは前記フィンガープリントの値のセットを表す一以上のフィンガープリント構成物と、の間の適合性を決定することを含み、フィンガープリントのセットまたはフィンガープリントの構成物のそれぞれが異なる形式の非対称性を表すことを特徴とする請求項またはに記載の方法。
  6. 前記ターゲットに関連するターゲット形成パラメータの変化に対する前記非対称性パラメータの感度を分析することをさらに備える特徴とする請求項1からのいずれか一項に記載の方法。
  7. 前記感度を分析することは、前記ターゲット形成パラメータの変化に対する前記非対称性パラメータの感度が最小値となる前記光学測定のパラメータの値を決定することを含むことを特徴とする請求項に記載の方法。
  8. 前記光学測定のパラメータは、前記光学測定の測定ビームの波長および/または偏光を含むことを特徴とする請求項に記載の方法。
  9. 前記分析することは、シミュレーションを実行することを含むことを特徴とする請求項からのいずれか一項に記載の方法。
  10. 前記ターゲットは、上位層(overlying)周期構造を含むことを特徴とする請求項1からのいずれか一項に記載の方法。
  11. 前記シミュレーションを実行することは、特定された非対称性に対する横シフトがゼロの位置で、上位層周期構造の光学測定をシミュレーションすることを含むことを特徴とする請求項1に記載の方法。
  12. ターゲットの測定値から前記ターゲットの構造的非対称性の形式を決定することと、
    前記非対称性の形式に関連する非対称性パラメータの値を決定するために前記ターゲットの光学測定のシミュレーションを実行することと、
    前記シミュレーションから、前記ターゲットを用いる測定結果の前記非対称性に起因するプロセス依存の倍率オフセットの値および前記ターゲットを用いる測定結果の前記非対称性に起因する位置オフセットの値を決定することと、を備えることを特徴とする方法
  13. 前記非対称性パラメータに基づいて、前記ターゲットの測定から決定された前記ターゲットのオーバレイまたはアライメントの値を補正することをさらに備えることを特徴とする請求項1から1のいずれか一項に記載の方法。
  14. ターゲットの測定値から決定された前記ターゲットの構造的非対称性の形式に関連する非対称性パラメータの値を決定するために前記ターゲットの光学測定のシミュレーションを実行することと、
    前記ターゲットに関連するターゲット形成パラメータの変化に対する前記非対称性パラメータの感度を分析することと、を備え、
    前記非対称性パラメータは、前記ターゲットを用いる測定結果の前記非対称性に起因する位置オフセットを、前記ターゲットを用いる測定結果の前記非対称性に起因するプロセス依存の倍率オフセットに関連付ける倍率を含むことを特徴とする方法。
  15. 前記ターゲット形成パラメータの変化に対する前記非対称性パラメータの感度が最小値となる前記光学測定のパラメータの値を決定することをさらに備えることを特徴とする請求項14に記載の方法。
  16. 前記光学測定のパラメータは、前記光学測定の測定ビームの波長および/または偏光を含むことを特徴とする請求項1に記載の方法。
  17. 前記分析することは、シミュレーションを実行することを含むことを特徴とする請求項1から1のいずれか一項に記載の方法。
  18. 前記ターゲットは、上位層周期構造を含むことを特徴する請求項1から1のいずれか一項に記載の方法。
  19. 前記シミュレーションを実行することは、特定された非対称性に対する横シフトがゼロの位置で、上位層周期構造の前記光学測定をシミュレーションすることを含むことを特徴とする請求項18に記載の方法。
  20. 前記ターゲットを用いる測定結果および前記ターゲットを用いる測定結果の前記非対称性に起因する位置オフセットの値の決定に用いられる、前記非対称性に起因するプロセス依存の倍率オフセットの値を前記シミュレーションから決定することをさらに備えることを特徴とする請求項1から19のいずれか一項に記載の方法。
  21. 前記光学測定のパラメータの関数として、前記ターゲットの測定結果から決定されるオーバレイの値または前記ターゲットの測定結果から決定される前記非対称性に起因するプロセス依存の倍率オフセットの値を評価することにより、前記ターゲットの構造的非対称性の形式を決定することをさらに備えることを特徴とする請求項1から2のいずれか一項に記載の方法。
  22. 前記非対称性パラメータに基づいて、前記ターゲットの測定から決定されるオーバレイまたはアライメントの値を補正することをさらに備えることを特徴とする請求項1から2のいずれか一項に記載の方法。
  23. ターゲットにより回折される放射の測定パラメータを用いて前記ターゲットの構造的非対称性パラメータを決定することと、
    前記ターゲットに関連するターゲット形成パラメータの変化に対して最小の感度となる前記構造的非対称性パラメータに基づいて前記ターゲットの測定ビームの特性を決定することと、を備え
    前記構造的非対称性パラメータは、前記ターゲットを用いる測定結果の前記非対称性に起因する位置オフセット、または、前記ターゲットを用いる測定結果の前記非対称性に起因する位置オフセットを前記ターゲットを用いる測定結果の前記非対称性に起因するプロセス依存の倍率オフセットに関連付ける倍率を含むことを特徴とする方法。
  24. 前記測定ビームの特性を用いて前記ターゲットを測定することと、前記構造的非対称性パラメータに基づいて、前記測定ビームの特性を用いる前記ターゲットの測定から決定される前記ターゲットのオーバレイまたはアライメントの値を補正することと、をさらに備えることを特徴とする請求項2に記載の方法。
  25. 前記測定ビームの特性は、前記測定ビームの波長および/または偏光を含むことを特徴とする請求項23または24に記載の方法。
  26. リソグラフィ工程を用いて一連の基板にデバイスパターンが付与されるデバイス製造方法であって、当該方法は、請求項1から2のいずれか一項に記載の方法を用いて少なくとも一つの回折測定ターゲットを評価することと、当該方法の結果にしたがって一以上の基板に対する前記リソグラフィ工程を制御することとを含むことを特徴とする方法。
  27. 前記少なくとも一つの回折測定ターゲットは、前記少なくとも一つの基板上の前記デバイスパターンの一部として形成され、または、前記デバイスパターンの側方に形成され、当該方法の結果にしたがって後続基板に対する前記リソグラフィ工程が制御されることを特徴とする請求項2に記載の方法。
  28. 請求項1から27のいずれか一項に記載の方法をプロセッサに実行させるための機械に読み取り可能な指令を備えることを特徴とする非一時的なコンピュータプログラム製品。
  29. 基板上の回折測定ターゲットにビームを提供し、リソグラフィ工程のパラメータを決定するために前記ターゲットにより回折された放射を検出するよう構成される検査装置と、
    請求項28に記載の非一時的なコンピュータプログラム製品と、を備えることを特徴とするシステム。
  30. 放射ビームを変調させるパターニングデバイスを保持するサポート構造と、前記変調されたものを放射感受性基板上に投影するよう構成される投射光学システムとを含むリソグラフィ装置をさらに備えることを特徴とする請求項29に記載のシステム。
JP2017528445A 2014-11-26 2015-10-30 計測方法、コンピュータ製品およびシステム Active JP6616416B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
EP14195009 2014-11-26
EP14195009.7 2014-11-26
PCT/EP2015/075308 WO2016083076A1 (en) 2014-11-26 2015-10-30 Metrology method, computer product and system

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2019202365A Division JP6872593B2 (ja) 2014-11-26 2019-11-07 計測方法、コンピュータ製品およびシステム

Publications (2)

Publication Number Publication Date
JP2017537352A JP2017537352A (ja) 2017-12-14
JP6616416B2 true JP6616416B2 (ja) 2019-12-04

Family

ID=51982454

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2017528445A Active JP6616416B2 (ja) 2014-11-26 2015-10-30 計測方法、コンピュータ製品およびシステム
JP2019202365A Active JP6872593B2 (ja) 2014-11-26 2019-11-07 計測方法、コンピュータ製品およびシステム

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2019202365A Active JP6872593B2 (ja) 2014-11-26 2019-11-07 計測方法、コンピュータ製品およびシステム

Country Status (9)

Country Link
US (3) US10527949B2 (ja)
EP (1) EP3224676A1 (ja)
JP (2) JP6616416B2 (ja)
KR (3) KR102355347B1 (ja)
CN (2) CN110553602B (ja)
IL (3) IL290735B2 (ja)
SG (1) SG11201704036UA (ja)
TW (3) TWI576675B (ja)
WO (1) WO2016083076A1 (ja)

Families Citing this family (278)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI648515B (zh) * 2013-11-15 2019-01-21 美商克萊譚克公司 計量目標及其計量量測、目標設計檔案、計量方法及以電腦為基礎之設備
WO2016030255A2 (en) * 2014-08-29 2016-03-03 Asml Netherlands B.V. Metrology method, target and substrate
US10883924B2 (en) 2014-09-08 2021-01-05 The Research Foundation Of State University Of New York Metallic gratings and measurement methods thereof
WO2016083076A1 (en) * 2014-11-26 2016-06-02 Asml Netherlands B.V. Metrology method, computer product and system
NL2017271A (en) 2015-08-20 2017-02-22 Asml Netherlands Bv Metrology method and apparatus, substrates for use in such methods, lithographic system and device manufacturing method
NL2017300A (en) * 2015-08-27 2017-03-01 Asml Netherlands Bv Method and apparatus for measuring a parameter of a lithographic process, substrate and patterning devices for use in the method
US9631979B2 (en) * 2015-09-25 2017-04-25 Benchmark Technologies Phase-shift reticle for characterizing a beam
NL2017466A (en) * 2015-09-30 2017-04-05 Asml Netherlands Bv Metrology method, target and substrate
JP6697560B2 (ja) 2015-12-23 2020-05-20 エーエスエムエル ネザーランズ ビー.ブイ. メトロロジ方法及び装置
WO2017202602A1 (en) * 2016-05-23 2017-11-30 Asml Netherlands B.V. Selection of substrate measurement recipes
US10649342B2 (en) * 2016-07-11 2020-05-12 Asml Netherlands B.V. Method and apparatus for determining a fingerprint of a performance parameter
IL297496A (en) * 2016-07-15 2022-12-01 Asml Netherlands Bv A method and device for designing a target field for metrology
CN109564391A (zh) 2016-07-21 2019-04-02 Asml荷兰有限公司 测量目标的方法、衬底、量测设备以及光刻设备
WO2018019496A1 (en) 2016-07-26 2018-02-01 Asml Netherlands B.V. Level sensor apparatus, method of measuring topographical variation across a substrate, method of measuring variation of a physical parameter related to a lithographic process, and lithographic apparatus
KR102293144B1 (ko) 2016-09-01 2021-08-26 에이에스엠엘 네델란즈 비.브이. 계측 타겟 측정 레시피의 자동 선택
EP3299890A1 (en) * 2016-09-27 2018-03-28 ASML Netherlands B.V. Metrology recipe selection
JP6855565B2 (ja) 2016-09-27 2021-04-07 エーエスエムエル ネザーランズ ビー.ブイ. メトロロジレシピ選択
US10635004B2 (en) 2016-11-10 2020-04-28 Asml Netherlands B.V. Correction using stack difference
WO2018095705A1 (en) * 2016-11-23 2018-05-31 Asml Netherlands B.V. Metrology using a plurality of metrology target measurement recipes
US10983005B2 (en) 2016-12-15 2021-04-20 Taiwan Semiconductor Manufacturing Co., Ltd. Spectroscopic overlay metrology
KR102260941B1 (ko) 2016-12-19 2021-06-04 에이에스엠엘 네델란즈 비.브이. 계측 센서, 리소그래피 장치 및 디바이스 제조 방법
EP3796088A1 (en) 2019-09-23 2021-03-24 ASML Netherlands B.V. Method and apparatus for lithographic process performance determination
US10496781B2 (en) * 2016-12-19 2019-12-03 Kla Tencor Corporation Metrology recipe generation using predicted metrology images
EP3358413A1 (en) 2017-02-02 2018-08-08 ASML Netherlands B.V. Metrology method, apparatus and computer program
KR102370347B1 (ko) 2017-02-02 2022-03-04 에이에스엠엘 네델란즈 비.브이. 메트롤로지 방법 및 장치 및 연계된 컴퓨터 제품
WO2018172027A1 (en) 2017-03-23 2018-09-27 Asml Netherlands B.V. Asymmetry monitoring of a structure
WO2018202388A1 (en) * 2017-05-03 2018-11-08 Asml Netherlands B.V. Metrology parameter determination and metrology recipe selection
US10935892B2 (en) * 2017-05-15 2021-03-02 Applied Materials, Inc. Freeform distortion correction
WO2018233947A1 (en) 2017-06-20 2018-12-27 Asml Netherlands B.V. DETERMINATION OF EDGE ROUGHNESS PARAMETERS
EP3467589A1 (en) 2017-10-06 2019-04-10 ASML Netherlands B.V. Determining edge roughness parameters
KR102362671B1 (ko) * 2017-07-06 2022-02-14 케이엘에이 코포레이션 오버레이 계측에서 높은 정확도를 달성하기 위한 이미징 기술의 진폭 및 위상 비대칭 추정
WO2019020484A1 (en) 2017-07-25 2019-01-31 Asml Netherlands B.V. METHOD FOR DETERMINING PARAMETERS AND ASSOCIATED APPARATUS
EP3435162A1 (en) * 2017-07-28 2019-01-30 ASML Netherlands B.V. Metrology method and apparatus and computer program
CN110998449B (zh) * 2017-08-07 2022-03-01 Asml荷兰有限公司 计算量测
WO2019042809A1 (en) 2017-09-01 2019-03-07 Asml Netherlands B.V. OPTICAL SYSTEMS, METROLOGY APPARATUS AND ASSOCIATED METHODS
EP3462239A1 (en) 2017-09-27 2019-04-03 ASML Netherlands B.V. Metrology in lithographic processes
KR102390687B1 (ko) 2017-09-11 2022-04-26 에이에스엠엘 네델란즈 비.브이. 리소그래피 프로세스들에서의 계측
EP3457211A1 (en) 2017-09-13 2019-03-20 ASML Netherlands B.V. A method of aligning a pair of complementary diffraction patterns and associated metrology method and apparatus
EP3480554A1 (en) 2017-11-02 2019-05-08 ASML Netherlands B.V. Metrology apparatus and method for determining a characteristic of one or more structures on a substrate
JP7124071B2 (ja) 2017-10-05 2022-08-23 エーエスエムエル ネザーランズ ビー.ブイ. 基板上の1つ又は複数の構造の特性を決定するためのメトロロジシステムおよび方法
TW201923332A (zh) * 2017-10-10 2019-06-16 荷蘭商Asml荷蘭公司 度量衡方法和設備、電腦程式及微影系統
EP3470923A1 (en) * 2017-10-10 2019-04-17 ASML Netherlands B.V. Metrology method
EP3474074A1 (en) 2017-10-17 2019-04-24 ASML Netherlands B.V. Scatterometer and method of scatterometry using acoustic radiation
EP3480659A1 (en) 2017-11-01 2019-05-08 ASML Netherlands B.V. Estimation of data in metrology
EP3499312A1 (en) 2017-12-15 2019-06-19 ASML Netherlands B.V. Metrology apparatus and a method of determining a characteristic of interest
WO2019091678A1 (en) 2017-11-07 2019-05-16 Asml Netherlands B.V. Metrology apparatus and a method of determining a characteristic of interest
US10473460B2 (en) * 2017-12-11 2019-11-12 Kla-Tencor Corporation Overlay measurements of overlapping target structures based on symmetry of scanning electron beam signals
WO2019129465A1 (en) 2017-12-28 2019-07-04 Asml Netherlands B.V. A metrology apparatus for and a method of determining a characteristic of interest of a structure on a substrate
EP3528048A1 (en) 2018-02-15 2019-08-21 ASML Netherlands B.V. A metrology apparatus for and a method of determining a characteristic of interest of a structure on a substrate
EP3514628A1 (en) 2018-01-18 2019-07-24 ASML Netherlands B.V. Method of measuring a target, and metrology apparatus
CN111615667A (zh) 2018-01-17 2020-09-01 Asml荷兰有限公司 测量目标的方法和量测设备
WO2019149586A1 (en) 2018-01-30 2019-08-08 Asml Netherlands B.V. Method of patterning at least a layer of a semiconductor device
EP3518040A1 (en) 2018-01-30 2019-07-31 ASML Netherlands B.V. A measurement apparatus and a method for determining a substrate grid
KR102547422B1 (ko) 2018-02-01 2023-06-22 삼성전자주식회사 이미징 장치, 이를 포함하는 이미징 시스템, 이미징 장치 및 시스템을 이용한 이미징 방법, 이미징 장치 및 시스템을 이용한 반도체 장치의 제조 방법
EP3521930A1 (en) * 2018-02-02 2019-08-07 ASML Netherlands B.V. Method of optimizing a metrology process
KR102544707B1 (ko) 2018-02-27 2023-06-16 에이에스엠엘 네델란즈 비.브이. 기판 상의 하나 이상의 구조체의 특성을 결정하기 위한 계측 장치 및 방법
EP3531191A1 (en) 2018-02-27 2019-08-28 Stichting VU Metrology apparatus and method for determining a characteristic of one or more structures on a substrate
US10677588B2 (en) * 2018-04-09 2020-06-09 Kla-Tencor Corporation Localized telecentricity and focus optimization for overlay metrology
EP3570109A1 (en) 2018-05-14 2019-11-20 ASML Netherlands B.V. Illumination source for an inspection apparatus, inspection apparatus and inspection method
EP3575874A1 (en) * 2018-05-29 2019-12-04 ASML Netherlands B.V. Metrology method, apparatus and computer program
WO2019233738A1 (en) 2018-06-08 2019-12-12 Asml Netherlands B.V. Metrology apparatus and method for determining a characteristic of one or more structures on a substrate
EP3579052A1 (en) 2018-06-08 2019-12-11 ASML Netherlands B.V. Metrology apparatus and method for determining a characteristic of one or more structures on a substrate
EP3614207A1 (en) 2018-08-21 2020-02-26 ASML Netherlands B.V. Metrology apparatus
CN112262345B (zh) 2018-06-13 2024-03-12 Asml荷兰有限公司 量测设备
EP3582009A1 (en) 2018-06-15 2019-12-18 ASML Netherlands B.V. Reflector and method of manufacturing a reflector
CN108897196A (zh) * 2018-07-11 2018-11-27 中国科学院微电子研究所 基于衍射的套刻误差测量中测量波长的选择方法
NL2021852A (en) 2018-08-01 2018-11-09 Asml Netherlands Bv Metrology apparatus and method for determining a characteristic of one or more structures on a substrate
EP3605230A1 (en) 2018-08-01 2020-02-05 Stichting VU Metrology apparatus and method for determining a characteristic of one or more structures on a substrate
EP3611569A1 (en) 2018-08-16 2020-02-19 ASML Netherlands B.V. Metrology apparatus and photonic crystal fiber
WO2020038642A1 (en) 2018-08-22 2020-02-27 Asml Netherlands B.V. Metrology apparatus
WO2020046408A1 (en) * 2018-08-28 2020-03-05 Kla-Tencor Corporation Off-axis illumination overlay measurement using two-diffracted orders imaging
US10809629B2 (en) * 2018-08-31 2020-10-20 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for computing feature kernels for optical model simulation
WO2020048692A1 (en) 2018-09-04 2020-03-12 Asml Netherlands B.V. Metrology apparatus
EP3620857A1 (en) 2018-09-04 2020-03-11 ASML Netherlands B.V. Metrology apparatus
EP3623868A1 (en) 2018-09-12 2020-03-18 ASML Netherlands B.V. Metrology apparatus and method for determining a characteristic of one or more structures on a substrate
EP3627226A1 (en) 2018-09-20 2020-03-25 ASML Netherlands B.V. Optical system, metrology apparatus and associated method
EP3629086A1 (en) 2018-09-25 2020-04-01 ASML Netherlands B.V. Method and apparatus for determining a radiation beam intensity profile
US11087065B2 (en) 2018-09-26 2021-08-10 Asml Netherlands B.V. Method of manufacturing devices
EP3629087A1 (en) 2018-09-26 2020-04-01 ASML Netherlands B.V. Method of manufacturing devices
SG11202103803QA (en) 2018-10-24 2021-05-28 Asml Netherlands Bv Optical fibers and production methods therefor
EP3647874A1 (en) 2018-11-05 2020-05-06 ASML Netherlands B.V. Optical fibers and production methods therefor
EP3650941A1 (en) 2018-11-12 2020-05-13 ASML Netherlands B.V. Method of determining the contribution of a processing apparatus to a substrate parameter
WO2020099050A1 (en) 2018-11-16 2020-05-22 Asml Netherlands B.V. Method for monitoring lithographic apparatus
EP3654104A1 (en) 2018-11-16 2020-05-20 ASML Netherlands B.V. Method for monitoring lithographic apparatus
CN113168115A (zh) 2018-12-03 2021-07-23 Asml荷兰有限公司 制造器件的方法
EP3663855A1 (en) * 2018-12-04 2020-06-10 ASML Netherlands B.V. Sem fov fingerprint in stochastic epe and placement measurements in large fov sem devices
US11410290B2 (en) * 2019-01-02 2022-08-09 Kla Corporation Machine learning for metrology measurements
EP3696606A1 (en) 2019-02-15 2020-08-19 ASML Netherlands B.V. A metrology apparatus with radiation source having multiple broadband outputs
EP3703114A1 (en) 2019-02-26 2020-09-02 ASML Netherlands B.V. Reflector manufacturing method and associated reflector
EP3702840A1 (en) 2019-03-01 2020-09-02 ASML Netherlands B.V. Alignment method and associated metrology device
EP3705942A1 (en) 2019-03-04 2020-09-09 ASML Netherlands B.V. Hollow-core photonic crystal fiber based optical component for broadband radiation generation
EP3705945A1 (en) 2019-03-08 2020-09-09 ASML Netherlands B.V. Methods and apparatus for estimating substrate shape
CN111716346B (zh) * 2019-03-20 2021-09-17 台达电子工业股份有限公司 机械手臂工具校正方法及其校正装置
CN116643348A (zh) 2019-03-25 2023-08-25 Asml荷兰有限公司 频率拓宽装置和方法
EP3715944A1 (en) 2019-03-25 2020-09-30 ASML Netherlands B.V. Frequency broadening apparatus and method
WO2020200637A1 (en) 2019-04-03 2020-10-08 Asml Netherlands B.V. Optical fiber
EP3719551A1 (en) 2019-04-03 2020-10-07 ASML Netherlands B.V. Optical fiber
EP3739389A1 (en) 2019-05-17 2020-11-18 ASML Netherlands B.V. Metrology tools comprising aplanatic objective singlet
EP3751342A1 (en) 2019-06-13 2020-12-16 Stichting VU Metrology method and method for training a data structure for use in metrology
US20220299888A1 (en) 2019-06-17 2022-09-22 Asml Netherlands B.V. Metrology method and apparatus for of determining a complex-valued field
EP3754427A1 (en) 2019-06-17 2020-12-23 ASML Netherlands B.V. Metrology method and apparatus for of determining a complex-valued field
EP3767347A1 (en) 2019-07-17 2021-01-20 ASML Netherlands B.V. Mounted hollow-core fibre arrangement
WO2020254138A1 (en) 2019-06-21 2020-12-24 Asml Netherlands B.V. Mounted hollow-core fibre arrangement
EP3758168A1 (en) 2019-06-25 2020-12-30 ASML Netherlands B.V. Hollow-core photonic crystal fiber based optical component for broadband radiation generation
KR20220016960A (ko) * 2019-07-03 2022-02-10 에이에스엠엘 네델란즈 비.브이. 반도체 제조 공정에서 증착 모델을 적용하는 방법
CN114008530A (zh) 2019-07-16 2022-02-01 Asml荷兰有限公司 光源及控制方法;用于测量应用的装置和方法
EP3786712A1 (en) 2019-08-28 2021-03-03 ASML Netherlands B.V. Light sources and methods of controlling; devices and methods for use in measurement applications
EP3767375A1 (en) 2019-07-19 2021-01-20 ASML Netherlands B.V. A light source and a method for use in metrology applications
EP3611567A3 (en) 2019-07-23 2020-05-13 ASML Netherlands B.V. Improvements in metrology targets
EP3796080A1 (en) 2019-09-18 2021-03-24 ASML Netherlands B.V. Radiation source
KR20220024908A (ko) 2019-07-24 2022-03-03 에이에스엠엘 네델란즈 비.브이. 방사선 소스
EP3783439A1 (en) 2019-08-22 2021-02-24 ASML Netherlands B.V. Metrology device and detection apparatus therefor
EP3786700A1 (en) 2019-08-29 2021-03-03 ASML Netherlands B.V. End facet protection for a light source and a method for use in metrology applications
KR20220039793A (ko) 2019-08-29 2022-03-29 에이에스엠엘 네델란즈 비.브이. 광원에 대한 단부 패싯 보호 및 계측 응용들에서 사용하기 위한 방법
EP3812836A1 (en) 2019-10-21 2021-04-28 ASML Netherlands B.V. End facet protection for a light source and a method for use in metrology applications
EP3786713A1 (en) 2019-09-02 2021-03-03 ASML Netherlands B.V. Metrology method and device for determining a complex-valued field
WO2021043593A1 (en) 2019-09-02 2021-03-11 Asml Netherlands B.V. Mode control of photonic crystal fiber based broadband light sources
EP3786702A1 (en) 2019-09-02 2021-03-03 ASML Netherlands B.V. Mode control of photonic crystal fiber based broadband light sources
CN114303102A (zh) 2019-09-03 2022-04-08 Asml荷兰有限公司 用于准直宽带辐射的组件
EP3792673A1 (en) 2019-09-16 2021-03-17 ASML Netherlands B.V. Assembly for collimating broadband radiation
WO2021043952A1 (en) 2019-09-05 2021-03-11 Asml Netherlands B.V. An improved high harmonic generation apparatus
EP3790364A1 (en) 2019-09-05 2021-03-10 ASML Netherlands B.V. An improved high harmonic generation apparatus
CN114514465A (zh) 2019-09-18 2022-05-17 Asml荷兰有限公司 中空芯部光纤中的改进的宽带辐射生成
EP3796089A1 (en) 2019-09-18 2021-03-24 ASML Holding N.V. A method for filtering an image and associated metrology apparatus
EP3805857A1 (en) 2019-10-09 2021-04-14 ASML Netherlands B.V. Improved broadband radiation generation in hollow-core fibres
EP3809190A1 (en) 2019-10-14 2021-04-21 ASML Netherlands B.V. Method and apparatus for coherence scrambling in metrology applications
KR20220057590A (ko) 2019-10-17 2022-05-09 에이에스엠엘 네델란즈 비.브이. 조명 소스 및 관련 계측 장치
EP3839621A1 (en) 2019-12-16 2021-06-23 ASML Netherlands B.V. An illumination source and associated metrology apparatus
EP3839586A1 (en) 2019-12-18 2021-06-23 ASML Netherlands B.V. Hollow-core photonic crystal fiber based optical component for broadband radiation generation
EP3812807B1 (en) 2019-10-24 2024-04-10 ASML Netherlands B.V. Hollow-core photonic crystal fiber based optical component for broadband radiation generation
EP3816721A1 (en) 2019-10-29 2021-05-05 ASML Netherlands B.V. Method and apparatus for efficient high harmonic generation
KR20220066963A (ko) 2019-11-05 2022-05-24 에이에스엠엘 네델란즈 비.브이. 측정 방법 및 측정 장치
EP3869270A1 (en) 2020-02-18 2021-08-25 ASML Netherlands B.V. Assemblies and methods for guiding radiation
EP4053086A1 (en) 2019-11-07 2022-09-07 ASML Netherlands B.V. Method of manufacture of a capillary for a hollow-core photonic crystal fiber
EP3819266A1 (en) 2019-11-07 2021-05-12 ASML Netherlands B.V. Method of manufacture of a capillary for a hollow-core photonic crystal fiber
CN114766012A (zh) 2019-11-29 2022-07-19 Asml荷兰有限公司 用参数化模型预测过程信息的方法和系统
EP3828632A1 (en) 2019-11-29 2021-06-02 ASML Netherlands B.V. Method and system for predicting electric field images with a parameterized model
KR20220101695A (ko) 2019-12-17 2022-07-19 에이에스엠엘 네델란즈 비.브이. 암시야 디지털 홀로그래픽 현미경 및 관련된 계측 방법
EP3839635A1 (en) 2019-12-17 2021-06-23 ASML Netherlands B.V. Dark field digital holographic microscope and associated metrology method
CN114902139A (zh) 2019-12-18 2022-08-12 Asml荷兰有限公司 用于校正集成电路和关联设备的制造中的测量值的方法
EP3851915A1 (en) 2020-01-14 2021-07-21 ASML Netherlands B.V. Method for correcting measurements in the manufacture of integrated circuits and associated apparatuses
WO2021123135A1 (en) 2019-12-19 2021-06-24 Asml Netherlands B.V. Scatterometer and method of scatterometry using acoustic radiation
DK3851904T3 (da) 2020-01-15 2023-02-27 Asml Netherlands Bv Fremgangsmåde, anordning og apparat til forbedret styring af bredbåndsstrålingsgenerering
EP3865931A1 (en) 2020-02-12 2021-08-18 ASML Netherlands B.V. Method, assembly, and apparatus for improved control of broadband radiation generation
EP3876037A1 (en) 2020-03-06 2021-09-08 ASML Netherlands B.V. Metrology method and device for measuring a periodic structure on a substrate
KR20220122743A (ko) 2020-01-29 2022-09-02 에이에스엠엘 네델란즈 비.브이. 기판 상의 주기적 구조체를 측정하는 메트롤로지 방법 및 디바이스
CN115023654A (zh) 2020-02-07 2022-09-06 Asml荷兰有限公司 工作台系统、工作台系统操作方法、检查工具、光刻设备、校准方法和装置制造方法
EP3872567A1 (en) 2020-02-25 2021-09-01 ASML Netherlands B.V. Systems and methods for process metric aware process control
EP3876036A1 (en) 2020-03-04 2021-09-08 ASML Netherlands B.V. Vibration isolation system and associated applications in lithography
EP3879343A1 (en) 2020-03-11 2021-09-15 ASML Netherlands B.V. Metrology measurement method and apparatus
EP3889681A1 (en) 2020-03-31 2021-10-06 ASML Netherlands B.V. An assembly including a non-linear element and a method of use thereof
EP3913429A1 (en) 2020-05-19 2021-11-24 ASML Netherlands B.V. A supercontinuum radiation source and associated metrology devices
IL299122A (en) 2020-07-08 2023-02-01 Asml Netherlands Bv Broadband radiation generator based on hollow fibers with extended fiber life
EP3936936A1 (en) 2020-07-08 2022-01-12 ASML Netherlands B.V. Hollow-core photonic crystal fiber based broadband radiation generator with extended fiber lifetime
EP3945548A1 (en) 2020-07-30 2022-02-02 ASML Netherlands B.V. Method for classifying semiconductor wafers
CN116134972A (zh) 2020-07-21 2023-05-16 Asml荷兰有限公司 照射源和相关联的量测设备
EP3962241A1 (en) 2020-08-26 2022-03-02 ASML Netherlands B.V. An illumination source and associated metrology apparatus
US20230273502A1 (en) 2020-08-03 2023-08-31 Asml Netherlands B.V. Method for generating broadband radiation and associated broadband source and metrology device
EP3974899A1 (en) 2020-09-28 2022-03-30 ASML Netherlands B.V. Method for generating broadband radiation and associated broadband source and metrology device
EP4001976A1 (en) 2020-11-13 2022-05-25 ASML Netherlands B.V. Hollow core fiber light source and a method for manufacturing a hollow core fiber
WO2022028812A1 (en) 2020-08-06 2022-02-10 Asml Netherlands B.V. Hollow core fiber light source and a method for manufacturing a hollow core fiber
EP3961303A1 (en) 2020-08-27 2022-03-02 ASML Netherlands B.V. Method and apparatus for identifying contamination in a semiconductor fab
WO2022033793A1 (en) 2020-08-11 2022-02-17 Asml Netherlands B.V. Method and apparatus for identifying contamination in a semiconductor fab
EP3958052A1 (en) 2020-08-20 2022-02-23 ASML Netherlands B.V. Metrology method for measuring an exposed pattern and associated metrology apparatus
EP3961304A1 (en) 2020-08-31 2022-03-02 ASML Netherlands B.V. Mapping metrics between manufacturing systems
EP3964809A1 (en) 2020-09-02 2022-03-09 Stichting VU Wavefront metrology sensor and mask therefor, method for optimizing a mask and associated apparatuses
EP3964892A1 (en) 2020-09-02 2022-03-09 Stichting VU Illumination arrangement and associated dark field digital holographic microscope
EP3988996A1 (en) 2020-10-20 2022-04-27 ASML Netherlands B.V. Hollow-core photonic crystal fiber based broadband radiation generator
EP3964888A1 (en) 2020-09-03 2022-03-09 ASML Netherlands B.V. Hollow-core photonic crystal fiber based broadband radiation generator
EP3968090A1 (en) 2020-09-11 2022-03-16 ASML Netherlands B.V. Radiation source arrangement and metrology device
EP3978964A1 (en) 2020-10-01 2022-04-06 ASML Netherlands B.V. Achromatic optical relay arrangement
EP4002015A1 (en) 2020-11-16 2022-05-25 ASML Netherlands B.V. Dark field digital holographic microscope and associated metrology method
EP4006640A1 (en) 2020-11-26 2022-06-01 Stichting Nederlandse Wetenschappelijk Onderzoek Instituten Metrology apparatus and metrology methods based on high harmonic generation from a diffractive structure
EP4006641A1 (en) 2020-11-30 2022-06-01 Stichting Nederlandse Wetenschappelijk Onderzoek Instituten Metrology apparatus based on high harmonic generation and associated method
KR20230110738A (ko) 2020-11-30 2023-07-25 에이에스엠엘 네델란즈 비.브이. 고차 고조파 생성에 기반한 계측 장치 및 관련 방법
EP4009107A1 (en) 2020-12-01 2022-06-08 ASML Netherlands B.V. Method and apparatus for imaging nonstationary object
EP4012494A1 (en) 2020-12-10 2022-06-15 ASML Netherlands B.V. Hollow-core photonic crystal fiber based broadband radiation generator
EP4012492A1 (en) 2020-12-10 2022-06-15 ASML Netherlands B.V. Hollow-core photonic crystal fiber based broadband radiation generator
EP4017221A1 (en) 2020-12-21 2022-06-22 ASML Netherlands B.V. Methods and apparatus for controlling electron density distributions
WO2022135823A1 (en) 2020-12-23 2022-06-30 Asml Netherlands B.V. Methods and apparatus for providing a broadband light source
EP4030230A1 (en) 2021-01-18 2022-07-20 ASML Netherlands B.V. Methods and apparatus for providing a broadband light source
EP4075340A1 (en) 2021-04-15 2022-10-19 ASML Netherlands B.V. Modular autoencoder model for manufacturing process parameter estimation
EP4075339A1 (en) 2021-04-15 2022-10-19 ASML Netherlands B.V. Modular autoencoder model for manufacturing process parameter estimation
EP4075341A1 (en) 2021-04-18 2022-10-19 ASML Netherlands B.V. Modular autoencoder model for manufacturing process parameter estimation
US20240061347A1 (en) 2020-12-30 2024-02-22 Asml Netherlands B.V. Modular autoencoder model for manufacturing process parameter estimation
EP4036619A1 (en) 2021-01-27 2022-08-03 ASML Netherlands B.V. Hollow-core photonic crystal fiber
WO2022161703A1 (en) 2021-01-27 2022-08-04 Asml Netherlands B.V. Hollow-core photonic crystal fiber
KR20230133870A (ko) 2021-02-04 2023-09-19 에이에스엠엘 네델란즈 비.브이. 광학 펄스를 공간적으로 필터링하기 위한 방법 및 장치
EP4067968A1 (en) 2021-03-29 2022-10-05 ASML Netherlands B.V. Methods and apparatuses for spatially filtering optical pulses
EP4295187A1 (en) 2021-02-17 2023-12-27 ASML Netherlands B.V. Assembly for separating radiation in the far field
EP4047400A1 (en) 2021-02-17 2022-08-24 ASML Netherlands B.V. Assembly for separating radiation in the far field
EP4057069A1 (en) 2021-03-11 2022-09-14 ASML Netherlands B.V. Methods and apparatus for characterizing a semiconductor manufacturing process
EP4060408A1 (en) 2021-03-16 2022-09-21 ASML Netherlands B.V. Method and system for predicting process information with a parameterized model
EP4086698A1 (en) 2021-05-06 2022-11-09 ASML Netherlands B.V. Hollow-core optical fiber based radiation source
EP4060403A1 (en) 2021-03-16 2022-09-21 ASML Netherlands B.V. Hollow-core photonic crystal fiber based multiple wavelength light source device
WO2022194477A1 (en) 2021-03-16 2022-09-22 Asml Netherlands B.V. Hollow-core optical fiber based radiation source
WO2022200014A1 (en) 2021-03-22 2022-09-29 Asml Netherlands B.V. Digital holographic microscope and associated metrology method
EP4063971A1 (en) 2021-03-22 2022-09-28 ASML Netherlands B.V. Digital holographic microscope and associated metrology method
JP2024514054A (ja) 2021-04-19 2024-03-28 エーエスエムエル ネザーランズ ビー.ブイ. メトロロジツール較正方法及び関連するメトロロジツール
EP4080284A1 (en) 2021-04-19 2022-10-26 ASML Netherlands B.V. Metrology tool calibration method and associated metrology tool
WO2022228820A1 (en) 2021-04-26 2022-11-03 Asml Netherlands B.V. A cleaning method and associated illumination source metrology apparatus
EP4170421A1 (en) 2021-10-25 2023-04-26 ASML Netherlands B.V. A cleaning method and associated illumination source metrology apparatus
WO2022233547A1 (en) 2021-05-03 2022-11-10 Asml Netherlands B.V. Optical element for generation of broadband radiation
EP4105696A1 (en) 2021-06-15 2022-12-21 ASML Netherlands B.V. Optical element for generation of broadband radiation
EP4089484A1 (en) 2021-05-12 2022-11-16 ASML Netherlands B.V. System and method to ensure parameter measurement matching across metrology tools
IL308370A (en) 2021-05-31 2024-01-01 Asml Netherlands Bv Metrological measurement method and device
EP4187321A1 (en) 2021-11-24 2023-05-31 ASML Netherlands B.V. Metrology method and associated metrology tool
IL308338A (en) 2021-05-31 2024-01-01 Asml Netherlands Bv Metrology method and integrated metrology tool
WO2022263102A1 (en) 2021-06-14 2022-12-22 Asml Netherlands B.V. An illumination source and associated method apparatus
EP4134734A1 (en) 2021-08-11 2023-02-15 ASML Netherlands B.V. An illumination source and associated method apparatus
IL308972A (en) 2021-06-18 2024-01-01 Asml Netherlands Bv Metrology method and instrument
EP4124909A1 (en) 2021-07-28 2023-02-01 ASML Netherlands B.V. Metrology method and device
EP4112572A1 (en) 2021-06-28 2023-01-04 ASML Netherlands B.V. Method of producing photonic crystal fibers
EP4130880A1 (en) 2021-08-03 2023-02-08 ASML Netherlands B.V. Methods of data mapping for low dimensional data analysis
KR20240035804A (ko) 2021-07-20 2024-03-18 에이에스엠엘 네델란즈 비.브이. 저차원 데이터 분석을 위한 데이터 매핑 방법 및 프로그램
US11854854B2 (en) * 2021-07-23 2023-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method for calibrating alignment of wafer and lithography system
EP4124911A1 (en) 2021-07-29 2023-02-01 ASML Netherlands B.V. Metrology method and metrology device
KR20240036031A (ko) 2021-07-23 2024-03-19 에이에스엠엘 네델란즈 비.브이. 계측 방법 및 계측 디바이스
IL309622A (en) 2021-08-25 2024-02-01 Asml Netherlands Bv Improving broadband radiation generation in photonic crystal or nonlinear fibers
EP4163715A1 (en) 2021-10-05 2023-04-12 ASML Netherlands B.V. Improved broadband radiation generation in photonic crystal or highly non-linear fibres
EP4184426A1 (en) 2021-11-22 2023-05-24 ASML Netherlands B.V. Metrology method and device
IL310977A (en) 2021-09-14 2024-04-01 Asml Netherlands Bv Metrology method and instrument
EP4170430A1 (en) 2021-10-25 2023-04-26 ASML Netherlands B.V. Metrology apparatus and metrology methods based on high harmonic generation from a diffractive structure
EP4174568A1 (en) 2021-11-01 2023-05-03 ASML Netherlands B.V. Hollow-core photonic crystal fiber based broadband radiation generator
EP4174567A1 (en) 2021-11-02 2023-05-03 ASML Netherlands B.V. Hollow-core photonic crystal fiber based broadband radiation generator
WO2023078619A1 (en) 2021-11-02 2023-05-11 Asml Netherlands B.V. Hollow-core photonic crystal fiber based broadband radiation generator
EP4181018A1 (en) 2021-11-12 2023-05-17 ASML Netherlands B.V. Latent space synchronization of machine learning models for in-device metrology inference
EP4184250A1 (en) 2021-11-23 2023-05-24 ASML Netherlands B.V. Obtaining a parameter characterizing a fabrication process
WO2023110907A1 (en) 2021-12-17 2023-06-22 Asml Netherlands B.V. Overlay metrology based on template matching with adaptive weighting
WO2023110318A1 (en) 2021-12-17 2023-06-22 Asml Netherlands B.V. Machine learning model for asymmetry-induced overlay error correction
WO2023151973A1 (en) 2022-02-10 2023-08-17 Asml Netherlands B.V. Systems and methods for generating sem-quality metrology data from optical metrology data using machine learning
EP4231090A1 (en) 2022-02-17 2023-08-23 ASML Netherlands B.V. A supercontinuum radiation source and associated metrology devices
WO2023160924A1 (en) 2022-02-22 2023-08-31 Asml Netherlands B.V. Method and apparatus for reflecting pulsed radiation
EP4250010A1 (en) 2022-03-25 2023-09-27 ASML Netherlands B.V. Apparatus and methods for filtering measurement radiation
WO2023165783A1 (en) 2022-03-01 2023-09-07 Asml Netherlands B.V. Apparatus and methods for filtering measurement radiation
EP4242744A1 (en) 2022-03-09 2023-09-13 ASML Netherlands B.V. Method for correcting measurements in the manufacture of integrated circuits and associated apparatuses
EP4246232A1 (en) 2022-03-18 2023-09-20 Stichting VU Illumination arrangement for a metrology device and associated method
WO2023174648A1 (en) 2022-03-18 2023-09-21 Stichting Vu Illumination arrangement for a metrology device and associated method
EP4246231A1 (en) 2022-03-18 2023-09-20 Stichting VU A method for determining a vertical position of a structure on a substrate and associated apparatuses
EP4254266A1 (en) 2022-03-29 2023-10-04 ASML Netherlands B.V. Methods related to an autoencoder model or similar for manufacturing process parameter estimation
WO2023194036A1 (en) 2022-04-05 2023-10-12 Asml Netherlands B.V. Imaging method and metrology device
EP4296780A1 (en) 2022-06-24 2023-12-27 ASML Netherlands B.V. Imaging method and metrology device
WO2023194049A1 (en) 2022-04-08 2023-10-12 Asml Netherlands B.V. Hollow-core optical fiber based radiation source
EP4273622A1 (en) 2022-05-02 2023-11-08 ASML Netherlands B.V. Hollow-core optical fiber based radiation source
EP4261618A1 (en) 2022-04-14 2023-10-18 ASML Netherlands B.V. A method of determining a correction for control of a lithography and/or metrology process, and associated devices
EP4279993A1 (en) 2022-05-18 2023-11-22 ASML Netherlands B.V. Source selection module and associated metrology apparatus
WO2023208487A1 (en) 2022-04-25 2023-11-02 Asml Netherlands B.V. Source selection module and associated metrology apparatus
EP4276537A1 (en) 2022-05-09 2023-11-15 ASML Netherlands B.V. Illumination mode selector and associated optical metrology tool
WO2023213527A1 (en) 2022-05-03 2023-11-09 Asml Netherlands B.V. Illumination mode selector and associated optical metrology tool
WO2023222342A1 (en) 2022-05-20 2023-11-23 Asml Netherlands B.V. Measurement of fabrication parameters based on moiré interference pattern components
WO2023222328A1 (en) 2022-05-20 2023-11-23 Asml Netherlands B.V. Illumination module and associated methods and metrology apparatus
EP4279994A1 (en) 2022-05-20 2023-11-22 ASML Netherlands B.V. Illumination module and associated methods and metrology apparatus
WO2023222349A1 (en) 2022-05-20 2023-11-23 Asml Netherlands B.V. Single pad overlay measurement
EP4303655A1 (en) 2022-07-04 2024-01-10 ASML Netherlands B.V. A membrane and associated method and apparatus
WO2023232408A1 (en) 2022-05-31 2023-12-07 Asml Netherlands B.V. A membrane and associated method and apparatus
WO2023232397A1 (en) 2022-06-02 2023-12-07 Asml Netherlands B.V. Method for aligning an illumination-detection system of a metrology device and associated metrology device
EP4328670A1 (en) 2022-08-23 2024-02-28 ASML Netherlands B.V. Method for parameter reconstruction of a metrology device and associated metrology device
WO2023232478A1 (en) 2022-06-02 2023-12-07 Asml Netherlands B.V. Method for parameter reconstruction of a metrology device and associated metrology device
EP4296779A1 (en) 2022-06-21 2023-12-27 ASML Netherlands B.V. Method for aligning an illumination-detection system of a metrology device and associated metrology device
EP4289798A1 (en) 2022-06-07 2023-12-13 ASML Netherlands B.V. Method of producing photonic crystal fibers
EP4300183A1 (en) 2022-06-30 2024-01-03 ASML Netherlands B.V. Apparatus for broadband radiation generation
EP4312079A1 (en) 2022-07-29 2024-01-31 ASML Netherlands B.V. Methods of mitigating crosstalk in metrology images
EP4312005A1 (en) 2022-07-29 2024-01-31 Stichting VU Method and apparatuses for fourier transform spectrometry
EP4318131A1 (en) 2022-08-01 2024-02-07 ASML Netherlands B.V. Sensor module, illuminator, metrology device and associated metrology method
WO2024033036A1 (en) 2022-08-08 2024-02-15 Asml Netherlands B.V. Metrology method and associated metrology device
WO2024033005A1 (en) 2022-08-09 2024-02-15 Asml Netherlands B.V. Inference model training
EP4321933A1 (en) 2022-08-09 2024-02-14 ASML Netherlands B.V. A radiation source
WO2024033035A1 (en) 2022-08-10 2024-02-15 Asml Netherlands B.V. Metrology method and associated metrology device
EP4332678A1 (en) 2022-09-05 2024-03-06 ASML Netherlands B.V. Holographic metrology apparatus and method
WO2024052012A1 (en) 2022-09-07 2024-03-14 Asml Netherlands B.V. Metrology method and associated metrology device
EP4336262A1 (en) 2022-09-07 2024-03-13 ASML Netherlands B.V. Metrology method and associated metrology device
EP4336251A1 (en) 2022-09-12 2024-03-13 ASML Netherlands B.V. A multi-pass radiation device
WO2024056296A1 (en) 2022-09-13 2024-03-21 Asml Netherlands B.V. Metrology method and associated metrology device
EP4354200A1 (en) 2022-10-11 2024-04-17 ASML Netherlands B.V. An aberration correction optical system
EP4354224A1 (en) 2022-10-11 2024-04-17 ASML Netherlands B.V. Method for operating a detection system of a metrology device and associated metrology device
WO2024078813A1 (en) 2022-10-11 2024-04-18 Asml Netherlands B.V. An aberration correction optical system
EP4357853A1 (en) 2022-10-17 2024-04-24 ASML Netherlands B.V. Apparatus and methods for filtering measurement radiation
KR102655300B1 (ko) * 2023-08-11 2024-04-05 (주)오로스 테크놀로지 오버레이 계측 장치의 보정 방법 및 오버레이 계측 장치의 보정 시스템

Family Cites Families (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6673638B1 (en) 2001-11-14 2004-01-06 Kla-Tencor Corporation Method and apparatus for the production of process sensitive lithographic features
US7352453B2 (en) * 2003-01-17 2008-04-01 Kla-Tencor Technologies Corporation Method for process optimization and control by comparison between 2 or more measured scatterometry signals
US7112890B2 (en) 2003-10-30 2006-09-26 Asml Holding N.V. Tunable alignment geometry
US7791727B2 (en) 2004-08-16 2010-09-07 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
CN102662309B (zh) 2005-09-09 2014-10-01 Asml荷兰有限公司 采用独立掩模误差模型的掩模验证系统和方法
US7616313B2 (en) * 2006-03-31 2009-11-10 Kla-Tencor Technologies Corporation Apparatus and methods for detecting overlay errors using scatterometry
NL1036245A1 (nl) 2007-12-17 2009-06-18 Asml Netherlands Bv Diffraction based overlay metrology tool and method of diffraction based overlay metrology.
JP2009164296A (ja) * 2007-12-28 2009-07-23 Canon Inc 露光装置およびデバイス製造方法
NL1036597A1 (nl) 2008-02-29 2009-09-01 Asml Netherlands Bv Metrology method and apparatus, lithographic apparatus, and device manufacturing method.
NL2003890A (en) * 2008-12-16 2010-06-17 Asml Netherlands Bv Calibration method, inspection method and apparatus, lithographic apparatus, and lithographic processing cell.
CN102498441B (zh) 2009-07-31 2015-09-16 Asml荷兰有限公司 量测方法和设备、光刻系统以及光刻处理单元
JP2013502592A (ja) * 2009-08-24 2013-01-24 エーエスエムエル ネザーランズ ビー.ブイ. メトロロジ方法および装置、リソグラフィ装置、リソグラフィプロセシングセル、およびメトロロジターゲットを備える基板
KR101793538B1 (ko) 2010-07-19 2017-11-03 에이에스엠엘 네델란즈 비.브이. 오버레이 오차를 결정하는 장치 및 방법
WO2012062501A1 (en) 2010-11-12 2012-05-18 Asml Netherlands B.V. Metrology method and apparatus, and device manufacturing method
CN102540734A (zh) * 2010-12-08 2012-07-04 无锡华润上华科技有限公司 套刻测试方法
NL2009079A (en) * 2011-08-23 2013-02-27 Asml Netherlands Bv Metrology method and apparatus, and device manufacturing method.
NL2009294A (en) * 2011-08-30 2013-03-04 Asml Netherlands Bv Method and apparatus for determining an overlay error.
US9163935B2 (en) 2011-12-12 2015-10-20 Asml Netherlands B.V. Device manufacturing method and associated lithographic apparatus, inspection apparatus, and lithographic processing cell
WO2013143814A1 (en) 2012-03-27 2013-10-03 Asml Netherlands B.V. Metrology method and apparatus, lithographic system and device manufacturing method
NL2010717A (en) * 2012-05-21 2013-11-25 Asml Netherlands Bv Determining a structural parameter and correcting an asymmetry property.
JP6133980B2 (ja) 2012-07-05 2017-05-24 エーエスエムエル ネザーランズ ビー.ブイ. リソグラフィのためのメトロロジ
US9093458B2 (en) * 2012-09-06 2015-07-28 Kla-Tencor Corporation Device correlated metrology (DCM) for OVL with embedded SEM structure overlay targets
NL2011726A (en) * 2012-11-05 2014-05-08 Asml Netherlands Bv Method and apparatus for measuring asymmetry of a microstructure, position measuring method, position measuring apparatus, lithographic apparatus and device manufacturing method.
CN108398856B (zh) 2013-08-07 2020-10-16 Asml荷兰有限公司 量测方法和设备、光刻系统和器件制造方法
US10042268B2 (en) 2013-11-26 2018-08-07 Asml Netherlands B.V. Method, apparatus and substrates for lithographic metrology
CN106462076B (zh) 2014-06-02 2018-06-22 Asml荷兰有限公司 设计度量目标的方法、具有度量目标的衬底、测量重叠的方法、以及器件制造方法
SG11201703585RA (en) 2014-11-25 2017-06-29 Kla Tencor Corp Analyzing and utilizing landscapes
WO2016083076A1 (en) * 2014-11-26 2016-06-02 Asml Netherlands B.V. Metrology method, computer product and system
EP3358413A1 (en) * 2017-02-02 2018-08-08 ASML Netherlands B.V. Metrology method, apparatus and computer program

Also Published As

Publication number Publication date
US20200301290A1 (en) 2020-09-24
JP2017537352A (ja) 2017-12-14
US20160161863A1 (en) 2016-06-09
CN107111250B (zh) 2019-10-11
TW201736981A (zh) 2017-10-16
IL290735B (en) 2022-11-01
IL297220A (en) 2022-12-01
CN110553602B (zh) 2021-10-26
US10698322B2 (en) 2020-06-30
IL252377A0 (en) 2017-07-31
US20200103762A1 (en) 2020-04-02
KR102294349B1 (ko) 2021-08-26
EP3224676A1 (en) 2017-10-04
IL252377B (en) 2022-03-01
TW201631404A (zh) 2016-09-01
WO2016083076A1 (en) 2016-06-02
SG11201704036UA (en) 2017-06-29
KR20170085116A (ko) 2017-07-21
CN110553602A (zh) 2019-12-10
IL297220B1 (en) 2024-02-01
KR20210105446A (ko) 2021-08-26
JP6872593B2 (ja) 2021-05-19
KR102109059B1 (ko) 2020-05-12
KR20200050478A (ko) 2020-05-11
TWI576675B (zh) 2017-04-01
CN107111250A (zh) 2017-08-29
KR102355347B1 (ko) 2022-01-24
TW201835691A (zh) 2018-10-01
IL290735A (en) 2022-04-01
IL290735B2 (en) 2023-03-01
TWI700555B (zh) 2020-08-01
JP2020038384A (ja) 2020-03-12
US10527949B2 (en) 2020-01-07
US11640116B2 (en) 2023-05-02
TWI631431B (zh) 2018-08-01

Similar Documents

Publication Publication Date Title
JP6872593B2 (ja) 計測方法、コンピュータ製品およびシステム
US10379445B2 (en) Metrology method, target and substrate
US11106142B2 (en) Metrology recipe selection
JP6524256B2 (ja) メトロロジ方法及び装置、コンピュータプログラム、並びにリソグラフィシステム
NL2017466A (en) Metrology method, target and substrate
JP2018507438A (ja) メトロロジの方法及び装置、コンピュータプログラム、並びにリソグラフィシステム
JP2020507800A (ja) メトロロジ方法、装置、及びコンピュータプログラム
KR102395062B1 (ko) 공정 계측 방법
EP3299890A1 (en) Metrology recipe selection

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20170725

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20180529

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20180703

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20190226

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20190523

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20191008

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20191107

R150 Certificate of patent or registration of utility model

Ref document number: 6616416

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250