JP7482910B2 - 半導体製造プロセスにおいて堆積モデルを適用する方法 - Google Patents

半導体製造プロセスにおいて堆積モデルを適用する方法 Download PDF

Info

Publication number
JP7482910B2
JP7482910B2 JP2021575253A JP2021575253A JP7482910B2 JP 7482910 B2 JP7482910 B2 JP 7482910B2 JP 2021575253 A JP2021575253 A JP 2021575253A JP 2021575253 A JP2021575253 A JP 2021575253A JP 7482910 B2 JP7482910 B2 JP 7482910B2
Authority
JP
Japan
Prior art keywords
deposition
profile
metrology target
substrate
target design
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2021575253A
Other languages
English (en)
Other versions
JP2022537741A (ja
Inventor
ピサレンコ,マキシム
デル シャール,マウリッツ ヴァン
チャン,フアイチェン
ラルー,マリエ-クレア ヴァン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASML Netherlands BV
Original Assignee
ASML Netherlands BV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASML Netherlands BV filed Critical ASML Netherlands BV
Publication of JP2022537741A publication Critical patent/JP2022537741A/ja
Application granted granted Critical
Publication of JP7482910B2 publication Critical patent/JP7482910B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70681Metrology strategies
    • G03F7/70683Mark designs
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • G03F9/70Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically for microlithography
    • G03F9/7073Alignment marks and their environment
    • G03F9/708Mark formation

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Description

[0001]
関連出願の相互参照
本願は、2019年7月3日付で出願された米国特許出願第62/870,432号の優先権を主張するものであり、この特許出願は、その全体が参照により本明細書に援用される。
本明細書の説明は、一般的にはマスク製造及びパターニングプロセスに関する。より具体的には、本説明は、堆積モデルを半導体製造プロセスにおいて適用することに関する。
[0003] リソグラフィ投影装置は、例えば、集積回路(IC)の製造において使用され得る。パターニングデバイス(例えば、マスク)は、ICの個々の層に対応するパターン(「設計レイアウト」)を含み得るか、又は提供することができ、このパターンは、パターニングデバイス上のパターンを通してターゲット部分を照射するなどの方法により、放射感応性材料(「レジスト」)の層でコートされた基板(例えば、シリコンウェーハ)上のターゲット部分(例えば、1つ又は複数のダイを含む)上に転写され得る。一般に、単一の基板は、パターンがリソグラフィ投影装置によって連続して転写される、複数の隣接するターゲット部分(一度に1つのターゲット部分)を含む。あるタイプのリソグラフィ投影装置においては、パターニングデバイス全体上のパターンが、一つの動作で1つのターゲット部分上に転写され、このような装置は、一般にステッパと呼ばれる。一般にステップアンドスキャン装置と呼ばれる代替装置では、投影ビームが、所与の基準方向(「スキャン」方向)にパターニングデバイスをスキャンすることに同期して、この基準方向に平行又は逆平行に基板を移動させる。パターニングデバイス上のパターンの異なる部分が、1つのターゲット部分に漸進的に転写される。一般的に、リソグラフィ投影装置は縮小率M(例えば、4)を有するので、基板を移動させる速度Fは、投影ビームがパターニングデバイスを走査する速度の1/M倍となる。本明細書に記載するようなリソグラフィ機器に関するさらなる情報を、例えば、本明細書に参照により組み込まれる米国特許第6,046,792号から収集することができる。
[0004] パターニングデバイスから基板にパターンを転写する前に、基板は、プライミング、レジストコーティング、及びソフトベークなどの様々なプロシージャを経てもよい。露光後に、基板は、ポストベーク(PEB)、現像、ハードベーク、及び転写されたパターンの測定/インスペクションなどの他のプロシージャ(「露光後プロシージャ」)を受けてもよい。この多数のプロシージャは、デバイス、例えばICの個々の層を作るための基礎として使用される。基板は、次に、エッチング、イオン注入(ドーピング)、メタライゼーション、酸化、堆積、化学機械研磨など(全て、デバイスの個々の層を仕上げることを意図したもの)の様々なプロセスを経てもよい。デバイスに幾つかの層が必要とされる場合、プロシージャ全体又はそれの異形が、各層に対して繰り返される。最終的に、基板上の各ターゲット部分にデバイスが存在する。これらのデバイスは、次に、個々のデバイスがキャリア上に取り付けられること、ピンに接続されることなどが可能なように、ダイシング又はソーイングなどの技術によって互いに分離される。
[0005] このように、半導体デバイスなどの製造デバイスは、一般的に、デバイスの様々なフィーチャ及び複数の層を形成するための多数の製作プロセスを用いて、基板(例えば半導体ウェーハ)を処理することを含む。このような層及びフィーチャは、一般的に、例えば、堆積、リソグラフィ、エッチング、堆積、化学機械研磨、及びイオン注入を用いて、製造及び処理される。複数のデバイスが、基板上の複数のダイ上で製作され、その後、個々のデバイスに分離されてもよい。このデバイス製造プロセスは、パターニングプロセスと見なすことができる。パターニングプロセスは、パターニングデバイス上のパターンを基板に転写するために、リソグラフィ装置においてパターニングデバイスを用いる光及び/又はナノインプリントリソグラフィなどのパターニングステップを含み、及び一般的に(但し任意選択的に)、現像装置によるレジスト現像、ベークツールを用いた基板のベーク、エッチング装置を用いたパターンを用いたエッチング、堆積などの、1つ又は複数の関連のパターン処理ステップを含む。
[0006] 上述の通り、リソグラフィは、基板上に形成されたパターンが、マイクロプロセッサ、メモリチップなどのデバイスの機能素子を定義する、ICなどのデバイスの製造における中心的ステップである。フラットパネルディスプレイ、微小電子機械システム(MEMS)、及び他のデバイスの形成においても、類似のリソグラフィ技術が使用される。
[0007] 半導体製造プロセスが進歩し続けるにつれて、機能素子の寸法は、継続的に小さくなっている一方で、一般に「ムーアの法則」と呼ばれる傾向に従って、1つのデバイス当たりのトランジスタなどの機能素子の数は、何十年にもわたり、着実に増加している。現在の技術状況では、デバイスの層は、深紫外線照明源からの照明を用いて、設計レイアウトを基板上に投影し、100nmをはるかに下回る(すなわち、照明源(例えば、193nm照明源)からの放射の波長の半分未満)寸法を有する個々の機能素子を生成するリソグラフィ投影装置を用いて製造される。
[0008] リソグラフィ投影装置の古典的限界解像度未満の寸法を持つフィーチャが印刷されるこのプロセスは、一般に、解像度式CD=k1×λ/NAによる低k1リソグラフィとして知られ、式中、λは、用いられた放射の波長(現在、ほとんどの場合、248nm又は193nm)であり、NAは、リソグラフィ投影装置における投影光学系の開口数であり、CDは、「クリティカルディメンジョン」(一般に、印刷される最小のフィーチャサイズ)であり、及びk1は、経験的解像度係数である。一般に、k1が小さいほど、特定の電気的機能性及び性能を達成するために設計者によって計画された形状及び寸法に酷似するパターンを基板上に再現することが、より難しくなる。これらの困難を克服するために、最新式の微調整ステップが、リソグラフィ投影装置、設計レイアウト、又はパターニングデバイスに適用される。これらは、例えば、限定されないが、NA及び光学コヒーレンス設定の最適化、カスタマイズ照明方式、位相シフトパターニングデバイスの使用、設計レイアウトにおける光近接効果補正(OPC、「光学及びプロセス補正(optical and process correction)」とも呼ばれることがある)、又は一般に「解像度向上技術」(RET)と定義される他の方法も含む。本明細書で使用する「投影光学系」という用語は、例えば、屈折光学系、反射光学系、アパーチャ、及び反射屈折光学系を含む、様々なタイプの光学システムを網羅すると広く解釈されるものとする。「投影光学系」という用語は、まとめて、又は単独で、放射の投影ビームの誘導、整形、又は制御を行うためにこれらの設計タイプの何れかに従って動作するコンポーネントも含み得る。「投影光学系」という用語は、光学コンポーネントがリソグラフィ投影装置の光路上のどこに位置するかにかかわらず、リソグラフィ投影装置内の何れの光学コンポーネントも含み得る。投影光学系は、ソースからの放射がパターニングデバイスを通過する前に、放射を整形、調節、及び/又は投影するための光学コンポーネント、及び/又は放射がパターニングデバイスを通過した後に、放射を整形、調節、及び/又は投影するための光学コンポーネントを含み得る。投影光学系は、一般に、ソース及びパターニングデバイスを除く。
[0009] 一実施形態によれば、半導体製造プロセスにおいて堆積モデルを適用する方法が提供される。本方法は、堆積モデルを使用して基板の堆積プロファイルを予測することと、予測堆積プロファイルを使用して、メトロロジターゲット設計を強化することとを含む。
[0010] 一実施形態では、本方法は、物理的ウェーハの層からの実験的断面プロファイル情報を使用して堆積モデルを較正することを更に含む。
[0011] 一実施形態では、堆積モデルは機械学習モデルであり、堆積モデルを較正することは、機械学習モデルをトレーニングすることを含む。
[0012] 一実施形態では、実験的断面プロファイル情報は、基板の電子像とモデルを使用して生成された基板の対応する電子図との間の視覚的又は寸法比較を含む。
[0013] 一実施形態では、メトロロジターゲット設計は、アライメントメトロロジターゲット設計又はオーバーレイメトロロジターゲット設計を含む。
[0014] 一実施形態では、予測堆積プロファイルを使用して、メトロロジターゲット設計を強化することは、アライメントメトロロジターゲット設計の信号強度を予測することと、予測された信号強度に基づいてアライメントメトロロジターゲット設計を強化することとを含む。
[0015] 一実施形態では、アライメントメトロロジターゲット設計を強化することは、予測される信号強度を最大化するように、アライメントメトロロジターゲット設計の1つ又は複数の寸法及び/又はパターンを調整し、及び/又は堆積材料を調整することを含む。
[0016] 一実施形態では、予測堆積プロファイルを使用して、メトロロジターゲット設計を強化することは、オーバーレイメトロロジターゲット設計の信号強度を予測することと、予測された信号強度に基づいてオーバーレイメトロロジターゲット設計を強化することとを含む。
[0017] 一実施形態では、オーバーレイメトロロジターゲット設計を強化することは、予測される信号強度を最大化するように、オーバーレイメトロロジターゲット設計の1つ又は複数の寸法及び/又はパターンを調整し、及び/又は堆積材料を調整することを含む。
[0018] 一実施形態では、本方法は、予測堆積プロファイルを使用して、非対称性によって生じるターゲットプロファイルからの予測堆積プロファイルのずれを特定することと、ずれを使用して、測定メトロロジターゲット信号の非対称成分を予測することとを更に含む。
[0019] 一実施形態では、本方法は、ずれを使用して、非対称誘導性スキャナオフセットを補正することを更に含む。一実施形態では、補正することは、測定メトロロジターゲット信号の非対称成分を除去することを含む。一実施形態では、測定メトロロジターゲット信号の非対称成分を除去することは、非対称成分を測定メトロロジターゲット信号から減算することを含む。
[0020] 一実施形態では、メトロロジターゲット信号の非対称成分は、ターゲットプロファイルからの予測堆積プロファイルのずれに基づいて予測される。
[0021] 一実施形態では、本方法は、強化されたメトロロジターゲット設計に基づいてオーバーレイ及び/又はアライメントを予測することを更に含む。
[0022] 別の実施形態によれば、半導体製造プロセスのモデリング方法が提供される。本方法は、堆積モデルに基づいて基板の堆積プロファイルを予測することと、予測堆積プロファイルを使用して、メトロロジターゲット設計を強化することと、強化されたメトロロジターゲット設計に基づいて1つ又は複数のフォトリソグラフィプロセスパラメータを決定することと、1つ又は複数の決定されたフォトリソグラフィプロセスパラメータに基づいて、フォトリソグラフィ装置の調整を決定することとを含む。
[0023] 一実施形態では、1つ又は複数の決定されるフォトリソグラフィプロセスパラメータは、オーバーレイ又はアライメントの1つ又は複数を含む。
[0024] 一実施形態では、本方法は、オーバーレイ又はアライメントに基づいて、第1のメトロロジターゲット設計から第2のメトロロジターゲット設計にメトロロジターゲット設計を調整することを更に含む。
[0025] 一実施形態では、メトロロジターゲット設計は、アライメントメトロロジターゲット設計又はオーバーレイメトロロジターゲット設計を含む。
[0026] 一実施形態では、本方法は、予測堆積プロファイルを使用して、非対称性によって生じるターゲットプロファイルからの予測堆積プロファイルのずれを特定することと、ずれを使用して、非対称誘導性スキャナオフセットを補正することとを更に含む。
[0027] 一実施形態では、本方法は、決定された調整に基づいてフォトリソグラフィ装置を調整することを更に含む。
[0028] 一実施形態では、本方法は、物理的ウェーハの層からの実験的断面プロファイル情報を使用して堆積モデルを較正することを更に含む。
[0029] 別の実施形態によれば、コンピュータプログラム製品が提供される。本コンピュータプログラム製品は、命令が記録された非一時的コンピュータ可読媒体を含み、命令は、コンピュータによって実行されると、上述した方法を実施する。
[0030] 上記態様並びに他の態様及び特性は、添付図と併せて特定の実施形態の以下の説明の検討から、当業者には明らかになろう。
[0031]一実施形態によるリソグラフィ装置を概略的に示す。 [0032]一実施形態による、リソグラフィセル又はクラスタの一実施形態を概略的に示す。 [0033]一実施形態による、一例の検査装置及びメトロロジ技法を概略的に示す。 [0034]一実施形態による、一例の検査装置を概略的に示す。 [0035]一実施形態による、検査装置の照明スポットとメトロロジターゲットとの間の関係を示す。 [0036]一実施形態による、測定データに基づく関心のある複数の変数を導出するプロセスを概略的に示す。 [0037]一実施形態による、「デザインフォーコントロール」プロセスフローの種々の段階を示すフローチャートである。 [0038]一実施形態による、視覚化のための種々の段階を示すブロック図である。 [0039]一実施形態による、「デザインフォーコントロール」プロセスが、プロセス摂動に対してロバストなメトロロジターゲット設計をいかに決定するかを示すフローチャートである。 [0040]一実施形態による、典型的なシャロートレンチアイソレーション(STI)プロセスフローの一環としての堆積を示す。 [0041]一実施形態による半導体製造プロセスにおいて堆積モデルを適用する方法を示す。 [0042]一実施形態による、下部格子上に堆積した光学的不透明材料を有するオーバーレイターゲットの積層を示す。 [0043]一実施形態による、メトロロジ(例えば、アライメント、オーバーレイ等)ターゲット設計の方法の概要を示す。 [0044]一実施形態による、一例のコンピュータシステムのブロック図である。 [0045]一実施形態による、図1と同様のリソグラフィ投影装置の概略図である。 [0046]一実施形態による、図13の装置のより詳細な図である。 [0047]一実施形態による、図13及び図14の装置のソースコレクタモジュールSOの、より詳細な図である。
詳細な説明
[0048] 本明細書の説明は、一般的にはマスク製造及びパターニングプロセスに関する。より具体的には、本説明は、堆積モデルを半導体製造プロセスにおいて適用することに関する。装置又は方法は、単なる一例として、アライメント及び/又はオーバーレイメトロロジターゲット設計の堆積モデルに基づいて、又は他の動作において堆積プロファイルを予測又は他の方法で決定するように構成されたシミュレーションシステムを含み得るか、又は関連し得る。より詳細に後述するように、オーバーレイ及び/又はアライメントは、基板(例えばウェーハ)の現在の層と前の層との間の相対シフト及び/又は互いに対する2つ以上の設計フィーチャの相対位置の指標である。オーバーレイ及び/又はアライメントは多くの場合、スクライブライン及び/又は他のロケーションに含まれるメトロロジマークの光学応答に基づいて決定される。オーバーレイ及び/又はアライメントは、任意の適したメトロロジシステム、例えば、スキャトロメトリシステム、回折ベースのオーバーレイシステム、暗視野又は明視野顕微鏡、像ベースのオーバレイメトロロジシステム、光学メトロロジシステム、及び/又は電子ビームメトロロジシステム(例えばCD-SEM)によって測定することができる。メトロロジマーク及び光学応答は典型的には、デザインフォーコントロール(design for control)プログラム及びメトロロジシステム等のソフトウェアツールを使用してモデリングされて、半導体デバイスが物理的に製造される前、基板(例えばウェーハ)製造レシピ及びメトロロジマーク設計の最適化(例えば、オーバーレイの低減、アライメントの強化、及び/又は他の目的で)を促進する。
[0049] ジオメトリックモデルが、半導体製造プロセス定義のために(例えば、エッチング、堆積、及び/又は他のプロファイルをモデリング又は他の方法で決定するために)、現在のオーバーレイ、アライメント、及び/又は他のメトロロジマーク設計で使用されている。例えば、メトロロジターゲット設計プログラム若しくはデザインフォーコントロールプログラム又は他の同様のツールは、実際の基板(例えばウェーハ)をモデリングして、実際のシミュレーションの厳密結合波解析(RCWA)にフィードバックする「積層」を構築するために、(多くの他のプロセス関連入力の中でもとりわけ)堆積プロファイル、エッチングプロファイル、及び/又は他のプロファイルを必要とする。しかしながら、ジオメトリックモデルは過度に単純化されている(例えば、モデルは堆積をシミュレートせず、モデルは一定のエッチング深度、側壁角度、積層中の材料のバイナリのエッチング可能又は非エッチング可能記述等を使用する)。基板(例えばウェーハ)への堆積(及び/又はエッチング)効果は、ジオメトリックモデルによって上手く記述されず、それにより、シミュレーション精度は下がり、多くの場合、シミュレートされたアライメント及び/又はオーバーレイ測定と実際のアライメント及び/又はオーバーレイ測定との間の相関が不良になる。スキャトロメータ又は他のメトロロジマーク測定信号は、モデリングされる堆積後プロファイルの影響を受けやすいことがある。モデリングされたプロファイルと実際のプロファイルとの間の堆積後プロファイル差は、多くの場合、ジオメトリックモデルが、密度及びレジストプロファイル等の局所パターンフィーチャ並びに化学的及び物理的非均一性等の大域的効果を正確に説明することができないことに起因する。
[0050] 後述するシステム及び方法を用いて、堆積がモデリングされる。したがって、より正確にシミュレートされたプロファイルをメトロロジターゲット設計プログラム若しくはデザインフォーコントロールプログラム(又は他の同様のツール)に供給し得、アライメント、オーバーレイ、又は他のパラメータのより正確な予測を達成するように、YieldStar信号又は他のスキャナメトロロジマーク信号の応答をより正確にシミュレートするのに役立ち得る。
[0051] 本システム及び方法の堆積モデルは(従来のジオメトリックモデルとは対照的に)、複数のパラメータを使用して、堆積中の物理学及び材料挙動を表し、種々の堆積効果を捕捉することによって、堆積後プロファイルを再構築する。加えて、本システム及び方法のモデルは、断面スキャン電子顕微鏡(SEM)画像及び/又は他の測定に基づいて較正されて、本明細書に記載のモデリングされるプロセスステップが特定の堆積プロセスを正確に反映することを保証し得る。
[0052] 以下の段落で、システム及び/又は関連システムの幾つかの構成要素及びシミュレーションシステムの基板の堆積プロファイルを決定する方法について説明する。上述したように、これらのシミュレーションシステムは、例えばアライメント及び/又はオーバーレイメトロロジターゲット設計中、又は他の動作中に、決定された堆積プロファイルを使用し得る。
[0053] 本明細書において、集積回路(IC)の製造に対して言及される場合があるが、本明細書の記載は、多くの他の可能な適用例を有することが明白に理解されるものとする。例えば、それは、集積光学システム、磁気ドメインメモリ用のガイダンス及び検出パターン、液晶ディスプレイパネル、薄膜磁気ヘッドなどの製造において用いられ得る。当業者は、このような代替適用例の文脈において、本明細書における「レチクル」、「ウェーハ」、又は「ダイ」という用語の使用が、それぞれ、より一般的な用語である「マスク」、「基板」、及び「ターゲット部分」と同義であると見なされるべきであることを認識するだろう。
[0054] 図1は、リソグラフィ装置LAの一実施形態を概略的に示す。装置は、
- 放射ビームB(例えば、UV放射、DUV放射、又はEUV放射)を調整するように構成された照明システム(イルミネータ)ILと、
- パターニングデバイス(例えばマスク)MAを支持し、特定パラメータに従ってパターニングデバイスを正確に位置決めするように構成された第1のポジショナPMに接続されるように構築される支持構造(例えばマスクテーブル)MTと、
- 基板(例えばレジストコートウェーハ)Wを保持するように構成され、特定のパラメータに従って基板を正確に位置決めするように構成された第2のポジショナPWに結合される基板テーブル(例えばウェーハテーブル)WT(例えば、WTa、WTb、又は両方)と、
- パターニングデバイスMAによって放射ビームに付与されたパターンを基板Wのターゲット部C(例えば、1つ又は複数のダイスを含み、フィールドと呼ばれることが多い)に投影するように構成される投影システム(例えば、屈折投影レンズ系)PSと、
を備える。投影システムは基準フレーム(RF)上に支持される。
[0054] 示されるように、装置は透過型(例えば、透過型マスクを利用する)である。代替的には、装置は反射型(例えば、上述したようなタイプのプログラマブルミラーアレイを利用するか,又は反射型マスクを利用する)であり得る。
[0055] イルミネータILは、放射源SOから放射ビームを受け取る。放射源及びリソグラフィ装置は、例えば放射源がエキシマレーザであるとき、別個のエンティティであり得る。そのような場合、放射源はリソグラフィ装置の一部を形成するとは見なされず、放射ビームは、例えば、適した誘導ミラー及び/又はビームエキスパンダを含むビームデリバリシステムBDを用いて、放射源SOからイルミネータILに渡される。他の場合、放射源は、例えば放射源が水銀灯であるとき、装置の一体部分であり得る。放射源SO及びイルミネータILは、必要な場合にはビームデリバリシステムBDと一緒に、放射システムと呼ばれ得る。
[0056] イルミネータILは、ビームの強度分布を変え得る。イルミネータは、強度分布がイルミネータILの瞳面の管状領域内で非ゼロであるように、放射ビームの放射程度を制限するように配置し得る。追加又は代替として、イルミネータILは、強度分布が瞳面の複数の等間隔セクタにおいて非ゼロであるように、瞳面でのビームの分布を制限するように動作可能であり得る。イルミネータILの瞳面での放射ビームの強度分布は、照明モードと呼ばれ得る。
[0057] イルミネータILは、ビームの(角度/空間)強度分布を調整するように構成されるアジャスタAMを備え得る。一般に、イルミネータの瞳面での強度分布の少なくとも外径及び/又は内径の程度(一般に、それぞれσ外径及びσ内径と呼ばれる)は調整することができる。イルミネータILは、ビームの角度分布を変えるように動作可能であり得る。例えば、イルミネータは、強度分布が非ゼロである瞳面でのセクタの数及び角度の程度を変えるように動作可能であり得る。イルミネータの瞳面でのビームの強度分布を調整することにより、異なる照明モードを達成し得る。例えば、イルミネータILの瞳面での強度分布の径方向程度及び角度程度を制限することにより、強度分布は、二極、四極、又は六極分布等の多極分布を有し得る。望まれる照明モードは、例えば、その照明モードを提供する光学系をイルミネータILに挿入すること又は空間光変調器を使用することによって取得し得る。
[0058] イルミネータILは、ビームの偏光を変えるように動作可能であり得、アジャスタAMを使用して偏光を調整するように動作可能であり得る。イルミネータILの瞳面にわたる放射ビームの偏光状態は、偏光モードと呼ばれ得る。異なる偏光モードの使用により、基板Wに形成される画像により大きなコントラストを達成することができる。放射ビームは非偏光であってもよい。代替的には、イルミネータは、放射ビームを線形偏光するように配置し得る。放射ビームの偏光方向は、イルミネータILの瞳面にわたり変わり得る。放射の偏光方向は、イルミネータILの瞳面の異なる領域で異なり得る。放射の偏光状態は、照明モードに依存して選ばれ得る。多極照明モードでは、放射ビームの各極の偏光は、イルミネータILの瞳面でのその極の位置ベクトルに対し、概して垂直であり得る。例えば、二極照明モードでは、放射は、二極の対向する2つのセクタを二分する線に略垂直である方向に線形偏光し得る。放射ビームは、X偏光状態及びY偏光状態と呼ばれ得る2つの異なる直交方向の一方に偏光し得る。四極照明モードでは、各極のセクタにおける放射は、そのセクタを二分する線に略垂直である方向に線形偏光し得る。この偏光モードは、XY偏光と呼ばれ得る。同様に、六極照明モードでは、各極のセクタでの放射は、そのセクタを二分する線に略垂直である方向に線形偏光し得る。この偏光モードは、TE偏光と呼ばれ得る。
[0059] 加えて、イルミネータILは一般に、インテグレータIN及びコンデンサCO等の種々の他の構成要素を備える。照明システムは、放射を誘導、整形、又は制御する屈折、反射、磁気、電磁、静電、他のタイプの光学構成要素、又はそれらの任意の組合せ等の種々のタイプの光学構成要素を含み得る。
[0060] したがって、イルミネータは、所望の均一性及び強度分布を断面に有する調整済み放射ビームBを提供する。
[0061] 支持構造MTは、パターニングデバイスの向き、リソグラフィ装置の設計、及び例えば、パターニングデバイスが真空環境で保持されるか否か等の他の条件に依存するようにパターニングデバイスを支持する。支持構造は、機械、真空、静電、又は他の把持技法を使用して、パターニングデバイスを保持し得る。支持構造は、例えば、必要に応じて固定又は可能であり得るフレーム又はテーブルであり得る。支持構造は、パターニングデバイスが、例えば投影システムに対して所望の位置にあることを保証し得る。本明細書での「レチクル」又は「マスク」という用語の任意の使用は、より一般的な用語である「パターニングデバイス」と同義であると見なされ得る。
[0062] 本明細書で使用される「パターニングデバイス」という用語は、パターンを基板のターゲット部分に付与するために使用することができる任意のデバイスを指すものとして広く解釈されるべきである。一実施形態では、パターニングデバイスは、基板のターゲット部分にパターンを生み出すように、放射ビームの断面にパターンを付与するために使用することができる、任意のデバイスである。放射ビームに付与されるパターンが、例えば、パターンが位相シフトフィーチャ、又はいわゆるアシストフィーチャを含む場合、基板のターゲット部分における所望のパターンに厳密に対応しなくてもよいことに留意されたい。一般に、放射ビームに付与されるパターンは、集積回路等のデバイスのターゲット部分に作成中のデバイスの特定の機能層に対応する。
[0063] パターニングデバイスは透過型又は反射型であり得る。パターニングデバイスの例には、マスク、プログラマブルミラーアレイ、及びプログラマブルLCDパネルがある。マスクはリソグラフィにおいて周知であり、バイナリ、交互位相シフト、及び減衰位相シフト、及び種々のハイブリッドマスクタイプ等のマスクタイプを含む。プログラマブルミラーアレイの一例は、小型ミラーのマトリックス配置を利用し、各ミラーは個々に傾斜して、入力放射ビームを異なる方向に反射することができる。傾斜ミラーは、ミラーマトリックスによって反射される放射ビームにパターンを付与する。
[0064] 本明細書で使用される「投影システム」という用語は、使用されている露出放射に適切なように、又は液浸液の使用若しくは真空の使用等の他の要因で、屈折、反射、反射屈折、磁気、電磁気、及び静電光学システム、又はそれらの任意の組合せを含む任意のタイプの投影システムを包含するものとして広く解釈されるべきである。本明細書での「投影レンズ」という用語の任意の使用は、より一般的な用語である「投影システム」と同義と見なされ得る。
[0065] 投影システムPSは、基板Wにイメージングされるパターンに影響することができる、非均一であり得る光学伝達関数を有する。非偏光放射では、そのような効果は、その瞳面における位置の関数として、投影システムPSから出る放射の透過(アポダイゼーション)及び相対位相(収差)を記述する2つのスカラーマップによって、かなりよく記述することができる。これらのスカラーマップは、透過マップ及び相対位相マップと呼ばれ得、基本関数一式の一次結合として表され得る。特に好都合なセットは、単位円上に定義される1セットの直交多項式を形成するゼルニケ多項式である。各スカラーマップの決定は、そのような展開での係数の決定を含み得る。ゼルニケ多項式は単位円上で直交するため、ゼルニケ係数は、各ゼルニケ多項式との測定スカラーマップの内積を計算し、そしてこれをゼルニケ多項式のノルムの二乗で除することによって決定し得る。
[0066] 透過マップ及び相対位相マップは、フィールド及びシステム依存である。すなわち一般に、各投影システムPSは、各フィールド点(すなわち、その画像面における各空間ロケーション)で異なるゼルニケ展開を有する。その瞳面における投影システムPSの相対位相は、例えば投影システムPSの対物面(すなわち、パターニングデバイスMAの平面)における点状源から投影システムPSを通して放射を投影し、シアリング干渉計を使用して波面(すなわち、同じ位相を有する点の場所)を測定することによって決定し得る。シアリング干渉計は一般的なパス干渉計であり、したがって、有利なことに、波面の測定に二次基準ビームが必要ない。シアリング干渉計は、投影システムの像面(すなわち、基板テーブルWT)における回折格子、例えば二次元格子と、投影システムPSの瞳面と共役する平面における干渉パターンを検出するように配置されたディテクタとを含み得る。干渉パターンは、シアリング方向における瞳面での座標に関する放射位相の微分に関する。ディテクタは、例えば、電荷結合素子(CCD)等のセンシング要素のアレイを含み得る。
[0067] リソグラフィ装置の投影システムPSは、可視フリンジを生成せず、したがって、波面の特定精度は、例えば、回折格子の移動等の位相ステッピング技法を使用して改善することができる。ステッピングは、回折格子の平面及び測定のスキャン方向に垂直な方向において実行し得る。ステッピング範囲は1格子周期であり、少なくとも3つ(均一に分布)の位相ステップを使用し得る。したがって、例えば、3つのスキャン測定をy方向で実行し得、各スキャン測定は、x方向での異なる位置で実行される。回折格子のこのステッピングは効果的に位相変動を強度変動に変換し、位相情報を特定できるようにする。格子は、ディテクタを較正するために、回折格子に垂直な方向(z方向)でステッピングし得る。
[0068] 回折格子は、投影システムPSの座標系の軸(x及びy)と一致し得、又はこれらの軸に対して45度等の角度にあり得る2つの直交方向で順次スキャンし得る。スキャンは、整数の格子周期、例えば1格子周期にわたり実行し得る。スキャンは、一方向での位相変動を平均し、他方の方向での位相変動を再構築できるようにする。これにより、両方向の関数として波面を決定することができる。
[0069] その瞳面における投影システムPSの透過(アポダイゼーション)は、例えば投影システムPSの対物面(すなわち、パターニングデバイスMAの平面)の点状源から投影システムPSを通して放射を投影し、ディテクタを使用して投影システムPSの瞳面と共役する平面における放射の強度を測定することによって決定し得る。収差を特定するために、波面の測定に使用されるものと同じディテクタを使用し得る。
[0070] 投影システムPSは複数の光学(例えばレンズ)要素を備え得、光学要素の1つ又は複数を調整して、収差(フィールドを通した瞳面にわたる位相変動)を補正するように構成された調整機構AMを更に備え得る。これを達成するために、調整機構は、1つ又は複数の異なる方法で投影システムPS内の1つ又は複数の光学(例えばレンズ)要素を操作するように動作可能であり得る。投影システムは、光軸がz方向に延在する座標系を有し得る。調整機構は、以下の任意の組合せを行うように動作可能であり得る:1つ又は複数の光学要素の変位;1つ又は複数の光学要素の傾斜;及び/又は1つ又は複数の光学要素の変形。光学要素の変位は任意の方向(x、y、z、又はそれらの組合せ)であり得る。光学要素の傾斜は典型的には、x及び/又はy方向における軸の回りを回転することによる光軸に垂直な平面からの傾斜であるが、非回転対称非球面光学要素の場合、z軸回りの回転を使用してもよい。光学要素の変形は、低周波形状(例えば非点収差)及び/又は高周波形状(例えば自由形態非球面)を含み得る。光学要素の変形は、例えば、1つ又は複数のアクチュエータを使用して、力を光学要素の1つ又は複数の側面に及ぼすことにより及び/又は1つ又は複数の加熱要素を使用して、光学要素の1つ又は複数の選択された領域を加熱することにより実行し得る。一般に、アポダイゼーション(瞳面にわたる透過変動)を補正するように投影システムPSを調整することは可能ではないことがある。リソグラフィ装置LA用にパターニングデバイス(例えばマスク)MAを設計する際、投影システムPSの透過マップを使用し得る。計算リソグラフィ技法を使用して、パターニングデバイスMAは、アポダイゼーションを少なくとも部分的に補正するように設計し得る。
[0071] リソグラフィ装置は、2つ(デュアルステージ)以上のテーブル(例えば、2つ以上の基板テーブルWTa、WTb、2つ以上のパターニングデバイステーブル、基板テーブルWTa及びテーブルWTbは、例えば測定及び/又はクリーニング等の促進専用の基板を有さない投影システムの下)を有するタイプであり得る。そのような「マルチステージ」機械では、追加のテーブルを並行して使用し得、又は例えば、1つ又は複数の他のテーブルが使用中である間、準備ステップを1つ又は複数のテーブルで実行し得る。例えば、アライメントセンサASを使用したアライメント測定及び/又はレベルセンサLSを使用したレベル(高さ、傾斜等)測定を行い得る。
[0072] リソグラフィ装置は、基板の少なくとも一部が比較的高屈折率を有する液体、例えば水で覆われて、投影システムと基板との間の空間を充填し得るタイプであってもよい。液浸液は、リソグラフィ装置の他の空間、例えばパターニングデバイスと投影システムとの間に適用してもよい。液浸技法は、投影システムの開口数を上げるために当技術分野で周知である。本明細書で使用される「液浸」という用語は、基板等の構造が液体中に沈まなければならないことを意味せず、むしろ、露光中、液体が投影システムと基板との間に配置されることのみを意味する。
[0073] リソグラフィ装置の動作において、放射ビームは照明システムによって調整され提供される。放射ビームBは、支持構造(例えばマスクテーブル)MT上に保持されるパターニングデバイス(例えばマスク)MAに入射し、パターニングデバイスによってパターン形成される。パターニングデバイスMAを横断した後、放射ビームBは投影システムPSを通り、投影システムPSはビームを基板Wのターゲット部分C上に合焦する。第2のポジショナPW及び位置センサIF(例えば、干渉デバイス、リニアエンコーダ、2Dエンコーダ、又は静電容量センサ)を用いて、基板テーブルWTは正確に移動して、例えば、異なるターゲット部分Cを放射ビームBのパスに位置決めすることができる。同様に、第1のポジショナPM及び別の位置センサ(図1に明確に示されていない)を使用して、例えば、マスクライブラリからの機械的取り出し後又はスキャン中、放射ビームBのパスに対してパターニングデバイスMAを正確に位置決めすることができる。一般に、支持構造MTの移動は、第1のポジショナPMの一部をなすロングストロークモジュール(粗い位置決め)及びショートストロークモジュール(細かい位置決め)を用いて実現し得る。同様に、基板テーブルWTの移動も、第2のポジショナPWの一部をなすロングストロークモジュール及びショートストロークモジュールを使用して実現し得る。ステッパ(スキャナとは対照的に)の場合、支持構造MTはショートストロークアクチュエータのみに接続し得、又は固定し得る。パターニングデバイスMA及び基板Wは、パターニングデバイスアライメントマークM1、M2及び基板アライメントマークP1、P2を使用してアライメントし得る。図示の基板アライメントマークは、専用ターゲット部分を占有しているが、ターゲット部分間の空間に配置されてもよい(これらはスクライブレーンアライメントマークとして知られている)。同様に、2つ以上のダイがパターニングデバイスMAに提供される状況では、パターニングデバイスアライメントマークはダイ間に配置し得る。
[0074] 図示の装置は、以下のモードの少なくとも1つで使用し得る。
1.ステップモードでは、一度に放射ビームに付与されるパターンがターゲット部分Cに投影される間、支持構造MT及び基板テーブルWTは基本的に静止した状態に保たれる(すなわち、単一静的露光)。基板テーブルWTは次いで、異なるターゲット部分Cを露光することができるようにX及び/又はY方向でシフトされる。ステップモードでは、露光フィールドの最大サイズは、単一静的露光で結像されるターゲット部分Cのサイズを制限する。
2.スキャンモードでは、放射ビームに付与されるパターンがターゲット部分Cに投影される間、支持構造MT及び基板テーブルWTは同期してスキャンされる(すなわち、単一動的露光)。支持構造MTに対する基板テーブルWTの速度及び方向は、投影システムPSの縮小及び像反転特性によって決定し得る。スキャンモードでは、露光フィールドの最大サイズは、単一動的露光でのターゲット部分の幅(非スキャン方向での)を制限し、一方、スキャン動作の長さはターゲット部分の高さ(スキャン方向での)を決める。
3.別のモードでは、放射ビームに付与されるパターンがターゲット部分Cに投影されている間、支持構造MTは基本的にプログラマブルパターニングデバイスを保持したまま静止した状態に保たれ、基板テーブルWTは移動又はスキャンされる。このモードでは、一般的にパルス放射源が利用され、プログラマブルパターニングデバイスは、基板テーブルWTの各移動後又はスキャン中の連続放射パルス間、必要に応じて更新される。この動作モードは、上記参照したタイプのプログラマブルミラーアレイ等のプログラマブルパターニングデバイスを利用するマスクレスリソグラフィに容易に適用することができる。
[0075] 上記使用モードの組合せ及び/又は変形又は全体的に異なる使用モードを利用することもできる。
[0076] 本明細書で参照される基板は、露光前又は露光後、例えばトラック(典型的にレジスト層を基板に塗布し、露光されたレジストを現像するツール)又はメトロロジ若しくは検査ツールで処理し得る。該当する場合、本明細書での開示は、そのような及び他の基板処理ツールに適用することもできる。さらに、基板は2回以上処理されて、例えば、多層ICを作成し得、したがって、本明細書で使用される基板という用語は、複数の処理された層を既に含む基板も指し得る。
[0077] 本明細書で使用される「放射」及び「ビーム」という用語は、紫外線(UV)又は深紫外線(DUV)放射(例えば、365、248、193、157、又は126nmの波長を有する)及び極端紫外線(EUV)放射(例えば、5~20nmの範囲の波長を有する)並びにイオンビーム又は電子ビーム等の粒子ビームを含む全てのタイプの電磁放射を包含する。
[0078] パターニングデバイス上の又はパターニングデバイスによって提供される種々のパターンは、異なるプロセスウィンドウ、すなわち、パターンが仕様内で生成される処理変数の空間を有し得る。潜在的な系統的欠陥に関連するパターン仕様の例には、ネッキングのチェック、ラインプルバック、ライン細線化、CD、エッジ配置、重複、レジストトップロス、レジストアンダーカット、及び/又はブリッジングがある。パターニングデバイス上又はそのエリア上のパターンのプロセスウィンドウは、個々の各パターンのプロセスウィンドウをマージする(例えば重複させる)ことによって取得し得る。パターングループのプロセスウィンドウの境界は、個々のパターンの幾つかのプロセスウィンドウの境界を含む。換言すれば、これらの個々のパターンは、パターングループのプロセスウィンドウを制限する。これらのパターンは、本明細書では同義で使用される「ホットスポット」又は「プロセスウィンドウ制限パターン(PWLP)」と呼ぶことができる。パターニングプロセスの一部を制御しているとき、ホットスポットにフォーカスすることが可能であり、及び経済的である。ホットスポットに欠陥がない場合、他のパターンにも欠陥がない可能性が最も高い。
[0079] 図2に示すように、リソグラフィ装置LAは、露光前及び露光後プロセスを基板に対して実行する装置も含む、リソセル又はクラスタと呼ばれることもあるリソグラフィセルLCの一部をなし得る。従来、これらは、1つ又は複数のレジスト層を堆積させる1つ又は複数のスピンコータSC、露光したレジストを現像する1つ又は複数のデベロッパ、1つ又は複数の冷却プレートCH、及び/又は1つ又は複数のバックプレートBKを含む。基板ハンドラ又はロボットROが、1つ又は複数の基板を入出力ポートI/O1、I/O2からピックアップし、異なるプロセス装置間を移動させ、リソグラフィ装置のローディングベイLBに送る。これらの装置は、多くの場合、まとめてトラックと呼ばれ、それ自体が、リソグラフィ制御ユニットLACUを介してリソグラフィ装置も制御する監視制御システムSCSによって制御されるトラック制御ユニットTCUの制御下にある。したがって、スループット及び処理効率を最大化するように異なる装置を操作することができる。
[0080] リソグラフィ装置によって露光される基板が正しく及び一貫して露光され、及び/又は少なくとも1つのパターン転写ステップ(例えば、光学リソグラフィステップ)を含むパターニングプロセスの一部(例えばデバイス製造プロセス)をモニタするために、基板又は他の物体を検査して、アライメント、オーバーレイ(例えば、重複層内の構造間又は例えばダブルパターニングプロセスにより層とは別個に提供された同じ層内の構造間であることができる)、ライン厚、クリティカルディメンジョン(CD)、フォーカスオフセット、材料特性等の1つ又は複数の特性を測定又は特定することが望ましい。したがって、リソセルLCが配置される製造設備は典型的には、リソセル又はリソセル内の他の物体で処理された基板W(図1)の幾つか又は全てを測定するメトロロジシステムも含む。メトロロジシステムはリソセルLCの一部であってもよく、例えば、リソグラフィ装置LAの一部(アライメントセンサAS(図1)等)であってもよい。
[0081] 1つ又は複数の測定されるパラメータは、例えば、アライメント、パターン形成された基板内又は基板上に形成される連続相間のオーバーレイ、例えばパターン形成された基板内又は基板上のフィーチャのクリティカルディメンジョン(CD)(例えば臨界線幅)、光学リソグラフィステップのフォーカス又はフォーカス誤差、光学リソグラフィステップのドーズ又はドーズ誤差、光学リソグラフィステップの光学収差等を含み得る。この測定は、製品基板自体のターゲット及び/又は基板に提供される専用メトロロジターゲットに対して実行し得る。測定は、レジストの現像後であるが、エッチング前、エッチング後、堆積後、及び/又は他のときに実行することができる。
[0082] 走査電子顕微鏡、像ベースの測定ツール、及び/又は種々の専用ツールの使用を含め、パターニングプロセスで形成される構造の測定を行う種々の技法がある。先に論考したように、専用メトロロジツールの高速非侵襲的形態は、放射ビームが基板の表面上のターゲットに向けられ、散乱(回折/反射)ビームが測定されるものである。基板によって散乱した放射の1つ又は複数の特性を評価することにより、基板の1つ又は複数の特性を特定することができる。これは回折ベースのメトロロジと呼ばれ得る。この回折ベースのメトロロジの1つのそのような用途は、ターゲット内のフィーチャ非対称性の測定においてである。これは、例えば、オーバーレイの尺度として使用することができるが、他の用途も知られている。例えば、非対称性は、回折スペクトルの対向部分を比較(例えば、周期格子の回折スペクトル内の-1次と+1次とを比較)することによって測定することができる。これは、上述したように及び例えば、その全体が参照により本明細書に援用される米国特許出願公開第2006-066855号に記載のように行うことができる。回折ベースのメトロロジの別の用途は、ターゲット内のフィーチャ幅(CD)の測定においてである。そのような技法は、後述する装置及び方法を使用することができる。
[0083] したがって、デバイス作製プロセス(例えば、パターニングプロセス又はリソグラフィプロセス)では、基板又は他の物体は、プロセス中又はプロセス後、種々のタイプの測定を受け得る。測定は、特定の基板が欠陥を有するか否かを判断し得、プロセス及びプロセスで使用される装置への調整(例えば、基板上の2つの層をアライメントするか若しくはパターニングデバイスを基板に対してアライメントする)を確立し得、プロセス及び装置の性能を測定し得、又は他の目的のためであり得る。測定の例には、光学結像(例えば光学顕微鏡)、非結像光学測定(例えば、スキャトロメータメトロロジツール、オンスキャナメトロロジシステム等の回折に基づく測定)、機械的測定(例えば、スタイラス、原子間力顕微鏡法(AFM)を使用した成形)、及び/又は非光学的結像(例えば、走査電子顕微鏡法(SEM))がある。その全体が参照により本明細書に援用される米国特許第6,961,116号に記載のように、ウェーハ上のアライメントマークロケーションを読み取り、ウェーハロケーションを特定し、それにより、よりよいオーバーレイを可能にする、リソグラフィ装置で使用されるもの等のウェーハアライメントシステムは、アライメントマーカの2つの重複し相対的に回転した像を生成し、像のフーリエ変換が干渉を生じさせる瞳面における強度を検出し、干渉次数での強度変動として現れる2つの像の回折次数間の位相差から位置情報を抽出する、自己参照干渉計を利用する。
[0084] メトロロジ結果は、監視制御システムSCSに直接又は間接的に提供し得る。誤差が検出される場合、調整を続く基板の露光(特に、バッチの1つ又は複数の他の基板がまだ露光されていないうちに、十分な高速ですぐに検査を行うことができる場合)に及び/又は露光された基板の続く露光に対して行い得る。また、既に露光された基板を剥離し、再加工して歩留まりを改善してもよく、又は破棄し、それにより、故障があることが分かっている基板へのそれ以上の処理の実行を回避してもよい。基板の幾つかのターゲット部分のみが故障している場合、更なる露光は、仕様を満たすターゲット部分のみに対して実行し得る。
[0085] メトロロジシステムMET内で、メトロロジ装置を使用して、基板の1つ又は複数の特性、特に異なる基板の1つ又は複数の特性がいかに変動するか又は同じ基板の異なる層が層ごとにいかに変動するかを特定する。先に記したように、メトロロジ装置は、リソグラフィ装置LA若しくはリソセルLCに統合してもよく、又はスタンドアロンデバイスであってもよい。
[0086] メトロロジを可能にするために、1つ又は複数のターゲットを基板上に提供することができる。一実施形態では、ターゲットは特別に設計され、周期構造を含み得る。一実施形態では、ターゲットはデバイスパターンの一部、例えば、デバイスパターンの周期構造である。一実施形態では、デバイスパターンはメモリデバイス(例えば、バイポーラトランジスタ(BPT)、ビットライン接点(BLC)等の構造)の周期構造である。
[0087] 一実施形態では、基板上のターゲットは、現像後、周期構造フィーチャが実線レジストラインで形成されるようにプリントされる、1つ又は複数の1D周期構造(例えば格子)を含み得る。一実施形態では、ターゲットは、現像後、1つ又は複数の周期構造が実線レジストピラー又はレジスト内のビアで形成されるようにプリントされる1つ又は複数の2D周期構造(例えば格子)を含み得る。バー、ピラー、又はビアは代替的には、基板内(例えば、基板上の1つ又は複数の層内)にエッチングし得る。
[0088] 一実施形態では、パターニングプロセスの関心のあるパラメータの1つはオーバーレイである。オーバーレイは、零次の回折(鏡面反射に対応する)が遮断され、より高次のみが処理される、暗視野スキャトロメータを使用して測定することができる。暗視野メトロロジの例は、その全体が参照により本明細書に援用されるPCT特許出願公開第2009/078708号及び同第2009/106279号に見出すことができる。技法の更なる発展は、その全体が参照により本明細書に援用される米国特許出願公開第2011-0027704号、同第2011-0043791号、及び同第2012-0242970号に記載されている。回折次数の暗視野検出を使用した回折ベースのオーバーレイは、より小さなターゲット上のオーバーレイ測定を可能にする。これらのターゲットは、照明スポットよりも小さいサイズであることができ、基板上のデバイス製品構造で囲まれ得る。一実施形態では、複数のターゲットを1つの放射捕捉で測定することができる。
[0089] 図3は一例の検査装置(例えばスキャトロメータ)を示す。これは、放射を基板Wに投影する広帯域(白色光)放射プロジェクタ2を含む。方向転換された放射はスペクトロメータディテクタ4に渡され、スペクトロメータディテクタ4は、例えば、図3の左下のグラフに示すように、鏡面反射された放射のスペクトル(波長の関数としての強度)を測定する。このデータから、プロセッサPUにより、例えば厳密結合波解析及び非線形回帰又は図3の右下に示すようなシミュレートされたスペクトルのライブラリとの比較により、検出されたスペクトルを生じさせた構造又はプロファイルを再構築し得る。一般に、再構築の場合、構造の大まかな形態は既知であり、幾つかの変数が、構造が作られたプロセスの知識から仮定され、構造の少数の変数のみが測定データから特定されるのに任せられる。そのような検査装置は、法線入射検査装置又は斜め入射検査装置として構成し得る。
[0090] 使用し得る別の検査装置を図4に示す。このデバイスでは、放射源2によって発せられる放射はレンズ系12を使用してコリメートされ、干渉フィルタ13及び偏光子17を透過し、部分反射面16によって反射され、高い開口数(NA)、望ましくは少なくとも0.9又は少なくとも0.95を有する対物レンズ15を介して基板W上のスポットSにフォーカスされる。液浸検査装置(水等の比較的高い屈折率の流体を使用する)は1を超える開口数さえ有し得る。
[0091] リソグラフィ装置LAと同様に、1つ又は複数の基板テーブルを提供して、測定動作中、基板Wを保持し得る。基板テーブルは、図1の基板テーブルWTと同様又は同一の形態であり得る。検査装置がリソグラフィ装置と統合される一例では、同じ基板テーブルであってさえもよい。粗い及び細かいポジショナは、測定光学系に対して基板を正確に位置決めするように構成された第2のポジショナPWに提供し得る。種々のセンサ及びアクチュエータが提供されて、例えば、関心のあるターゲットの位置を取得し、対物レンズ15の下の位置に運び得る。典型的には、多くの測定が基板Wにわたる異なるロケーションにあるターゲットに対して行われる。基板サポートはX及びY方向で移動して、異なるターゲットを取得し、Z方向で移動して、光学系のフォーカスに対するターゲットの所望のロケーションを取得することができる。例えば、実際に、光学系が実質的に静止し得(典型的にはX及びY方向であるが、恐らくZ方向でも)、基板のみが移動するとき、まるで対物レンズが基板に対して異なるロケーションに運ばれているかのように動作を考え記述することが好都合である。基板及び光学系の相対位置が正しい場合、原理上、光学系の残りの部分が静止し、基板が移動している(例えば、X及びY方向であるが、任意選択的にZ及び/又は傾斜方向でも)状態で、現実世界でそれらの一方が移動しているのか、両方が移動しているのか、それとも光学系の一部の組合せが移動しているのか(例えばZ及び/又は傾斜方向に)は重要ではない。
[0092] 基板Wによって方向転換された放射は次いで、反射面16を部分的に通ってディテクタ18に入り、スペクトルが検出される。ディテクタ18は背面投影焦点面11(すなわち、レンズ系15の焦点距離)に配置されてもよく、又は平面11は、補助光学系(図示せず)を用いてディテクタ18に再結像し得る。ディテクタは、基板ターゲット30の二次元角度散乱スペクトルを測定することができるような、二次元ディテクタであり得る。ディテクタ18は、例えば、CCD又はCMOSセンサのアレイであり得、例えば40ミリ秒/フレームの積分時間を使用し得る。
[0093] 基準ビームを使用して、例えば、入射放射の強度を測定し得る。これを行うために、放射ビームが部分反射面16に入射すると、その一部は、基準ミラー14に向かう基準ビームとして部分反射面16を透過する。基準ビームは次いで、同じディテクタ18の異なる部分に又は代替的には異なるディテクタ(図示せず)に投影される。
[0094] 1つ又は複数の干渉フィルタ13が、例えば405~790nmの範囲又は200~300nm等の更に低い関心のある波長を選択するのに利用可能である。干渉フィルタは、1セットの異なるフィルタを含むのではなく、調整可能であり得る。干渉フィルタの代わりに格子を使用することもできる。開口絞り又は空間光変調器(図示せず)を照明パスに提供して、ターゲットへの放射の入射角度範囲を制御し得る。
[0095] ディテクタ18は、単一波長(又は狭い波長範囲)での方向転換された放射の強度、複数の波長における強度を別個に又は波長範囲にわたって積分された強度を測定し得る。さらに、ディテクタは、横磁気及び横電気偏光放射(transverse electric-polarized radiation)の強度及び/又は横磁気偏光放射と横電気偏光放射との間の位相差を別個に測定し得る。
[0096] 基板W上のターゲット30は、現像後、バーが実線レジストライン(例えば、堆積層で覆われ得る)で形成されるようにプリントされる1D格子であり得る。ターゲット30は、現像後、格子が実線レジストピラー又はレジスト内のビアで形成されるようにプリントされる2D格子であり得る。バー、ピラー、又はビアは代替的には、基板内又は基板上(例えば、基板上の1つ又は複数の層内)にエッチングされ、堆積層で覆われ、及び/又は他の特性を有し得る。パターン(例えばバー、ピラー、又はビアの)は、パターニングプロセスでの処理の変化(例えば、リソグラフィ投影装置(特に投影システムPS)での光学収差、フォーカス変化、ドーズ変化等)の影響を受けやすく、プリントされる格子の変動として現れる。したがって、プリントされた格子の測定データを使用して、格子を再構築する。線幅及び/又は形状等の1D格子の1つ若しくは複数のパラメータ、又はピラー若しくはビアの幅若しくは長さ若しくは形状等の、2D格子の1つ若しくは複数のパラメータは、プリントステップ及び/又は他の検査プロセスの知識から、プロセッサPUによって実行される再構築プロセスに入力し得る。
[0097] 再構築によるパラメータの測定に加えて、角度分解スキャトロメトリが、製品のフィーチャ及び/又はレジストパターンの非対称性の測定で有用である。非対称性測定の特定の適用は、オーバーレイの測定のためであり、ここで、ターゲット30は互いに重ねられた1セットの周期フィーチャを含む。図3又は図4の機器を使用した非対称性測定の概念は、例えば、その全体が参照により本明細書に援用される米国特許出願第2006-066855号に記載されている。簡単に言えば、ターゲットの回折スペクトルの回折次数の位置は、ターゲットの周期性のみによって特定されるが、回折スペクトルの非対称性は、ターゲットを構成する個々のフィーチャの非対称性を示す。ディテクタ18がイメージセンサであり得る図4の機器では、回折次数のそのような非対称性は、ディテクタ18によって記録される瞳像の非対称性として直接出現する。この非対称性は、ユニットPU内のデジタル画像処理により測定され、既知の値のオーバーレイと突き合わせて較正することができる。
[0098] 図5は、典型的なターゲット30及び図4の装置での照明スポットSの広がりの平面図を示す。周囲構造からの干渉がない回折スペクトルを得るために、一実施形態では、ターゲット30は、照明スポットSの幅(例えば直径)よりも大きい周期構造(例えば格子)である。スポットSの幅は、ターゲットの幅及び長さよりも小さいサイズであり得る。ターゲットは換言すれば、照明によって「アンダーフィル」され、回折信号は基本的に、ターゲット自体外部の製品フィーチャ等からのいかなる信号もない。照明装置2、12、13、17(図4)は、対物レンズ15の後側焦点面にわたり均一強度の照明を提供するように構成し得る。代替的には、例えば、照明パスにアパーチャを含むことにより、照明はオンアクシス又はオフアクシス方向に制限し得る。
[0099] 図6は、メトロロジを使用して得られた測定データに基づいて、ターゲットパターン30の関心のある1つ又は複数の変数の値を決定する一例のプロセスを概略的に示す。ディテクタ18によって検出された放射は、ターゲット30の測定放射分布608を提供する。所与のターゲット30について、例えば、数値的マクスウェルソルバ(numerical Maxwell solver)610を使用して、パラメータ化モデル606から放射分布612を計算/シミュレートし得る。パラメータ化モデル606は、ターゲットを構成するとともにターゲットに関連付けられた種々の材料の層の例を示す。パラメータ化モデル606は、変化させ導出し得る検討中のターゲット部分のフィーチャ及び層についての変数の1つ又は複数を含み得る。図6に示すように、変数の1つ又は複数は、1つ又は複数の層の厚さt、1つ又は複数のフィーチャの幅w(例えばCD)、1つ又は複数のフィーチャの高さh、及び/又は1つ又は複数のフィーチャの側壁角度αを含み得る。図示されていないが、変数の1つ又は複数は更に、限定ではないが、層の1つ又は複数の屈折率(例えば実屈折率又は複素屈折率、屈折率テンソル等)、1つ又は複数の層の消光係数(extinction coefficient)、1つ又は複数の層の吸収、現像中のレジスト損失、1つ又は複数のフィーチャのフッティング(footing)、及び/又は1つ又は複数のフィーチャのラインエッジラフネスも含み得る。変数の初期値は、測定中のターゲットの期待値であり得る。次いで、612において、測定放射分布608を計算放射分布612と比較して、これら2つの間の差を特定する。差がある場合、パラメータ化モデル606の変数の1つ又は複数の値を変化させ、新たな計算放射分布612を計算し、測定放射分布608と比較し得、測定放射分布608と計算放射分布612とが十分に一致するまでこれを行い得る。測定放射分布608と計算放射分布612とが十分に一致する時点で、パラメータ化モデル606の変数の値は、実際のターゲット30のジオメトリと良好又は最良の一致を提供する。一実施形態では、測定放射分布608と計算放射分布612との間の差が公差閾値内である場合、一致は十分である。
[00100] 図7Aは、メトロロジターゲット設計ソフトウェアプログラムとして実施されてもよい、「デザインフォーコントロール」方法の主段階を列記したフローチャートを示す。段階710において、リソグラフィプロセスで使用すべき材料が選択される。材料は、適切なGUIを通して方法とインターフェースする材料ライブラリから選択し得る。段階720において、プロセスステップの各々を入力し、プロセスシーケンス全体のコンピュータシミュレーションモデルを構築することにより、リソグラフィプロセスが定義される。段階730において、メトロロジターゲットが定義され、すなわち、ターゲットに含まれる種々のフィーチャの寸法及び他の特性が、メトロロジターゲット設計プログラム若しくはデザインフォーコントロールプログラムプログラムに入力される。例えば、格子が構造に含まれる場合、格子要素の数、個々の格子要素の幅、2つの格子要素の間隔等を定義する必要がある。段階740において、3Dジオメトリが作成される。このステップは、多層ターゲット設計に関連する任意の情報、例えば、異なる層間の相対シフトがあるか否かも考慮する。このフィーチャは多層ターゲット設計を可能にする。段階750において、設計されたターゲットの最終ジオメトリが視覚化される。以下に更に詳細に説明するように、最終設計が視覚化されるのみならず、デザイナが種々のリソグラフィプロセスステップを適用するとき、3Dジオメトリがプロセス誘導効果によりいかに形成され変わっているかを視覚化することもできる。例えば、レジストパターン形成後の3Dジオメトリは、レジスト除去及びエッチング後の3Dジオメトリと異なる。
[00101] 本開示の重要な態様は、ターゲットデザイナが、モデリング及びシミュレーション中、方法の段階を視覚化して、知覚及び制御を促進できるようにすることである。「ビューア」と呼ばれる異なる視覚化ツールがメトロロジターゲット設計ソフトウェアプログラムに内蔵されている。例えば、図7Bに示すように、デザイナは、定義されたリソグラフィプロセス及びターゲットに依存する材料プロット760を閲覧する(及び実行時推定プロットを得ることもできる)ことができる。リソグラフィモデルが作成されると、デザイナは、モデルビューアツール775を通してモデルパラメータを閲覧することができる。設計レイアウトビューアツール780は、設計レイアウト(例えば、GDSファイルの視覚的表現)の閲覧に使用し得る。レジストプロファイルビューアツール785は、レジスト内のパターンプロファイルの閲覧に使用し得る。ジオメトリビューアツール790は、基板上の3D構造の閲覧に使用し得る。瞳孔ビューアツール795は、メトロロジツールでシミュレーションされた応答の閲覧に使用し得る。これらの閲覧ツールが、設計及びシミュレーション中、デザイナの理解を強化するために利用可能なことを、当業者ならば理解するであろう。これらのツールの1つ又は複数は、メトロロジターゲット設計ソフトウェアの幾つかの実施形態では存在しないことがあり、幾つかの他の実施形態では追加の閲覧ツールがメトロロジターゲット設計ソフトウェアに存在し得る。
[00102] 図7Cは、リソグラフィプロセスの実際のシミュレーションに選択されたメトロロジターゲットの数を低減することにより、メトロロジターゲット設計プロセスが全体シミュレーションプロセスの効率をいかに上げるかを示すフローチャートを示す。先に述べたように、メトロロジターゲット設計は、デザイナが数千、更には数百万もの設計を設計できるようにする。これらの設計の全てが、プロセスステップの変動に対してロバストであるわけではない。プロセス変動に耐えることができるターゲット設計のサブセットを選択するために、ブロック752に示されるように、リソグラファは、定義されたリソグラフィプロセスの1つ又は複数のステップを意図的に摂動させ得る。摂動の導入は、元々いかに定義されたかについて全体プロセスシーケンスを変える。したがって、摂動されたプロセスシーケンスの適用(ブロック754)は、設計されたターゲットの3Dジオメトリも変える。リソグラファは、元の設計ターゲットで非ゼロ変化を示す摂動のみを選択し、選択されたプロセス摂動のサブセットを作成する(ブロック756)。リソグラフィプロセスは次いで、プロセス摂動のこのサブセットを用いてシミュレートされる(ブロック758)。
[00103] リソグラフィプロセス(又はパターニングプロセス全般)を使用した基板の製造又は作製は典型的には、プロセスバリエーションを含む。プロセスバリエーションは、基板にわたり均一ではない。例えば、堆積プロセスでは、薄膜、基板の中心で厚く、エッジに近くなると薄くなる傾向を有する。これらの系統的バリエーションは通常、既知のプロセス条件に基づく基板の特性である「フィンガープリント」として、測定データにおいて反映される。換言すれば、基板座標の関数として空間的バリエーションを有する積層が基板上に存在する。積層は、パターン形成プロセス中に基板上に形成されて基板上に選択されたパターン(例えば設計パターン)を形成する、複数の層を含む。積層の各層は、厚さ、材料特性、並びにパターン形成プロセスのフィーチャ及び関連パラメータ(例えば、CD、ピッチ、オーバーレイ等)と関連付けることができる。
[00104] 本明細書に記載のように、堆積は、近代の半導体製造において重要なプロセスステップである。堆積は、パターン形成された又はパターン形成されていない表面の上に材料層を堆積させるのに使用される。堆積は通常、エッチングの後及び化学機械的研磨(CMP)の前に行われる。堆積は、図8の典型的なシャロートレンチアイソレーション(STI)プロセスフロー800の一環として示されている。ビュー802は、シリコンウェーハ804、パッド酸化物806、窒化物層808、及びレジスト810を用いた積層及びトレンチエッチングを示す。ビュー812は、エッチング中のパッド酸化物806を示す。ビュー814はリニア酸化物816を示す。堆積ステップはビュー818において実証されており、ビュー818では、化学蒸着が、酸化物820とのギャップの充填に利用されている。新しい材料が堆積すると、下面822のトポグラフィは上面824に部分的に転写される。新しいトポグラフィは、例えば、オーバーレイ及び/又はアライメント測定に関連するインダイパターン及びメトロロジターゲットのジオメトリに影響する。信頼性の高い堆積モデル(本明細書に記載のような)が、これら及び他のトポグラフィバリエーションの予測及び補正に重要である。ビュー830は化学機械的研磨を示し、ビュー832は窒化物剥離ステップを示す。
[00105] 図9は、本開示の一実施形態による半導体製造プロセスにおいて堆積モデルを適用する例示的な方法900を示す。幾つかの実施形態では、方法900は、堆積モデルを生成及び/又は較正すること(902)、プロセス情報を堆積モデルに入力すること(904)、堆積プロファイルを予測すること(906)、予測堆積プロファイルを使用して、(1)メトロロジターゲット設計を強化し、及び/又は(2)非対称性によって生じるターゲットプロファイルからの予測堆積プロファイルのずれを特定すること(908)、及び/又は他の動作を含む。従来のシステムは、スキャナ及び/又は他のメトロロジツールについて較正された堆積モデルの予測力を利用するのに、方法900と同様及び/又は同じ方法を利用しない。メトロロジターゲットの従来の設計は、例えば堆積の効果を考慮しない。方法900は、メトロロジマークの文脈で以下に説明するが、これは限定を意図しない。方法900は一般に、堆積プロファイル特定が有用である幾つかの異なるプロセスに適用し得る。堆積プロファイルが特定されるシミュレーションシステムは、堆積プロファイルへのメトロロジ又は検査システムの応答をシミュレートするシミュレーションシステム又は他のシミュレーションシステムを含み得る。例えば、特定された堆積プロファイル/シミュレーションシステムは、光学システム又はSEMを用いて測定されたOPCモデルの較正に使用することができ、メトロロジシステムからのクロスウェーハフィンガープリント(cross wafer fingerprint)をシミュレートするため又は他の目的で使用することができる。
[00106] 方法900は、堆積プロファイルに基づいてより正確なモデリング及び/又はパラメータの特定を促進する改善された(従来技術によるシステムと比較して)方法である。堆積プロファイルに基づくこのより正確なモデリング及び/又は特定は、ウェーハの現在の層と前の層 - 例えばオーバーレイ - との間の相対シフトの特定を改善し得るが、他の目的も考えられる(例えば、アライメント等)。上述したように、オーバーレイ及び/又はアライメントは多くの場合、スクライブライン及び/又は他のロケーションに含まれるメトロロジマークの光学的応答に基づいて特定される。幾つかの実施形態では、方法900はより正確な(従来技術によるシステムと比較して)メトロロジターゲットモデルを生成し、そしてこれは、アライメント、オーバーレイ、又は他のパラメータのより正確な(従来技術によるシステムと比較して)特定を促進する。
[00107] 動作902は堆積モデルを生成及び/又は較正することを含む。幾つかの実施形態では、堆積モデルを生成することは、現在の基板(例えば、先の基板の先にモデリング、製造、及び/又は測定されたプロファイル)と同様に基板(例えば、ウェーハの層及び/又は層の部分、及び/又は他の基板)の開始プロファイルに基づき得る。この情報は、例えばデータベース及び/又は他のロケーションに記憶し得る。開始プロファイルは、リソグラフィフォトレジストプロファイル、ハードマスク等の物の一般マスキング層、又は他のマスキングプロファイル、エッチングプロファイル、及び/又は他のプロファイル等のプロファイルであり得、及び/又はプロファイルを含み得る。一般に、開始プロファイルは、堆積モデルを構築するための入力として使用される任意のパターン設計であり得る。パターン設計は、基板(例えばウェーハ)のどの部分に材料が堆積することになるか及び基板(例えばウェーハ)のどの部分に材料が堆積しないかを示し得る。パターン設計は、これらのエリアの寸法、材料特性、及び他の特性を示し得る。一実施形態では、基板の開始プロファイルは、パターン形成後プロファイルを含む。一実施形態では、基板の開始プロファイルは現像後検査(ADI)寸法、エッチング後検査(AEI)寸法、及び/又は他の寸法を含む。例えば、ADIは多くの場合、フォトレジスト露光及び現像後、実行される。一実施形態では、基板の開始プロファイルを決定することは、開始プロファイルの寸法又は他のフィーチャの取得、設計、又は測定の1つ又は複数を含む。
[00108] 例えば、開始プロファイルの寸法又は他のフィーチャを取得することは、1つ又は複数の外部計算システムのデータベースを介してこの情報に電子的にアクセスすること、ローカル電子ストレージ内のこの情報にアクセスすること、ネットワーク通信を介してこの情報を受信又はアクセスすること、非一時的記憶媒体及び/又は他の電子ストレージソースからのアップロード、ダウンロード、又は他の電子ファイル転送を介してこの情報を受信すること、又は他の方法によりこの情報を取得することを含み得る。別の例として、開始プロファイルの設計された寸法及び/又は他のフィーチャは、メトロロジターゲット設計プログラム若しくはデザインフォーコントロールプログラムに関連付けられたユーザインターフェースを介してユーザによって入力、選択、又は他の方法で通信し得る。3番目の例として、開始プロファイルの寸法又は他のフィーチャは測定し得る。そのような測定は、例えば、作製プロセスを受けている物理的又はモデリングされたウェーハの直前(例えば、堆積前)の層で行われ得る。幾つかの実施形態では、開始プロファイルは、デザイナ、システム、又は他のソースによって行われる仮定に基づいて作成することもできる。
[00109] 幾つかの実施形態では、堆積モデルを生成することは、堆積レシピ(例えば、化学蒸着のプロセスパラメータを記述する)及び/又は他の情報に基づき得る。幾つかの実施形態では、基板の1つ又は複数の関心のある領域(例えば、ウェーハの1つの層、ウェーハの1つの層全体、積層、及び/又は他の領域)の堆積モデルを生成し得る。
[00110] 幾つかの実施形態では、堆積モデルを生成することは、本明細書に記載の方法の動作を実行する経験的モデルを生成することを含み得る。経験的モデルは、種々の入力(例えば、マスク又はウェーハ像の1つ又は複数の特性、設計レイアウトの1つ又は複数の特性、パターニングデバイスの1つ又は複数の特性、堆積プロセスの1つ又は複数の特性)間の相関に基づいて出力を予測し得る。
[00111] 一例として、経験的モデルは機械学習モデル及び/又は任意の他のパラメータ化モデルであり得る。幾つかの実施形態では、機械学習モデル(例えば)は、数式、アルゴリズム、プロット、チャート、ネットワーク(例えばニューラルネットワーク)、及び/又は他のツール及び機械学習モデル構成要素であり得、及び/又は含み得る。例えば、機械学習モデルは、入力層、出力層、及び1つ又は複数の中間又は隠れ層を有する1つ又は複数のニューラルネットワークであり得、及び/又は含み得る。幾つかの実施形態では、1つ又は複数のニューラルネットワークは、深層ニューラルネットワーク(例えば、入力層と出力層との間に1つ又は複数の中間又は隠れ層を有するニューラルネットワーク)であり得、及び/又は含み得る。
[00112] 一例として、1つ又は複数のニューラルネットワークは神経単位(又は人工ニューロン)の大きな集まりに基づき得る。1つ又は複数のニューラルネットワークは、生物学的脳が機能する様式を緩く模倣し得る(例えば、軸索によって接続された生物学的ニューロンの大きなクラスタを介して)。ニューラルネットワークの各神経単位は、ニューラルネットワークの多くの他の神経単位と接続し得る。そのような接続は、接続された神経単位の活性化状態への効果において強制的又は抑制的であることができる。幾つかの実施形態では、個々の各神経単位は、全ての入力の値を一緒に結合する合算機能を有し得る。幾つかの実施形態では、各接続(又は神経単位自体)は、信号が、他の神経単位に電波することが許されるには、閾値を超えなければならないような閾値機能を有し得る。これらのニューラルネットワークシステムは、明示的にプログラムされるのではなく、自己学習しトレーニングし得、従来のコンピュータプログラムと比較して、問題解決の特定の分野ではるかに良好な性能を有することができる。幾つかの実施形態では、1つ又は複数のニューラルネットワークは複数の層(例えば、信号パスが前層から後層にトラバースする)を含み得る。幾つかの実施形態では、バックプロパゲーション技法がニューラルネットワークによって利用され得、その場合、フォワード刺激を使用して、「前」神経単位への重みをリセットする。幾つかの実施形態では、1つ又は複数のニューラルネットワークの刺激及び抑制はより自由に流れ得、接続は、より無秩序及び複雑な様式で相互作用する。幾つかの実施形態では、1つ又は複数のニューラルネットワークの中間層は、1つ又は複数の畳み込み層、1つ又は複数の再帰層、及び/又は他の層を含む。
[00113] 幾つかの実施形態では、堆積モデルは、基板(例えば、物理的ウェーハの層)からの実験的断面プロファイル情報を使用して較正し得る。実験的断面プロファイル情報は、物理的ウェーハの層の電子像と堆積モデルを使用して生成された層の対応する電子図との間の視覚的又は寸法的比較及び/又は他の情報を含む。例えば、モデルは、断面走査電子顕微鏡(SEM)像によって較正されて、特定の堆積プロセスを正確に記述し得る。
[00114] 一実施形態では、1つ又は複数の堆積モデル(堆積モデルの1つ又は複数のパラメータ)は、SEM又は他のツールを使用して、シミュレートされた堆積プロファイルを基板(例えば物理的ウェーハ)の対応する断面にフィッティングすることにより較正し得る。SEMでは、一次電子ビームが電子源から発せられ、集光レンズによって集束し、次いでビームデフレクタであるExB偏向器及び対物レンズを通って合焦された基板テーブル上の基板を照射する。基板が電子ビームで照射されると、二次電子が基板から生成される。二次電子はExB偏向器によって偏向され、二次電子ディテクタによって検出される。例えば、ビーム偏向器による電子ビームの二次元スキャン又はX若しくはY方向での基板テーブルによる基板の連続移動と一緒のX若しくはY方向の他方でのビーム偏向器による電子ビームの反復スキャンと同期して、サンプルから生成された電子を検出することによって二次元電子ビーム像を取得することができる。二次電子ディテクタによって検出された信号は、アナログ/デジタル(A/D)変換器によってデジタル信号に変換され、デジタル信号は画像処理システムに送信される。一実施形態では、画像処理システムは処理ユニットによる処理のために、デジタル像の全て又は一部を記憶するメモリを有し得る。処理ユニット(例えば、具体的に設計されたハードウェア、ハードウェアとソフトウェアとの組合せ、又はソフトウェアを含むコンピュータ可読媒体)は、デジタル像を変換又は処理して、デジタル像を表すデータセットにするように構成される。一実施形態では、処理ユニットは、本明細書に記載の方法を実行させるように構成又はプログラムされる。さらに、画像処理システムは、デジタル像及び対応するデータセットを参照データベースに記憶するように構成された記憶媒体を有し得る。ディスプレイデバイスを画像処理システムに接続し得、それにより、オペレータは、グラフィカルユーザインターフェースを用いて機器の必要な操作を行うことができる。
[00115] 幾つかの実施形態では、動作902は、基板(例えばウェーハの層)の電子図(例えば、モデル、電子像等)を生成して、電子図と対応する基板(例えば物理的ウェーハの層)の像との視覚的比較を促進することを含み得る。電子図は、像、モデル、及び/又は他の電子図であり得る。電子図は、断面像、非断面像、層を表す数字又はコード(例えば、全く画像ではない)、又は電子図を形成する他の情報であり得る。一実施形態では、動作902は、基板(例えばウェーハの層)の電子図を生成して、対応する基板(例えば物理的ウェーハの層)のSEM像から得られた寸法と比較するために、電子図の寸法測定を促進することを含み得る。
[00116] 一実施形態では、堆積モデルの1つ又は複数のパラメータは、任意のメトロロジシステム(例えばスキャトロメータ)からの情報に基づいて較正し得る。較正は、マスク層モデル内のパラメータ(例えば、モデルの堆積プロファイルに影響を及ぼすパラメータ)の較正を含み得る。例えば、較正は、シミュレートされたプロファイルと物理的ウェーハの対応する断面との間の類似又は違いに基づいて、マスククリティカルディメンジョンオフセット、堆積率、堆積材料、又は他のパラメータ等の1つ又は複数のパラメータを調整することを含み得る。較正方法(例えばモデル)を使用して、堆積プロファイル(単なる一例としてのメトロロジターゲット設計の)を予測し得、これはデザインフォーコントロールプログラム(又は他の同様の設計ソフトウェア)等のシミュレーションシステムに供給されて、例えば、オーバーレイおよびアライメント測定システム上でオーバーレイ及び/又はアライメント測定信号性能を予測(シミュレート)し得る。一実施形態では、モデリングされた堆積プロファイルが物理的ウェーハの対応する層の像及び/又は他の電子図(例えばモデル)での堆積プロファイルに対応するまで、較正動作は繰り返し実行される。
[00117] 幾つかの実施形態では、上述したように、堆積モデルは機械学習モデル(例えば、1つ又は複数のニューラルネットワークを含む)であり、堆積モデルを較正することは、機械学習モデルをトレーニングすることを含む。1つ又は複数のニューラルネットワークは、1セットのトレーニングデータを使用してトレーニングし得る(すなわち、そのパラメータが決定される)。トレーニングデータは、1セットのトレーニングサンプルを含み得る。各サンプルは、入力オブジェクト(典型的にはベクトルであり、これはフィーチャベクトルと呼ばれる)及び所望の出力値(監視信号とも呼ばれる)を含むペアであり得る。トレーニングアルゴリズムはトレーニングデータを解析し、トレーニングデータに基づいてニューラルネットワークのパラメータ(例えば、1つ又は複数の層の重み)を調整することによってニューラルネットワークの挙動を調整する。例えば、xがi番目の例のフィーチャベクトルであり、yがその監視信号であるような形態{(x,y),(x,y),・・・,(x,y)}のN個のトレーニングサンプルのセットを所与として、トレーニングアルゴリズムはニューラルネットワークg:X→Yを探し、ここで、Xは入力空間であり、Yは出力空間である。フィーチャベクトルは、何らかのオブジェクト(例えば、上記例でのようなウェーハ設計、クリップ等)を表す数値フィーチャのn次元ベクトルである。これらのベクトルに関連付けられたベクトル空間は多くの場合、フィーチャ空間と呼ばれる。トレーニング後、ニューラルネットワークは、新しいサンプルを使用した予測を行うのに使用し得る。
[00118] 動作904は、プロセス情報を堆積モデルに入力することを含む。動作904は、例えば、入力として、ニューラルネットワークを含むトレーニングされた機械学習モデルにプロセス情報を提供することを含み得る。例えば、プロセス情報は基板(例えばウェーハの層)の開始プロファイルを含み得る。開始プロファイルは、堆積モデルの入力として使用される任意のパターン設計であり得る。パターン設計は、基板のどの部分に材料が堆積することになるか及び基板のどの部分に材料が堆積しないかを示し得る。パターン設計は、これらのエリアの寸法、材料特性、及び他の特性を示し得る。幾つかの実施形態では、プロセス情報は、特定の堆積レシピ(例えば、化学蒸着プロセスのプロセスパラメータを記述する)及び/又は他の情報を含み得る。
[00119] 動作906は、堆積プロファイルを予測すること(906)を含む。予測堆積プロファイルは、堆積モデルを使用して予測された基板の堆積プロファイルであり得る。堆積プロファイルを予測することは、堆積プロセスレシピに従って所与の開始プロファイルで堆積動作をシミュレートすることを含み得る。一実施形態では、開始プロファイルで堆積動作をシミュレートすることは、基板の表面にわたる個々のロケーションでの1つ又は複数の堆積率を決定することを含み得る。1つ又は複数の堆積率は、例えば、堆積する材料の量及びパターン、パターンのどの部分が覆われ、どの部分が覆われないか、周囲環境、又は他の要因に依存し得る。一実施形態では、開始プロファイルで堆積動作をシミュレートすることは、堆積プロセスパラメータ、堆積モデルパラメータ及び/又は重み、1つ又は複数の堆積率、及び/又は他の情報に基づいて開始プロファイルの寸法又は他の特性を変更することを含む。堆積プロファイルを予測するこのプロセスは、例えば、1つ又は複数の堆積プロファイル基準(例えば、寸法、表面特性等)が満たされるまで反復的に繰り返し得る。
[00120] 動作908は、予測堆積プロファイルを使用して、(1)メトロロジターゲット設計を強化し、及び/又は(2)非対称性によって生じるターゲットプロファイルからの予測堆積プロファイルのずれを特定すること、及び/又は他の動作を含む。例えば、動作908は、メトロロジ(例えば、アライメント、オーバーレイ等)ターゲット設計の信号感度を予測し、したがって、(アライメント、オーバーレイ等)メトロロジターゲットの最適な設計を促進することを含み得る。元の設計(マーク非対称性)からのメトロロジターゲットのジオメトリにおいて予測されるずれは、例えば、非対称誘導性のスキャナ及びメトロロジーツールオフセット(例えば、オーバーレイまたはアライメントメトロロジーツール)の補正に使用し得、及び/又は他の目的で使用し得る。
[00121] 幾つかの実施形態では、動作908は、強化メトロロジターゲット設計及び/又はターゲットプロファイルからの予測堆積プロファイルのずれに基づいて1つ又は複数のフォトリソグラフィプロセスパラメータを決定すること、1つ又は複数の決定されたフォトリソグラフィプロセスパラメータに基づいてフォトリソグラフィ装置の調整を決定すること、及び/又は他の動作を含み得る。1つ又は複数の決定されるフォトリソグラフィプロセスパラメータは、例えば、オーバーレイ又はアライメントの1つ又は複数を含み得る。フォトリソグラフィ装置を調整することは、決定された調整及び/又は他の情報に基づき得る。幾つかの実施形態では、動作908は、オーバーレイ又はアライメントに基づいて第1のメトロロジターゲット設計から第2のメトロロジターゲット設計にメトロロジターゲット設計を調整することを含み得る。メトロロジターゲット設計は、アライメントメトロロジターゲット設計、オーバーレイメトロロジターゲット設計、及び/又は他の設計を含み得る。
[00122] 幾つかの実施形態では、メトロロジターゲット設計は、アライメントメトロロジターゲット設計、オーバーレイメトロロジターゲット設計、及び/又は他のメトロロジターゲット設計を含む。幾つかの実施形態では、予測堆積プロファイルを使用して、メトロロジターゲット設計を強化することは、アライメントメトロロジターゲット設計の信号強度を予測することと、予測された信号強度に基づいてアライメントメトロロジターゲット設計を強化することとを含む。アライメントメトロロジターゲット設計を強化することは、アライメントメトロロジターゲット設計の1つ又は複数の寸法及び/又はパターンを調整すること、堆積材料を調整すること、及び/又は予測された信号強度を最大化するように他のパラメータを調整することを含み得る。
[00123] 幾つかの実施形態では、予測堆積プロファイルを使用して、メトロロジターゲット設計を強化することは、オーバーレイメトロロジターゲット設計の信号強度を予測することと、予測された信号強度に基づいてオーバーレイメトロロジターゲット設計を強化することとを含む。オーバーレイメトロロジターゲット設計を強化することは、オーバーレイメトロロジターゲット設計の1つ又は複数の寸法及び/又はパターンを調整すること、堆積材料を調整すること、及び/又は予側される信号強度を最大化するように他のパラメータを調整することを含み得る。
[00124] 非限定例として、上述したように、堆積モデルが較正されると、信頼性の高い予測の生成に使用することができる。この例では、較正された堆積モデルは、
y=D(x,p
によって記述し得、式中、Dは堆積モデルを表し、pは較正されたモデル(例えば堆積)パラメータを表し、xは堆積前のジオメトリ(例えば、ウェーハの層の)であり、yは予測されたジオメトリ(例えば、堆積後のウェーハ層プロファイル)である。一実施形態では、較正されたモデルの予測力を使用して、堆積後、センサによって測定されたメトロロジターゲットの信号強度を最大化するメトロロジターゲット(xによって記述される)(のジオメトリ)を設計することができる。
[00125] 一例としてオーバーレイを使用して、図10は、光学的不透明材料1054が下部格子1056上(下部格子1056の上)に堆積した状態のオーバーレイターゲット1052の積層1050を示す。オーバーレイを測定するために、下部格子1056のトポグラフィは、透明材料1054の表面(上面)1058に転写される必要がある。オーバーレイメトロロジマーク信号が強いほど、下部格子1056のトポグラフィの振幅を最大化し得る(例えば、上面1058が、堆積後、実質的に同じフィーチャを有するように)。本方法及び/又は堆積モデルを使用して下部格子1056を設計し、下部格子1056のトポグラフィの振幅を最大化し得る。例えば、堆積モデルをセンサモデルに結合して、格子/ターゲット最適化問題は、

として公式化し得る。上記式中、Sはセンサモデルを表し得、OVLはオーバーレイ信号を表し得、argmaxは最大化すべき費用関数の一例であり得、xは最適な下部格子設計であり得る。メトロロジマークトポグラフィからの信号の信号強度は、例えば、この式を使用して最大化し得る。最適な下部格子設計xは、最大信号強度と関連付けられ得る。argmxは、argmx後に続く式の最大化を示し、その式を最大化する下付きのパラメータ(この場合、x)の値を返す表記を含み得る。これらの例は限定を意図しない。例えば、これらの同じ原理は、アライメントメトロロジマーク最適化及び/又は堆積、エッチング、及び/又は他のリソグラフィプロセスを経た任意の他のウェーハフィーチャの他の設計に適用し得る。
[00126] 図11は、本開示の一実施形態によるメトロロジ(例えば、アライメント、オーバーレイ等)ターゲット設計の方法1100の概要を示す。メトロロジマーク(及び/又はウェーハの他の部分)と関連付けられたウェーハ層及び/又は積層ジオメトリ1102を、堆積プロセス1106をシミュレートし、堆積プロファイル1110を予測する(1108)堆積モデル(例えば、本明細書に記載の堆積モデル)に提供し得る(1104)。堆積モデルは、例えば、下部格子からウェーハ層の上面に転写されるトポグラフィを予測し得る。予測堆積プロファイル1110は、堆積プロファイル1110に関連付けられた信号強度を予測するように構成されたセンサシミュレータ(例えばメトロロジターゲット設計ツール)に提供し得る(1112)。下部格子のジオメトリは、例えば、メトロロジマーク信号の強度及び/又は他の特性を改善するように調整し得る。図11に示される動作は、メトロロジマークを改善するように反復的に繰り返し得る。図11に示される動作は、例えば、停止条件が満たされるまで反復的に繰り返し得る。停止条件は、反復回数、信号強度閾値、反復に関連付けられた費用、反復に関連付けられた時間、及び/又は他の条件を含み得る。幾つかの実施形態では、図11に示される動作の1つ又は複数は、例えば、ウェーハ作製中、リアルタイム又は準リアルタイムで実行し得る。
[00127] 図9の動作908に戻ると、幾つかの実施形態では、予測堆積プロファイルを使用して、非対称性によって生じるターゲットプロファイルからの予測堆積プロファイルのずれを特定することは、ずれを使用して、測定メトロロジターゲット信号の非対称成分を予測することを更に含む。ずれは、非対称誘導性スキャナオフセット及び/又は他の用途で補正するのに使用し得る。補正することは、例えば、測定メトロロジターゲット信号の非対称成分を除去することを含み得る。幾つかの実施形態では、測定メトロロジターゲット信号の非対称成分を除去することは、測定メトロロジターゲット信号から非対称成分を減算することを含む。幾つかの実施形態では、メトロロジターゲット信号の非対称成分は、ターゲットプロファイルからの予測堆積プロファイルのずれに基づいて予測し得る。
[00128] 非限定例として、初期基板ジオメトリを所与として、較正された堆積モデルは、式:
pred=D(x,p
に従って、任意の可能な非対称性を含め、堆積後の最終メトロロジターゲットジオメトリ(例えば堆積プロファイル)を予測するように構成され、式中、ypredは堆積後の予測ジオメトリである。予測ターゲットジオメトリypredは、入力としてセンサモデルに提供されて、式:
asym=S(ypred)=S(D(x,p))
に従って予測非対称性フィンガープリントを取得し得、式中、zasymは非対称性フィンガープリントである。非対称性フィンガープリントは測定信号zmeasから減算(例えば)されて、式:
corr=zmeas-zasym
に従って補正信号を取得し得,式中、zcorrは補正信号である。この例は限定を意図せず、この手法が、スキャナ内のアライメントセンサ、オーバーレイセンサ、及び/又は他のセンサ等の任意の非対称性高感度センサに適用可能であり得ることに留意されたい。
[00129] 図12は、本明細書に開示する方法、フロー、又はシステムの実施を支援し得るコンピュータシステム100を示すブロック図である。コンピュータシステム100は、バス102又は情報を通信するための他の通信機構と、情報を処理するためにバス102と結合されたプロセッサ104(又は複数のプロセッサ104及び105)とを含む。コンピュータシステム100は、ランダムアクセスメモリ(RAM)又は他の動的ストレージデバイスなどの、プロセッサ104によって実行される情報及び命令を保存するためにバス102に結合されたメインメモリ106も含む。メインメモリ106は、プロセッサ104によって実行される命令の実行中に、一時変数又は他の中間情報を保存するためにも使用されてもよい。コンピュータシステム100は、リードオンリーメモリ(ROM)108、又はプロセッサ104のための静的情報及び命令を保存するためにバス102に結合された他の静的ストレージデバイスをさらに含む。情報及び命令を保存するための磁気ディスク又は光ディスクなどのストレージデバイス110が設けられると共に、バス102に結合される。
[00130] コンピュータシステム100は、バス102を介して、情報をコンピュータユーザに表示するための、陰極線管(CRT)、フラットパネル、又はタッチパネルディスプレイなどのディスプレイ112に結合されてもよい。英数字及び他のキーを含む入力デバイス114が、情報及びコマンド選択をプロセッサ104に通信するためにバス102に結合される。別のタイプのユーザ入力デバイスは、プロセッサ104に方向情報及びコマンド選択を通信するため、及びディスプレイ112上でカーソルの移動を制御するための、マウス、トラックボール、又はカーソル方向キーなどのカーソル制御部116である。この入力デバイスは、一般的に、2つの軸(第1の軸(例えばx)及び第2の軸(例えばy))において、デバイスがある面内で位置を特定することを可能にする2つの自由度を有する。タッチパネル(スクリーン)ディスプレイが、入力デバイスとして使用されてもよい。
[00131] ある実施形態によれば、本明細書における1つ又は複数の方法の部分は、メインメモリ106に含まれる1つ又は複数の命令の1つ又は複数のシーケンスを実行するプロセッサ104に応答して、コンピュータシステム100によって行われてもよい。このような命令は、ストレージデバイス110などの別のコンピュータ可読媒体からメインメモリ106に読み込まれてもよい。メインメモリ106に含まれる命令のシーケンスの実行は、プロセッサ104に本明細書に記載のプロセスステップを行わせる。メインメモリ106に含まれる命令のシーケンスを実行するために、多重処理構成の1つ又は複数のプロセッサが用いられてもよい。ある代替実施形態では、ソフトウェア命令の代わりに、又はソフトウェア命令と一緒に、ハードワイヤード回路が用いられてもよい。従って、本明細書の記載は、ハードウェア回路及びソフトウェアの特定の組み合わせに限定されない。
[00132] 本明細書で使用される「コンピュータ可読媒体」という用語は、実行のためにプロセッサ104に命令を提供することに関与するあらゆる媒体を指す。このような媒体は、限定されないが、不揮発性媒体、揮発性媒体、及び伝送媒体を含む、多くの形態をとり得る。不揮発性媒体は、例えば、ストレージデバイス110などの光又は磁気ディスクを含む。揮発性媒体は、メインメモリ106などの動的メモリを含む。伝送媒体は、同軸ケーブル、銅線及び光ファイバ(バス102を含むワイヤを含む)を含む。伝送媒体は、無線周波数(RF)及び赤外線(IR)データ通信中に生成されるものなどの、音波又は光波の形態もとり得る。コンピュータ可読媒体の一般的形態は、例えば、フロッピーディスク、フレキシブルディスク、ハードディスク、磁気テープ、その他の磁気媒体、CD-ROM、DVD、その他の光媒体、パンチカード、紙テープ、孔のパターンを有したその他の物理媒体、RAM、PROM、及びEPROM、FLASH-EPROM、その他のメモリチップ又はカートリッジ、以下に記載されるような搬送波、又はコンピュータが読み取ることができるその他の媒体を含む。
[00133] 1つ又は複数の命令の1つ又は複数のシーケンスを、実行のためにプロセッサ104に運ぶために、様々な形態のコンピュータ可読媒体が関わることがある。例えば、命令は初めに、遠隔コンピュータに運ばれることがある。遠隔コンピュータは、命令をダイナミックメモリにロードし、命令を、モデムを使用して電話回線を介して送信することができる。コンピュータシステム100に対してローカルなモデムは、電話回線でデータを受信し、赤外線送信機を使用してデータを赤外線信号に変換することができる。バス102に結合された赤外線検出器が、赤外線信号で搬送されたデータを受信し、及びそのデータをバス102にのせることができる。バス102は、データをメインメモリ106に搬送し、そこからプロセッサ104が、命令の読み出し及び実行を行う。メインメモリ106によって受信された命令は、任意選択的に、プロセッサ104による実行の前又は後に、ストレージデバイス110に保存されてもよい。
[00134] コンピュータシステム100は、バス102に結合された通信インターフェース118も含み得る。通信インターフェース118は、ローカルネットワーク122に接続されたネットワークリンク120に結合する双方向データ通信も提供する。例えば、通信インターフェース118は、対応するタイプの電話回線にデータ通信接続を提供するデジタル総合サービス網(ISDN)カード又はモデムでもよい。別の例として、通信インターフェース118は、互換性のあるLANへのデータ通信接続を提供するローカルエリアネットワーク(LAN)カードでもよい。ワイヤレスリンクが実施されてもよい。このような実施において、通信インターフェース118は、様々なタイプの情報を表すデジタルデータストリームを搬送する電気、電磁、又は光信号の送信及び受信を行う。
[00135] ネットワークリンク120は、一般的に、1つ又は複数のネットワークを通して、他のデータデバイスにデータ通信を提供する。例えば、ネットワークリンク120は、ローカルネットワーク122を通して、ホストコンピュータ124又はインターネットサービスプロバイダ(ISP)126によって操作されるデータ機器への接続を提供することができる。ISP126は、次に、ワールドワイドパケットデータ通信ネットワーク(現在、一般に「インターネット」128と呼ばれる)によるデータ通信サービスを提供する。ローカルネットワーク122及びインターネット128は共に、デジタルデータストリームを搬送する電気、電磁、又は光信号を使用する。コンピュータシステム100に対して、及びコンピュータシステム100からデジタルデータを搬送する、様々なネットワークを通る信号、及びネットワークリンク120上の、及び通信インターフェース118を通る信号は、情報を運ぶ搬送波の形態例である。
[00136] コンピュータシステム100は、1つ又は複数のネットワーク、ネットワークリンク120、及び通信インターフェース118を通して、メッセージを送信すること、及びプログラムコードを含むデータを受信することができる。インターネット例では、サーバ130は、インターネット128、ISP126、ローカルネットワーク122、及び通信インターフェース118を通して、アプリケーションプログラムの要求コードを送信する場合がある。そのようなダウンロードされたあるアプリケーションは、本明細書における方法の全て又は一部を提供することができる。受信されたコードは、受信された際にプロセッサ104によって実行されてもよく、及び/又は後で実行するためにストレージデバイス110又は他の不揮発性ストレージに保存されてもよい。このようにして、コンピュータシステム100は、搬送波の形態のアプリケーションコードを取得してもよい。
[00137] 図13は、本明細書に記載の技法と併せて使用することができる図1に示す装置と同様及び/又は同じ例示的なリソグラフィ投影装置を概略的に示す。装置は以下を備える。
- 放射ビームBを調整する照明システムIL。この特定の事例では、照明システムは放射源SOも備える。
- パターニングデバイスMA(例えばレクチル)を保持するパターニングデバイスホルダが提供され、アイテムPSに関してパターニングデバイスを正確に位置決めする第1のポジショナに接続された第1のオブジェクトテーブル(例えばパターニングデバイステーブル)MT。
- 基板W(例えばレジストコートされたシリコンウェーハ)を保持する基板ホルダが提供され、アイテムPSに関して基板を正確に位置決めする第2のポジショナに接続された第2のオブジェクトテーブル(基板テーブル)。
- パターニングデバイスMAの照射された部分を基板Wのターゲット部分C(例えば、1つ又は複数のダイを含む)に結像する投影システム(「レンズ」)PS(例えば、屈折、反射光、又は反射屈折光学システム)。
[00138] 本明細書に示すように、装置は透過型(すなわち、透過パターニングデバイスを有する)である。しかしながら、一般に、装置は例えば反射型であってもよい(反射パターニングデバイスを有する)。装置は、従来のマスクに異なる種類のパターニングデバイスを利用し得、例にはプログラマブルミラーアレイ又はLCDマトリックスがある。
[00139] 放射源SO(例えば、水銀灯又はエキシマレーザ、LPP(レーザ生成プラズマ)EUV放射源)は放射ビームを生成する。このビームは、直接又は例えば、ビームエキスパンダEx等の調整手段を渡った後、照明システム(イルミネータ)ILに供給される。イルミネータILは、ビームの強度分布の外径及び/又は内径の程度(それぞれ一般にσ外径及びσ内径と呼ばれる)を設定するアジャスト手段ADを備え得る。加えて、イルミネータILは一般に、インテグレータIN及びコンデンサCO等の種々の他の構成要素を備える。このようにして、パターニングデバイスMAに衝突するビームBは、所望の均一性及び強度分布を断面に有する。
[00140] 図13に関して、放射源SOがリソグラフィ投影装置の筐体内にあり得る(放射源SOが水銀灯である場合によくあるように)が、リソグラフィ投影装置から離れ、放射源SOが生成する放射ビームは装置に導かれてもよく(例えば、適した誘導ミラーを用いて)、この後者のシナリオは、放射源SOがエキシマレーザ(例えば、KrF、ArF、又はFレージングに基づく)である場合によく見られることに留意されたい。
[00141] ビームPBは続けて、パターニングデバイステーブルMT上に保持されるパターニングデバイスMAによって遮られる。パターニングデバイスMAを渡った後、ビームBはレンズPLを通り、レンズPLはビームBを基板のターゲット部分Cにフォーカスする。第2のポジショニング手段(及び干渉測定手段IF)を用いて、基板テーブルWTを正確に移動させて、例えばビームPBのパスに異なるターゲット部分Cを位置決めすることができる。同様に、第1のポジショニング手段は、例えば、パターニングデバイスライブラリからパターニングデバイスMAを機械的に取り出した後又はスキャン中、ビームBのパスに関してパターニングデバイスMAを正確に位置決めするのに使用することができる。一般に、オブジェクトテーブルMT、WTの移動は、明確に示されていないロングストロークモジュール(粗い位置決め)及びショートストロークモジュール(細かい位置決め)を用いて実現される。しかしながら、ステッパ(ステップアンドスキャンツール)の場合、パターニングデバイステーブルMTは単にショートストロークアクチュエータに接続し得、又は固定し得る。
[00142] 図示のツールは2つの異なるモードで使用することができる。
-ステップモードでは、パターニングデバイステーブルMTは基本的に静止した状態に保たれ、全体パターニングデバイス像は、ターゲット部分C上に1回(すなわち、1回の「フラッシュ」)で投影される。基板テーブルWTは次いでx及び/又はy方向にシフトされ、それにより、異なるターゲット部分CをビームPBで照射することができる。
-スキャンモードでは、基本的に同じシナリオが当てはまるが、所与のターゲット部分Cは1回の「フラッシュ」で露光されない。その代わり、パターニングデバイステーブルMTは、速度vで所与の方向(いわゆる「スキャン方向」、例えばy方向)に移動可能であり、それにより、投影ビームBにパターニングデバイス像にわたりスキャンさせ、それと同時に、基板テーブルWTは同時に速度V=Mvで同じ又は逆の方向に移動し、ここで、MはレンズPLの倍率である(典型的にはM=1/4又は1/5)。このようにして、分解能を損なう必要なく、比較的大きなターゲット部分Cを露光することができる。
[00143] 図14は、ソースコレクタモジュールSO、照明システムIL、及び投影システムPSを含む装置1000をより詳細に示す。ソースコレクタモジュールSOは、ソースコレクタモジュールSOの閉鎖構造220内で真空環境が維持され得るように構築され及び配置される。EUV放射放出プラズマ210は、放電生成プラズマ源(及び/又は上記の他のソース)によって形成され得る。EUV放射は、ガス又は蒸気(例えば、電磁スペクトルのEUV範囲内の放射を放出するために、超高温プラズマ210が作られるXeガス、Li蒸気、又はSn蒸気)によって生成され得る。超高温プラズマ210は、例えば、少なくとも部分的にイオン化されたプラズマを生じさせる放電によって作られる。Xe、Li、Sn蒸気又は任意のその他の適宜のガス若しくは蒸気の例えば10Paの分圧が、放射の効率的生成に必要とされ得る。ある実施形態では、励起スズ(Sn)のプラズマは、EUV放射を生成するために提供される。
[00144] 高温プラズマ210によって放出された放射は、ソースチャンバ211から、ソースチャンバ211の開口内、又はその後ろに位置する任意選択的なガスバリア又は汚染物質トラップ230(場合によっては、汚染物質バリア又はフォイルトラップとも呼ばれる)を介して、コレクタチャンバ212内へと渡される。汚染物質トラップ230は、チャネル構造を含み得る。汚染物質トラップ230は、ガスバリア、又はガスバリア及びチャネル構造の組み合わせも含み得る。本明細書にさらに示される汚染物質トラップ又は汚染物質バリア230は、当該技術分野で知られているように、少なくともチャネル構造を含む。
[00145] コレクタチャンバ211は、いわゆる斜入射型コレクタでもよい放射コレクタCOを含み得る。放射コレクタCOは、上流放射コレクタ側251及び下流放射コレクタ側252を有する。コレクタCOを横断する放射は、格子スペクトルフィルタ240に反射して、一点鎖線「O」によって示される光軸に沿った仮想光源点IFに焦点を合わせることができる。仮想光源点IFは、一般的に中間焦点と呼ばれ、及びソースコレクタモジュールは、中間焦点IFが、閉鎖構造220の開口221に、又はその付近に位置するように配置される。仮想光源点IFは、放射放出プラズマ210の像である。
[00146] 続いて、放射は、パターニングデバイスMAにおいて放射ビーム21の所望の角度分布、及びパターニングデバイスMAにおいて放射強度の所望の均一性を提供するように配置されたファセットフィールドミラーデバイス22及びファセット瞳ミラーデバイス24を包含し得る照明システムILを横断する。サポート構造MTによって保持されたパターニングデバイスMAにおける放射ビーム21の反射時に、パターン付きビーム26が形成され、及びパターン付きビーム26は、投影システムPSによって、反射要素28、30を介して、基板テーブルWTによって保持される基板W上に結像される。
[00147] 一般に、図示されるよりも多くの要素が、照明光学系ユニットIL及び投影システムPS内に存在し得る。格子スペクトルフィルタ240が、リソグラフィ装置のタイプに応じて、任意選択的に存在してもよい。さらに、図面に示されるミラーよりも多くのミラーが存在してもよく、例えば、図13に示されるよりも1~6個の追加の反射要素が、投影システムPSに存在してもよい。
[00148] 図14に示されるようなコレクタ光学系COは、コレクタ(又はコレクタミラー)の単なる一例として、斜入射型リフレクタ253、254、及び255を備えた入れ子式コレクタとして描かれる。斜入射型リフレクタ253、254、及び255は、光軸Oに対して軸対称に配置され、及びこのタイプのコレクタ光学系COは、DPPソースと呼ばれることが多い、放電生成プラズマ源と組み合わせて使用され得る。
[00149] 或いは、図15に示されるように、ソースコレクタモジュールSOは、LPP放射システム(図示せず)の一部であり得る。この方式では、レーザLAは、キセノン(Xe)、スズ(Sn)、又はリチウム(Li)などの燃料にレーザエネルギーを堆積させるように構成され、数十eVの電子温度を有する、高度にイオン化されたプラズマ210を生成する。これらのイオンの脱励起及び再結合中に生成されたエネルギーの放射線が、プラズマから放射され、近法線入射コレクタ光学系COによって収集され、密閉構造220の開口部221に集束される。
[00150] 本開示の実施形態は、以下の条項によって更に説明することができる。
1.半導体製造プロセスのモデリング方法であって、
堆積モデルに基づいて基板の堆積プロファイルを予測することと、
予測堆積プロファイルを使用して、メトロロジターゲット設計を強化することと、
強化されたメトロロジターゲット設計に基づいて、1つ又は複数のフォトリソグラフィプロセスパラメータを予測することと、
1つ又は複数の決定されたフォトリソグラフィプロセスパラメータに基づいて、フォトリソグラフィ装置の調整を決定することと、
を含む方法。
2.1つ又は複数の決定されるフォトリソグラフィプロセスパラメータは、基板の1つ又は複数の層に関連付けられたオーバーレイ又はアライメントの1つ又は複数を含む、条項1に記載の方法。
3.メトロロジターゲット設計を強化することは、オーバーレイ又はアライメントに基づいて、第1のメトロロジターゲット設計から第2のメトロロジターゲット設計にメトロロジターゲット設計を調整することを含む、条項2に記載の方法。
4.メトロロジターゲット設計は、アライメントメトロロジターゲット設計又はオーバーレイメトロロジターゲット設計を含む、条項1に記載の方法。
5.予測堆積プロファイルは、非対称性によって生じるターゲットプロファイルからの予測堆積プロファイルのずれを特定するのに使用され、ずれは、非対称誘導性スキャナオフセットを補正するのに使用される、条項1に記載の方法。
6.決定された調整に基づいてフォトリソグラフィ装置を調整することを更に含み、調整することは、フォトリソグラフィ装置のドーズ、フォーカス、又は瞳孔の1つ又は複数を調整することを含む、条項1に記載の方法。
7.フォトリソグラフィ装置のドーズ、フォーカス、又は瞳孔の1つ又は複数を調整することは、メトロロジターゲット設計の形状を改善し、及び/又は非対称性によって生じるターゲット堆積プロファイルからの予測堆積プロファイルのずれを低減するように、放射のソースビームの強度を調整すること、投影システムレンズの位置を調整すること、又は投影システムアパーチャのサイズを調整することの1つ又は複数を含む、条項6に記載の方法。
8.物理的ウェーハの層からの実験的断面プロファイル情報を使用して堆積モデルを較正することを更に含む、条項1に記載の方法。
9.堆積プロファイルを予測することは、堆積前基板プロファイル及び堆積レシピパラメータを受信することと、堆積レシピパラメータに基づいて堆積前基板プロファイルで堆積プロセスをシミュレートして、予測される堆積プロファイルを生成することとを含む、条項1に記載の方法。
10.非対称性は、基板にわたる対応するフィーチャの寸法差を含む、条項1に記載の方法。
11.半導体製造プロセスにおいて堆積モデルを適用する方法であって、
堆積モデルを使用して基板の堆積プロファイルを予測することと、
予測堆積プロファイルを使用して、メトロロジターゲット設計を強化することと、
を含む方法。
12.物理的ウェーハの層からの実験的断面プロファイル情報を使用して堆積モデルを較正することを更に含む条項11に記載の方法。
13.堆積モデルは機械学習モデルであり、堆積モデルを較正することは、機械学習モデルをトレーニングすることを含む、条項12に記載の方法。
14.実験的断面プロファイル情報は、基板の電子像とモデルを使用して生成された基板の対応する電子図との間の視覚的又は寸法比較を含む、条項12に記載の方法。
15.メトロロジターゲット設計は、アライメントメトロロジターゲット設計又はオーバーレイメトロロジターゲット設計を含む、条項11~14の何れかに記載の方法。
16.予測堆積プロファイルを使用して、メトロロジターゲット設計を強化することは、アライメントメトロロジターゲット設計の信号強度を予測することと、予測された信号強度に基づいてアライメントメトロロジターゲット設計を強化することとを含む、条項11~15の何れかに記載の方法。
17.アライメントメトロロジターゲット設計を強化することは、予測される信号強度を最大化するように、アライメントメトロロジターゲット設計の1つ又は複数の寸法及び/又はパターンを調整し、及び/又は堆積材料を調整することを含む、条項16に記載の方法。
18.予測堆積プロファイルを使用して、メトロロジターゲット設計を強化することは、オーバーレイメトロロジターゲット設計の信号強度を予測することと、予測された信号強度に基づいてオーバーレイメトロロジターゲット設計を強化することとを含む、条項11~15の何れかに記載の方法。
19.オーバーレイメトロロジターゲット設計を強化することは、予測される信号強度を最大化するように、オーバーレイメトロロジターゲット設計の1つ又は複数の寸法及び/又はパターンを調整し、及び/又は堆積材料を調整することを含む、条項18に記載の方法。
20.予測堆積プロファイルを使用して、非対称性によって生じるターゲットプロファイルからの予測堆積プロファイルのずれを特定することと、ずれを使用して、測定メトロロジターゲット信号の非対称成分を予測することとを更に含む条項11~19の何れかに記載の方法。
21.ずれを使用して、非対称誘導性スキャナオフセットを補正することを更に含む条項20に記載の方法。
22.補正することは、測定メトロロジターゲット信号の非対称成分を除去することを含む、条項21に記載の方法。
23.測定メトロロジターゲット信号の非対称成分を除去することは、非対称成分を測定メトロロジターゲット信号から減算することを含む、条項22に記載の方法。
24.メトロロジターゲット信号の非対称成分は、ターゲットプロファイルからの予測堆積プロファイルのずれに基づいて予測される、条項20~23の何れかに記載の方法。
25.強化されたメトロロジターゲット設計に基づいてオーバーレイ及び/又はアライメントを予測することを更に含む条項11に記載の方法。
26.命令を記録した非一時的コンピュータ可読媒体を含むコンピュータプログラム製品であって、命令は、コンピュータによって実行されると、条項1~25の何れかに記載の方法を実施する、コンピュータプログラム製品。
27.半導体製造プロセスのモデリング方法であって、
基板の堆積プロファイルを取得することと、
堆積プロファイルを使用してメトロロジターゲット設計を調整することと、
を含む方法。
28.調整されたメトロロジターゲット設計に基づいて1つ又は複数のフォトリソグラフィプロセスパラメータを予測することを更に含む条項27に記載の方法。
29.1つ又は複数の決定されたフォトリソグラフィプロセスパラメータに基づいて、フォトリソグラフィ装置の調整を決定することを更に含む条項27に記載の方法。
30.堆積プロファイルを取得することは、堆積モデルを使用することによって予測される堆積プロファイルを生成することを含む、条項27に記載の方法。
31.メトロロジターゲット設計は、アライメントメトロロジターゲット設計又はオーバーレイメトロロジターゲット設計を含み、
1つ又は複数の決定されるフォトリソグラフィプロセスパラメータは、基板の1つ又は複数の層に関連付けられたオーバーレイ又はアライメントの1つ又は複数を含む、条項29に記載の方法。
32.メトロロジターゲット設計を調整することは、
メトロロジターゲット設計の信号強度を予測することと、
予測された信号強度に基づいてメトロロジターゲット設計を変更することと、
を含む、条項27に記載の方法。
33.メトロロジターゲット設計を変更することは、予測される信号強度を最大化するように、メトロロジターゲット設計の1つ又は複数の寸法及び/又はパターンを調整し、及び/又は堆積材料を調整することを含む、条項32に記載の方法。
34.予測される堆積プロファイルは、非対称性によって生じるターゲットプロファイルからの前記予測される堆積プロファイルのずれを特定するのに使用され、前記ずれは、非対称誘導性スキャナオフセットを補正するのに使用される、条項30に記載の方法。
35.決定される調整は、フォトリソグラフィ装置のドーズ、フォーカス、又は瞳孔の1つ又は複数の調整を含み、フォトリソグラフィ装置のドーズ、フォーカス、又は瞳孔の1つ又は複数の調整は、メトロロジターゲット設計の形状を改善し、及び/又は非対称性から生じるターゲット堆積プロファイルからの予測される堆積プロファイルのずれを低減するような放射線のソースビームの強度の1つ又は複数の調整、投影システムレンズの位置の調整、又は投影システムアパーチャのサイズの調整を含む、条項29に記載の方法。
36.予測される堆積プロファイルを生成することは、物理的ウェーハのプロセス層からの実験的断面プロファイル情報を使用して、堆積モデルを較正することを含む、条項30に記載の方法。
37.予測される堆積プロファイルを生成することは、堆積前基板プロファイル及び堆積レシピパラメータを受信することと、堆積レシピパラメータに基づいて堆積前基板プロファイルで堆積プロセスをシミュレートして、予測される堆積プロファイルを生成することとを含む、条項30に記載の方法。
38.非対称性は、基板にわたる対応するフィーチャの寸法差を含む、条項34に記載の方法。
39.堆積モデルは機械学習モデルであり、堆積モデルを較正することは、機械学習モデルをトレーニングすることを含む、条項36に記載の方法。
40.予測される堆積プロファイルを使用して、非対称性によって生じるターゲットプロファイルからの予測される堆積プロファイルのずれを特定することと、ずれを使用して、測定されるメトロロジターゲット信号の非対称成分を予測することと、非対称誘導性スキャナオフセットを補正することとを更に含み、補正することは、測定されるメトロロジターゲット信号の非対称成分を除去することを含む、条項30に記載の方法。
41.前記メトロロジターゲット設計の調整は、オーバーレイ若しくはアライメント測定信号又は他のスキャナメトロロジマーク信号の応答をシミュレートすることを含む、条項27に記載の方法。
42.命令が記録された非一時的コンピュータ可読媒体を含むコンピュータプログラム製品であって、命令は、コンピュータによって実行されると、条項27~41の何れかに記載の方法を実施する、コンピュータプログラム製品。
[00151] 本明細書に開示されたものは、サブ波長フィーチャを結像する任意の一般結像システムをシミュレート又は数学的にモデリングし得、特に、ますます短い波長を生成することが可能な新興の結像技術との併用に有用であり得る。既に使用されている新興技術には、EUV(極端紫外線)、ArFレーザを用いて193nm波長を生成することが可能であり、更にはフッ素レーザを用いて157nm波長を生成することが可能なDUVリソグラフィがある。さらに、EUVリソグラフィは、シンクロトロンを使用することにより又は高エネルギー電子を用いて材料(固体又はプラズマの何れか)に衝突させて、20~5nmの範囲内の光子を生成することにより、20~5nmの範囲内の波長を生成することが可能である。
[00152] 本明細書に開示されたものは、シリコンウェーハ等の基板上に結像するのに使用し得るが、開示された発明が任意のタイプのリソグラフィ結像システム、例えば、シリコンウェーハ以外の基板上に結像するのに使用されるものと併用し得ることが理解されるものとする。加えて、開示された要素の組合せ及びサブ組合せは別個の実施形態を構成し得る。例えば、予測堆積プロファイルを使用して、(1)メトロロジターゲット設計を強化し、及び/又は(2)非対称性によって生じるターゲットプロファイルからの予測堆積プロファイルのずれを特定することは、別個の実施形態を構成し得、及び/又はこれらのフィーチャは同じ実施形態で一緒に使用し得る。
[00153] 上記の記載は、説明のためのものであり、限定するものではないことが意図される。従って、当業者には、以下に記載される請求項の範囲から逸脱することなく、説明したように、変更が行われ得ることが明らかとなるだろう。

Claims (13)

  1. 命令が記録された非一時的コンピュータ可読媒体であって、前記命令は、1つ又は複数のプロセッサによって実行されると、
    基板の堆積プロファイルを取得することであって、パターン設計を堆積モデルに入力することによって予測堆積プロファイルを生成することを含む、取得することと、
    前記堆積プロファイルを使用して、メトロロジターゲット設計を調整することと、の方法を実施し、
    前記メトロロジターゲット設計を調整することは、
    前記メトロロジターゲット設計の測定信号強度を予測することと、
    前記予測される信号強度に基づいて前記メトロロジターゲット設計を変更することと、
    を含み、
    前記予測される堆積プロファイルを前記生成することは、物理的ウェーハのプロセス層からの実験的断面プロファイル情報を使用して較正される前記堆積モデルを使用することを含み、
    前記実験的断面プロファイル情報は、前記物理的ウェーハの前記プロセス層の電子像と、前記堆積プロファイルの対応する電子図との間の視覚的又は寸法的比較を含む、媒体。
  2. 前記方法は、前記調整されたメトロロジターゲット設計に基づいて1つ又は複数のフォトリソグラフィプロセスパラメータを予測することを更に含む、請求項1に記載の媒体。
  3. 前記方法は、前記1つ又は複数の決定されたフォトリソグラフィプロセスパラメータに基づいて、フォトリソグラフィ装置の調整を決定することを更に含む、請求項2に記載の媒体。
  4. 前記メトロロジターゲット設計は、アライメントメトロロジターゲット設計又はオーバーレイメトロロジターゲット設計を含み、
    前記1つ又は複数の決定されたフォトリソグラフィプロセスパラメータは、前記基板の1つ又は複数の層に関連するオーバーレイ又はアライメントの1つ又は複数を含む、請求項3に記載の媒体。
  5. 前記メトロロジターゲット設計を変更することは、前記予測される信号強度を最大化するように、前記メトロロジターゲット設計の1つ又は複数の寸法及び/又はパターンを調整し、及び/又は堆積材料を調整することを含む、請求項1に記載の媒体。
  6. 前記予測される堆積プロファイルは、非対称性によって生じるターゲットプロファイルからの前記予測される堆積プロファイルのずれを特定するのに使用され、前記ずれは、非対称誘導性スキャナオフセットを補正するのに使用される、請求項1に記載の媒体。
  7. 前記決定される調整は、前記フォトリソグラフィ装置のドーズ、フォーカス、又は瞳孔の1つ又は複数の調整を含み、前記フォトリソグラフィ装置の前記ドーズ、前記フォーカス、又は前記瞳孔の1つ又は複数の前記調整は、前記メトロロジターゲット設計の形状を改善し、及び/又は非対称性から生じるターゲット堆積プロファイルからの前記予測される堆積プロファイルのずれを低減するような放射線のソースビームの強度の1つ又は複数の調整、投影システムレンズの位置の調整、又は投影システムアパーチャのサイズの調整を含む、請求項3に記載の媒体。
  8. 前記予測される堆積プロファイルを生成することは、堆積前基板プロファイル及び堆積レシピパラメータを受信することと、前記堆積レシピパラメータに基づいて前記堆積前基板プロファイルで堆積プロセスをシミュレートして、前記予測される堆積プロファイルを生成することとを含む、請求項4に記載の媒体。
  9. 前記非対称性は、回折スペクトルの対向部分の寸法差を含む、請求項6に記載の媒体。
  10. 前記堆積モデルは機械学習モデルであり、前記堆積モデルを較正することは、前記機械学習モデルをトレーニングすることを含む、請求項に記載の媒体。
  11. 前記予測される堆積プロファイルを使用して、非対称性によって生じるターゲットプロファイルからの前記予測される堆積プロファイルのずれを特定することと、前記ずれを使用して、測定されるメトロロジターゲット信号の非対称成分を予測することと、前記非対称誘導性スキャナオフセットを補正することとを更に含み、前記補正することは、前記測定されるメトロロジターゲット信号の前記非対称成分を除去することを含む、請求項4に記載の媒体。
  12. 前記メトロロジターゲット設計の調整は、オーバーレイ若しくはアライメント測定信号又は他のスキャナメトロロジマーク信号の応答をシミュレートすることを含む、請求項1に記載の媒体。
  13. 基板の堆積プロファイルを取得することであって、パターン設計を堆積モデルに入力することによって予測堆積プロファイルを生成することを含む、取得することと、
    前記堆積プロファイルを使用して、メトロロジターゲット設計を調整することであって、前記メトロロジターゲット設計の調整は、オーバーレイ若しくはアライメント測定信号又は他のスキャナメトロロジマーク信号の応答をシミュレートすることを含む、調整することと、
    を含み、
    前記メトロロジターゲット設計を調整することは、
    前記メトロロジターゲット設計の測定信号強度を予測することと、
    前記予測される信号強度に基づいて前記メトロロジターゲット設計を変更することと、
    を含み、
    前記予測される堆積プロファイルを前記生成することは、物理的ウェーハのプロセス層からの実験的断面プロファイル情報を使用して較正される前記堆積モデルを使用することを含み、
    前記実験的断面プロファイル情報は、前記物理的ウェーハの前記プロセス層の電子像と、前記堆積プロファイルの対応する電子図との間の視覚的又は寸法的比較を含む、方法。
JP2021575253A 2019-07-03 2020-06-04 半導体製造プロセスにおいて堆積モデルを適用する方法 Active JP7482910B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201962870432P 2019-07-03 2019-07-03
US62/870,432 2019-07-03
PCT/EP2020/065400 WO2021001109A1 (en) 2019-07-03 2020-06-04 Method for applying a deposition model in a semiconductor manufacturing process

Publications (2)

Publication Number Publication Date
JP2022537741A JP2022537741A (ja) 2022-08-29
JP7482910B2 true JP7482910B2 (ja) 2024-05-14

Family

ID=71016516

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2021575253A Active JP7482910B2 (ja) 2019-07-03 2020-06-04 半導体製造プロセスにおいて堆積モデルを適用する方法

Country Status (7)

Country Link
US (1) US20220350254A1 (ja)
EP (1) EP3994526A1 (ja)
JP (1) JP7482910B2 (ja)
KR (1) KR20220016960A (ja)
CN (1) CN114026500A (ja)
TW (1) TWI765277B (ja)
WO (1) WO2021001109A1 (ja)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20220147672A (ko) * 2020-04-02 2022-11-03 에이에스엠엘 네델란즈 비.브이. 반도체 제조 프로세스에서 기판들의 그룹에 대한 검사 전략을 결정하기 위한 방법
US11532525B2 (en) * 2021-03-03 2022-12-20 Applied Materials, Inc. Controlling concentration profiles for deposited films using machine learning
CN113051863B (zh) * 2021-03-12 2023-02-24 广东省大湾区集成电路与系统应用研究院 半导体建模方法、装置、存储介质及计算机设备
US11604420B2 (en) * 2021-05-03 2023-03-14 Kla Corporation Self-calibrating overlay metrology
WO2023033884A1 (en) * 2021-09-02 2023-03-09 Sandisk Technologies Llc Implementation of deep neural networks for testing and quality control in the production of memory devices
US20230078146A1 (en) * 2021-09-15 2023-03-16 Applied Materials, Inc. Virtual measurement of conditions proximate to a substrate with physics-informed compressed sensing
US20230350394A1 (en) * 2022-04-27 2023-11-02 Applied Materials, Inc. Run-to-run control at a manufacturing system using machine learning

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2014526805A (ja) 2011-09-23 2014-10-06 ケーエルエー−テンカー コーポレイション プロセス認識メトロロジー
US20160003609A1 (en) 2014-07-07 2016-01-07 Kla-Tencor Corporation Signal Response Metrology Based On Measurements Of Proxy Structures
JP2017537352A (ja) 2014-11-26 2017-12-14 エーエスエムエル ネザーランズ ビー.ブイ. 計測方法、コンピュータ製品およびシステム
WO2018041513A1 (en) 2016-09-02 2018-03-08 Asml Netherlands B.V. Method and system to monitor a process apparatus
US20180314163A1 (en) 2014-12-15 2018-11-01 Asml Netherlands B.V. Optimization based on machine learning
WO2019048214A1 (en) 2017-09-06 2019-03-14 Asml Netherlands B.V. METHOD AND APPARATUS FOR METROLOGY

Family Cites Families (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0824722B1 (en) 1996-03-06 2001-07-25 Asm Lithography B.V. Differential interferometer system and lithographic step-and-scan apparatus provided with such a system
US6077756A (en) * 1998-04-24 2000-06-20 Vanguard International Semiconductor Overlay target pattern and algorithm for layer-to-layer overlay metrology for semiconductor processing
US7382447B2 (en) * 2001-06-26 2008-06-03 Kla-Tencor Technologies Corporation Method for determining lithographic focus and exposure
DE60319462T2 (de) 2002-06-11 2009-03-12 Asml Netherlands B.V. Lithographischer Apparat und Verfahren zur Herstellung eines Artikels
US20050209818A1 (en) * 2004-03-17 2005-09-22 Taiwan Semiconductor Manufacturing Co., Ltd. System and method for predicting a parameter for a lithography overlay first lot
US7791727B2 (en) 2004-08-16 2010-09-07 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US7925486B2 (en) * 2006-03-14 2011-04-12 Kla-Tencor Technologies Corp. Computer-implemented methods, carrier media, and systems for creating a metrology target structure design for a reticle layout
US7495781B2 (en) * 2006-07-10 2009-02-24 Tokyo Electron Limited Optimizing selected variables of an optical metrology model
JP2008034714A (ja) * 2006-07-31 2008-02-14 Fujitsu Ltd デバイス製造支援装置、そのシミュレーション方法、デバイス製造装置
US7873504B1 (en) * 2007-05-07 2011-01-18 Kla-Tencor Technologies Corp. Computer-implemented methods, carrier media, and systems for creating a metrology target structure design for a reticle layout
NL1036245A1 (nl) 2007-12-17 2009-06-18 Asml Netherlands Bv Diffraction based overlay metrology tool and method of diffraction based overlay metrology.
NL1036597A1 (nl) 2008-02-29 2009-09-01 Asml Netherlands Bv Metrology method and apparatus, lithographic apparatus, and device manufacturing method.
US8479125B2 (en) * 2009-03-31 2013-07-02 Christophe Pierrat Lithography modeling and applications
NL2005162A (en) 2009-07-31 2011-02-02 Asml Netherlands Bv Methods and scatterometers, lithographic systems, and lithographic processing cells.
JP2013502592A (ja) 2009-08-24 2013-01-24 エーエスエムエル ネザーランズ ビー.ブイ. メトロロジ方法および装置、リソグラフィ装置、リソグラフィプロセシングセル、およびメトロロジターゲットを備える基板
US8473271B2 (en) * 2010-03-12 2013-06-25 Synopsys, Inc. Fast photolithography process simulation to predict remaining resist thickness
NL2007425A (en) 2010-11-12 2012-05-15 Asml Netherlands Bv Metrology method and apparatus, and device manufacturing method.
US8601407B2 (en) * 2011-08-25 2013-12-03 Taiwan Semiconductor Manufacturing Company, Ltd. Geometric pattern data quality verification for maskless lithography
WO2016078861A1 (en) * 2014-11-17 2016-05-26 Asml Netherlands B.V. Process based metrology target design
US10430719B2 (en) * 2014-11-25 2019-10-01 Stream Mosaic, Inc. Process control techniques for semiconductor manufacturing processes
US9823585B2 (en) * 2015-03-31 2017-11-21 Taiwan Semiconductor Manufacturing Company, Ltd. EUV focus monitoring systems and methods
KR102377411B1 (ko) * 2015-04-10 2022-03-21 에이에스엠엘 네델란즈 비.브이. 검사와 계측을 위한 방법 및 장치
US9646127B2 (en) * 2015-05-14 2017-05-09 Synopsys, Inc. 3D resist profile aware etch-bias model
US11681849B2 (en) * 2016-10-24 2023-06-20 Asml Netherlands B.V. Method for optimizing a patterning device pattern
US10083270B2 (en) * 2016-12-14 2018-09-25 Taiwan Semiconductor Manufacturing Co., Ltd. Target optimization method for improving lithography printability
US10698320B2 (en) * 2016-12-14 2020-06-30 Taiwan Semiconductor Manufacturing Co., Ltd. Method for optimized wafer process simulation
US10409171B2 (en) * 2017-01-25 2019-09-10 Kla-Tencor Corporation Overlay control with non-zero offset prediction
US10133838B2 (en) * 2017-01-30 2018-11-20 Dongfang Jingyuan Electron Limited Guided defect detection of integrated circuits
US10474042B2 (en) * 2017-03-22 2019-11-12 Kla-Tencor Corporation Stochastically-aware metrology and fabrication
US10657214B2 (en) * 2018-10-09 2020-05-19 Applied Materials, Inc. Predictive spatial digital design of experiment for advanced semiconductor process optimization and control

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2014526805A (ja) 2011-09-23 2014-10-06 ケーエルエー−テンカー コーポレイション プロセス認識メトロロジー
US20160003609A1 (en) 2014-07-07 2016-01-07 Kla-Tencor Corporation Signal Response Metrology Based On Measurements Of Proxy Structures
JP2017537352A (ja) 2014-11-26 2017-12-14 エーエスエムエル ネザーランズ ビー.ブイ. 計測方法、コンピュータ製品およびシステム
US20180314163A1 (en) 2014-12-15 2018-11-01 Asml Netherlands B.V. Optimization based on machine learning
WO2018041513A1 (en) 2016-09-02 2018-03-08 Asml Netherlands B.V. Method and system to monitor a process apparatus
WO2019048214A1 (en) 2017-09-06 2019-03-14 Asml Netherlands B.V. METHOD AND APPARATUS FOR METROLOGY

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
Haoyu Yang、et.al.,Imbalance aware lithography hotspot detection: a deep learning approach,PROCEEDINGS OF SPIE,米国,SPIE,2017年03月28日,Vol.10148,pp1014807-1~1014807-16
Michael E. Adel et al.,Stack and topography verification as an enabler for computational metrology target design,PROCEEDINGS OF SPIE,米国,IEEE,2015年03月19日,Vol.9424,pages 94240D1-94240D10

Also Published As

Publication number Publication date
US20220350254A1 (en) 2022-11-03
CN114026500A (zh) 2022-02-08
WO2021001109A1 (en) 2021-01-07
TW202117454A (zh) 2021-05-01
EP3994526A1 (en) 2022-05-11
KR20220016960A (ko) 2022-02-10
JP2022537741A (ja) 2022-08-29
TWI765277B (zh) 2022-05-21

Similar Documents

Publication Publication Date Title
US11733610B2 (en) Method and system to monitor a process apparatus
JP7482910B2 (ja) 半導体製造プロセスにおいて堆積モデルを適用する方法
US11568123B2 (en) Method for determining an etch profile of a layer of a wafer for a simulation system
US20230244151A1 (en) Method for adjusting a target feature in a model of a patterning process based on local electric fields
US20220404718A1 (en) Matching pupil determination
JP7490817B2 (ja) アライメントマークの局所的な歪みに基づくアライメント信号の生成
KR20210005149A (ko) 기판의 스택 구성을 결정하는 방법
US20220404711A1 (en) Process monitoring and tuning using prediction models
CN118151498A (zh) 基于局部电场来调整图案化过程的模型中的目标特征的方法

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20220215

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20220215

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20230228

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20230329

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20230627

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20230804

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20231204

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20231211

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20240110

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20240409

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20240419

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20240430

R150 Certificate of patent or registration of utility model

Ref document number: 7482910

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150