KR20240016285A - 계측 측정 방법 및 장치 - Google Patents

계측 측정 방법 및 장치 Download PDF

Info

Publication number
KR20240016285A
KR20240016285A KR1020237041430A KR20237041430A KR20240016285A KR 20240016285 A KR20240016285 A KR 20240016285A KR 1020237041430 A KR1020237041430 A KR 1020237041430A KR 20237041430 A KR20237041430 A KR 20237041430A KR 20240016285 A KR20240016285 A KR 20240016285A
Authority
KR
South Korea
Prior art keywords
target
measurement
radiation
tool
metrology
Prior art date
Application number
KR1020237041430A
Other languages
English (en)
Inventor
한-광 니엔후이스
패트릭 필립 헬펜슈타인
샌더 바스 루볼
리즈위즈크 로에즈 프레데릭 반
샌디 클라우디아 숄츠
Original Assignee
에이에스엠엘 네델란즈 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from EP21192381.8A external-priority patent/EP4137889A1/en
Application filed by 에이에스엠엘 네델란즈 비.브이. filed Critical 에이에스엠엘 네델란즈 비.브이.
Publication of KR20240016285A publication Critical patent/KR20240016285A/ko

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/706835Metrology information management or control
    • G03F7/706837Data analysis, e.g. filtering, weighting, flyer removal, fingerprints or root cause analysis
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Data Mining & Analysis (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Length Measuring Devices By Optical Means (AREA)

Abstract

타겟을 조명하기 위한 조명 빔을 방출하도록 작동 가능한 조명 소스 및 타겟에 의해 산란된 산란 방사선을 수집하기 위한 계측 센서를 포함하는 계측 툴을 이용하여 기판 상의 타겟을 측정하는 방법이 개시된다. 본 방법은 제1 타겟 방향 및 제1 타겟 방향에 직교하는 제2 타겟 방향으로의 상기 타겟의 단위 셀의 셀 치수들; 및 제1 타겟 방향 및 제2 타겟 방향으로의 선택된 쌍의 상보적인 회절 차수의 차수 번호를 기반으로 타겟 각도를 계산하는 것을 포함한다. 적어도 한 쌍의 측정 획득은 조명 빔에 대해 제1 타겟 배향 및 제2 타겟 배향에서 수행되고, 여기서 적어도 한 쌍의 측정 획득 중 적어도 하나를 위한 타겟 각도는 사각(oblique angle)이다.

Description

계측 측정 방법 및 장치
관련 출원에 대한 상호 참조
본 출원은 2021년 5월 31에 출원된 EP 출원 21176856.9, 2021년 8월 20일에 출원된 EP 출원 21192381.8, 2021년 11월 29일에 출원된 EP 출원 21210947.4, 및 2022년 2월 15일에 출원된 EP 출원 22156865.2의 우선권을 주장하며, 이 출원들은 원용에 의해 전체적으로 본 명세서에 포함된다.
본 발명은 기판 내에 또는 기판 상에 제조된 구조체의 매개변수를 측정하는데 사용하기 위한 방법 및 장치에 관한 것이다. 특정 배열체는 오버레이 또는 측벽 각도의 측정과 관련될 수 있지만 이에 제한될 필요는 없다.
리소그래피 장치는 원하는 패턴을 기판 상으로 적용시키도록 구성된 기계이다. 리소그래피 장치는, 예를 들어 집적 회로(IC)의 제조에 사용될 수 있다. 리소그래피 장치는, 예를 들어 패터닝 디바이스 (예를 들어, 마스크)에 있는 패턴 (또는 흔히 "디자인 레이아웃" 또는 "디자인"으로도 지칭됨)을 기판 (예를 들어, 웨이퍼) 상에 제공된 방사선-감응 재료(레지스트)의 층 상으로 투영시킬 수 있다.
패턴을 기판 상에 투영시키기 위하여, 리소그래피 장치는 전자기 방사선을 이용할 수 있다. 이 방사선의 파장은 기판 상에 형성될 수 있는 피처의 최소 크기를 결정한다. 현재 사용되는 전형적인 파장은 365㎚ (i-라인), 248㎚, 193㎚ 및 13.5㎚이다. 4 내지 20㎚의 범위 내, 예를 들어 6.7㎚ 또는 13.5㎚의 파장을 갖는 극자외(EUV) 방사선을 사용하는 리소그래피 장치는, 예를 들어 193㎚의 파장을 갖는 방사선을 사용하는 리소그래피 장치보다 기판 상에 더 작은 피처를 형성하기 위해 사용될 수 있다.
리소그래피 장치의 전형적인 분해능 한계보다 작은 치수를 갖는 피처를 처리하기 위하여 저(low)-k1 리소그래피가 사용될 수 있다. 이러한 공정에서, 분해능 공식은 로 표현될 수 있으며, 여기서 λ는 이용된 방사선의 파장이고, NA는 리소그래피 장치의 투영 광학계의 개구수이며, CD는 "임계 치수" (일반적으로 프린트된 가장 작은 피처 크기이지만, 이 경우에서는 반분-피치)이고, k1은 실험적 분해능 계수이다. 일반적으로, k1이 작을수록, 특별한 전기적 기능 및 성능을 달성하기 위해 회로 디자이너에 의하여 계획된 형상 및 치수와 유사한 패턴을 기판 상에 재현하는 것이 더 어려워진다. 이 어려움을 극복하기 위해, 정교한 미세 조정 단계가 리소그래피 투영 장치 및/또는 디자인 레이아웃에 적용될 수 있다. 이는, 예를 들어 NA의 최적화, 맞춤형 조명 스킴, 위상 시프팅 패터닝 디바이스의 사용, 디자인 레이아웃에서의 광학 근접 보정(OPC, 흔히 "광학 및 공정 보정"으로도 지칭됨)과 같은 디자인 레이아웃의 다양한 최적화, 또는 "분해능 향상 기법"(RET)으로서 일반적으로 규정되는 다른 방법을 포함하지만, 이에 제한되지 않는다. 대안적으로, 리소그래피 장치의 안정성을 제어하기 위한 엄격한 제어 루프가 사용되어 저 k1에서 패턴의 재현을 개선할 수 있다.
리소그래피 공정에서, 예를 들어 공정 제어 및 검증을 위하여, 생성된 구조체를 자주 측정하는 것이 바람직하다. 임계 치수(CD)를 측정하기 위해 흔히 사용되는 스캐닝 전자 현미경, 및 오버레이 (디바이스의 2개의 층의 정렬의 정확도)를 측정하기 위한 전문화된 툴을 포함하는, 이러한 측정을 수행하기 위한 다양한 툴이 알려져 있다. 최근에, 리소그래피 분야에서의 사용을 위하여 다양한 형태의 스캐터로미터가 개발되어 왔다.
공지된 스캐터로미터의 예는 흔히 전용화된 계측 타겟의 제공에 의존한다. 예를 들어, 방법은 측정 빔이 격자보다 작은 스폿을 생성할 만큼 (즉, 격자가 언더필된다) 충분히 큰 단순한 격자 형태의 타겟을 필요로 할 수 있다. 소위 재구성 방법에서, 격자의 특성은 타겟 구조체의 수학적 모델과의 산란 방사선의 상호 작용을 시뮬레이션함으로써 계산될 수 있다. 모델의 매개변수는 시뮬레이션된 상호 작용이 실제 타겟으로부터 관찰된 것과 유사한 회절 패턴을 생성할 때까지 조정된다.
재구성에 의한 피처 형상의 측정에 더하여, 공개된 특허 출원 US2006/066855A1에 설명된 바와 같이, 이러한 장치를 사용하여 회절 기반 오버레이가 측정될 수 있다. 회절 차수의 암시야 이미징을 이용하는 회절 기반 오버레이 계측은 보다 작은 타겟에 대한 오버레이 측정을 가능하게 한다. 이 타겟은 조명 스폿보다 작을 수 있으며 또한 웨이퍼 상의 제품 구조체에 의해 둘러싸일 수 있다. 암시야 이미징 계측의 예는, 예를 들어 US2011/102753A1 및 US2012/0044470A와 같은 다수의 공개된 특허 출원에서 찾을 수 있다. 복합 격자 타겟을 사용하여 하나의 이미지에서 다수의 격자가 측정될 수 있다. 공지된 스캐터로미터는 가시광선 또는 근적외선(IR) 파장 범위의 광을 사용하는 경향이 있으며, 이는 격자의 피치가 특성이 실제로 관심 대상인 실제 제품 구조체보다 훨씬 더 개략적인 것(coarser)을 필요로 한다. 이러한 제품 피처는 DUV(심자외), EUV(극자외) 또는 훨씬 더 짧은 파장을 갖는 X-선 방사선을 이용하여 규정될 수 있다. 유감스럽게도, 이러한 파장은 일반적으로 계측에 유용하지 않거나 사용 가능하지 않다.
반면에, 최신의 제품 구조체의 치수는 너무 작아서 광학적 계측 기술에 의하여 이미지화될 수 없다. 작은 피처는, 예를 들어 다수의 패터닝 공정 및/또는 피치-증배(pitch-multiplication)에 의하여 형성된 피처를 포함한다. 이런 이유로, 대용량 계측을 위하여 사용되는 타겟은 흔히, 오버레이 오차 또는 임계 치수가 관심 대상 특성인 제품보다 훨씬 더 큰 피처를 사용한다. 측정 결과는 실제 제품 구조체의 치수와 간접적으로만 관련되며, 계측 타겟들이 리소그래피 장치 내의 광학적 투영 및/또는 제조 공정의 다른 단계의 상이한 처리 하에서 동일한 왜곡을 겪지 않기 때문에 부정확할 수 있다. 주사 전자 현미경(SEM)은 이러한 최신 제품 구조체를 직접 분해(resolve)할 수 있지만 SEM은 광학적 측정보다 훨씬 더 많은 시간을 소요한다. 더욱이, 전자는 두꺼운 공정 층을 통과할 수 없으며, 이는 전자를 계측 적용에 덜 적합하게 한다. 콘택트 패드를 사용하여 전기적 특성을 측정하는 것과 같은 다른 기술 또한 알려져 있지만, 이는 실제 제품 구조체의 대한 간접적인 증거만을 제공한다.
계측 중에 사용되는 방사선의 파장을 줄임으로써, 더 작은 구조체를 분해하는 것, 구조체의 구조적 변화에 대한 감도를 증가시키는 것 및/또는 제품 구조체에 더 침투하는 것이 가능하다. 고주파 방사선 (예를 들어, 강성 X-선, 연성 X-선 및/또는 EUV 방사선)을 적절하게 생성하는 이러한 한 방법은 펌프 방사선, 또는 생성 매체를 여기시키기 위한 구동 방사선 (예를 들어, 적외 IR 방사선)으로 불리는 것을 이용하는 것일 수 있으며, 이에 의하여 방출 방사선, 선택적으로 고주파 방사선을 포함하는 고차 고조파 발생을 생성한다.
공지된 특정한 배열체에서, 오버레이 계측은 전자기 방사선을 이용하여 오버레이 타겟 또는 다른 구조체를 조명하고 오버레이 타겟으로부터 회절된 또는 반사된 방사선을 측정함으로써 수행될 수 있다. 타겟은 서로의 최상부에 있는 2개의 격자를 포함할 수 있다. 회절 방사선의 비대칭은 음의 회절 차수 그리고 대응하는 양의 회절 차수의 세기 간의 차이, 예를 들어, -1차 회절 차수와 +l차 회절 차수의 차이로서 규정된다. 이 비대칭은 오버레이 타겟의 최상부 격자와 최하부 격자 사이의 측 방향 시프트 (오버레이 시프트)에 의존적이다. 따라서 오버레이 격자의 비대칭은 오버레이의 평가를 허용한다.
본 명세서에서 사용된 바와 같이, 용어 "세기"는 단위 면적당 SXR 방사선일 수 있는 방사선의 입사 파워 (와트)을 포함한다. 개시된 예시적인 배열체에서, 영역은 검출기 또는 센서 영역일 수 있다. 용어 "신호"는 노광 중에 검출기 (또는 센서) 픽셀에 의해 수집된 전하를 포함한다. 신호는 쿨롱(coulombs) 또는 아날로그-디지털 단위(ADU)로 표현될 수 있다. 신호는 방사 조도 및 노광 시간에 비례한다 (비례 상수는 파장 의존적이다). 용어 "반사율"은 타겟에 입사하는 스펙트럼 플럭스에 대한 회절된 스펙트럼 플럭스의 비율을 포함한다. 반사율은 타겟 특성, 타겟 배향, 파장 및/또는 회절 차수 번호에 좌우될 수 있다. 타겟의 반사율은 시간이 지남에 따라 변화할 수 있다(드리프트). 반사율은 노광 시간에 대한 평균으로서 측정될 수 있다.
이러한 평가는 전형적으로 비대칭과 오버레이 간의 관계의 교정 (즉, 비대칭에 대한 오버레이의 감도의 추출)을 필요로 한다. 이는 알려진 오버레이 시프트 (오버레이 바이어스)가 있는 다수의 오버레이 타겟에 대한 측정을 사용하여 이루어질 수 있다. 하나의 예시적인 교정 방법은 오버레이 (및 감도)를 추출하기 위해 상이한 오버레이 시프트를 2개의 오버레이 타겟에 대한 측정을 사용한다.
시스템 (또는 툴) 비대칭 (예를 들어, 센서 비대칭)이 없는 경우 타겟으로부터의 회절 방사선의 단일 측정은 오버레이 추출에 충분하다. 시스템 비대칭 (예를 들어, 1차 차수와 비교하여 -1차 차수에 대한 검출기의 상이한 이득)은 회절 방사선을 기반으로 결정된 비대칭에 비-오버레이 비대칭을 추가한다. 이 툴-유도 비대칭을 제거하기 위해, 타겟이 평면 내에서 180도 회전된 후 동일한 타겟에 대한 제2 측정이 이루어진다. 제1 측정은 공칭 타겟 배향 측정으로 지칭되며, 제2 측정은 회전된 타겟 배향 측정으로 지칭된다. 회전된 측정은 또한 회전된 타겟으로부터의 회절 방사선의 결과로 이어진다. 그러나 툴-유도 비대칭은 회전되지 않을 것이다. 따라서 공칭 측정과 회전 측정의 조합은 시스템 비대칭으로부터 오버레이 비대칭의 구별을 허용한다.
이 접근 방식은 1D 주기적 타겟에 대해 효과적이다. 그러나 예를 들어 단일 타겟에서 양 기판 평면 방향으로의 오버레이를 측정하기 위해 2D 주기적 타겟을 측정할 때, 이 접근 방식은 가동하지 않는다.
따라서 2D-주기적 타겟을 측정할 때 시스템 또는 툴 비대칭을 보정하기 위한 개선된 방법이 바람직하다.
본 발명의 제1 양태에서, 타겟을 조명하기 위한 조명 빔을 방출하도록 작동 가능한 조명 소스 및 타겟에 의해 산란된 산란 방사선을 수집하기 위한 계측 센서를 포함하는 계측 툴을 이용하여 기판 -상기 기판의 표면은 제1 툴 방향 및 상기 제1 툴 방향에 직교하는 제2 툴 방향에 걸쳐 연장되는 기판 평면을 규정하며, 여기서 상기 제1 툴 방향, 제2 툴 방향 그리고 상기 제1 툴 방향 및 제2 툴 방향에 직교하는 제3 툴 방향은 함께 툴 좌표계를 규정함-상의 타겟을 측정하는 방법이 제공되며, 본 방법은: 적어도 한 쌍의 측정 획득 -상기 적어도 한 쌍의 측정 획득은 조명 빔에 대해 제1 타겟 배향에서의 타겟의 제1 측정 획득, 및 조명 빔에 대해 제2 타겟 배향에서의 타겟의 제2 측정 획득을 포함하며, 상기 제1 타겟 배향은 상기 기판 평면에 수직인 축을 중심으로 타겟 좌표계와 상기 툴 좌표계 사이의 타겟 각도에 의해 규정되고, 상기 적어도 한 쌍의 측정 획득 중 적어도 하나를 위한 상기 타겟 각도는 사각(oblique angle)임-을 수행하는 것; 및 제1 측정 획득 및 제2 측정 획득으로부터 측정 획득 -선택적으로 측정 획득은 조명 빔 및/또는 계측 센서로 인한 비대칭 기여분에 대해 보정된 보정 측정 획득임-을 결정하는 것을 포함한다.
이제 첨부된 개략적인 도면들을 참조하여 실시예가 단지 예로서 설명될 것이며, 도면에서;
도 1은 리소그래피 장치의 개략적인 개요를 도시하고 있다.
도 2는 리소그래피 셀의 개략적인 개요를 도시하고 있다.
도 3은 반도체 제조를 최적화하기 위한 세 가지 핵심 기술 간의 협력을 나타내는 홀리스틱 리소그래피의 개략적인 도면을 도시하고 있다.
도 4는 스캐터로메트리 장치를 개략적으로 도시하고 있다.
도 5는 투과형 스캐터로메트리 장치를 개략적으로 도시하고 있다.
도 6은 EUV 및/또는 SXR 방사선이 사용되는 계측 장치의 개략도를 도시하고 있다.
도 7은 조명 소스의 단순화된 개략도를 도시하고 있다.
도 8은 (a) 종래 기술의 미러 대칭 툴 비대칭 보정 방법에 대한, 그리고 (b) 점 대칭 툴 비대칭 보정 방법에 대한 회절 패턴들을 도시하고 있다.
도 9는 제1 실시예에 따른 방법의 단계들을 도시하는 흐름도이다.
도 10은 제2 실시예에 따른 방법의 단계들을 도시하는 흐름도이다.
도 11의 (a) 및 (b)는 도 10의 흐름도에 의해 설명된 방법에 대한 회절 패턴을 도시하고 있다.
도 12는 전형적인 HHG 출력 스펙트럼을 도시하는 파수에 대한 스펙트럼 파워의 플롯이다.
도 13은 3개의 타겟 방향을 위한 이산-파장 스펙트럼의 qz 값에 대한 매핑을 설명하는 qz 값에 대한 스펙트럼 파워의 플롯이다.
도 14는 실시예에 따른 전체 툴-비대칭 보정을 수행하기 위해 선택된 타겟 배향들의 각각에 대한 예시적인 캡처된 회절 패턴을 도시하고 있다.
도 15는 초기 이상치 제거 단계를 수행하기 위해 선택된 타겟 배향들의 각각에 대한 예시적인 캡처된 회절 패턴을 도시하고 있다.
도 16의 (a), (b), (c) 및 (d)는 추가 대칭화 실시예의 타겟 배향들의 각각에 대한 예시적인 캡처된 회절 패턴을 도시하고 있다.
본 명세서에서, 용어 "방사선" 및 "빔"은 (예를 들어, 365, 248, 193, 157 또는 126㎚의 파장을 갖는) 자외 방사선, EUV (예를 들어 약 5 내지 100㎚ 범위의 파장을 갖는 극자외 방사선), X-선 방사선, 전자 빔 방사선 및 기타 입자 방사선을 포함하는 모든 유형의 전자기 방사선 및 입자 방사선을 포함시키기 위해 사용된다.
본 명세서에 사용되는 바와 같은 용어 "레티클", "마스크" 또는 "패터닝 디바이스"는 입사 방사선 빔에, 기판의 타겟 부분에 생성될 패턴에 대응하는 패터닝된 횡단면을 부여하기 위해 사용될 수 있는 전반적인 패터닝 디바이스를 지칭하는 것으로서 넓게 해석될 수 있다. 용어 "광 밸브"는 또한 이와 관련하여 사용될 수 있다. 전형적인 마스크 (투과형 또는 반사형, 바이너리, 위상-시프팅, 하이브리드 등) 이외에, 다른 이러한 패터닝 디바이스의 예는 프로그램 가능한 미러 어레이 및 프로그램 가능한 LCD 어레이를 포함한다.
도 1은 리소그래피 장치(LA)를 개략적으로 도시하고 있다. 리소그래피 장치(LA)는 방사선 빔(B) (예를 들어, UV 방사선, DUV 방사선, EUV 방사선 또는 X-선 방사선)을 조정하도록 구성된 조명 시스템 (일루미네이터로도 지칭됨)(IL), 패터닝 디바이스 (예를 들어, 마스크)(MA)를 지지하도록 구성되며 특정 매개변수에 따라 패터닝 디바이스(MA)를 정확하게 위치시키도록 구성된 제1 포지셔너(PM)에 연결된 마스크 지지체 (예를 들어, 마스크 테이블)(T), 기판 (예를 들어, 레지스트 코팅된 웨이퍼)(W)을 유지하도록 구성되며 특정 매개변수에 따라 기판 지지체를 정확하게 위치시키도록 구성된 제2 포지셔너(PW)에 연결된 기판 지지체 (예를 들어, 웨이퍼 테이블)(WT); 및 패터닝 디바이스(MA)에 의해 방사선 빔(B)에 부여된 패턴을 기판(W)의 (예를 들어, 하나 이상의 다이를 포함하는) 타겟 부분(C) 상으로 투영시키도록 구성된 투영 시스템 (예를 들어, 굴절형 투영 렌즈 시스템)(PS)을 포함한다.
동작 시에, 조명 시스템(IL)은, 예를 들어 빔 전달 시스템(BD)을 통해 방사선 소스(SO)로부터 방사선 빔을 받아들인다. 조명 시스템(IL)은 방사선을 지향, 성형 및/또는 제어하기 위해 굴절형, 반사형, 회절형, 자기형, 전자기형, 정전형 및/또는 다른 유형의 광학 구성 요소, 또는 이들의 임의의 조합과 같은 다양한 유형의 광학 구성 요소를 포함할 수 있다. 일루미네이터(IL)는 패터닝 디바이스(MA)의 평면에서 방사선 빔의 횡단면에 원하는 공간 및 각도 세기 분포를 갖도록 방사선 빔(B)을 조절하기 위해 사용될 수 있다.
본 명세서에서 사용된 용어 "투영 시스템"(PS)은, 사용되는 노광 방사선에 및/또는 침지 액체의 사용 또는 진공의 사용과 같은 다른 요인에 대해 적합한 것으로서, 굴절형, 반사형, 회절형, 반사굴절형, 애너모픽, 자기형, 전자기형 및/또는 정전형 광학 시스템 또는 이들의 임의의 조합을 포함하는 다양한 유형의 투영 시스템을 포함하는 것으로 폭넓게 해석되어야 한다. 본 명세서에서의 용어 "투영 렌즈"의 임의의 사용은 보다 일반적인 용어 "투영 시스템"(PS)과 동의어로 간주될 수 있다.
리소그래피 장치(LA)는 투영 시스템(PS)과 기판(W) 사이의 공간을 채우기 위해 비교적 높은 굴절률을 갖는 액체, 예를 들어 물로 기판의 적어도 일부분이 덮일 수 있는 유형일 수도 있으며, 이는 또한 침지 리소그래피로 지칭된다. 침지 기술에 대한 더 많은 정보는 미국 특허 US6,952,253에 제공되어 있으며, 이 특허는 원용에 의해 전체적으로 본 명세서에 포함된다.
리소그래피 장치(LA)는 또한 2개 이상의 기판 지지체(WT) (또한 "이중 스테이지"로 불림)를 갖는 유형일 수 있다. 이러한 "다중 스테이지" 기계에서, 기판 지지체(WT)들은 동시에 사용될 수 있으며, 및/또는 기판(W)의 후속 노광의 준비 단계가 기판 지지체(WT) 중 하나에 위치된 기판(W) 상에서 수행될 수 있으면서, 다른 기판 지지체(WT) 상의 또 다른 기판(W)은 이 다른 기판(W) 상에 패턴을 노광하기 위해 이용되고 있다.
기판 지지체(WT)에 더하여, 리소그래피 장치(LA)는 측정 스테이지를 포함할 수 있다. 측정 스테이지는 센서 및/또는 세정 디바이스를 유지하도록 배열된다. 센서는 투영 시스템(PS)의 특성 또는 방사선 빔(B)의 특성을 측정하도록 배열될 수 있다. 측정 스테이지는 다수의 센서를 유지시킬 수 있다. 세정 디바이스는 리소그래피 장치의 일부, 예를 들어 투영 시스템(PS)의 일부 또는 침지 액체를 제공하는 시스템의 일부를 세정하도록 배열될 수 있다. 기판 지지체(WT)가 투영 시스템(PS)으로부터 떨어져 있을 때 측정 스테이지는 투영 시스템(PS) 아래로 이동할 수 있다.
작동 시, 방사선 빔(B)은 마스크 지지체(T) 상에 유지되는 패터닝 디바이스, 예를 들어 마스크(MA)에 입사되며, 패터닝 디바이스(MA) 상에 존재하는 패턴 (디자인 레이아웃)에 의해 패터닝된다. 마스크(MA)를 가로지른 방사선 빔(B)은 투영 시스템(PS)을 통과하며, 투영 시스템은 빔을 기판(W)의 타겟 부분(C) 상으로 집속한다. 제2 포지셔너(PW) 및 위치 측정 시스템(IF)의 도움으로, 기판 지지체(WT)는 예를 들어, 집속된 그리고 정렬된 위치에서 방사선 빔(B)의 경로 내에 상이한 타겟 부분(C)들을 위치시키기 위하여 정확하게 이동될 수 있다. 마찬가지로, 제1 포지셔너(PM) 그리고 가능하게는 (도 1에서는 명확하게 도시되지 않은) 또 다른 위치 센서가 이용되어 방사선 빔(B)의 경로에 대해 패터닝 디바이스(MA)를 정확하게 위치시킬 수 있다. 패터닝 디바이스(MA)와 기판(W)은 마스크 정렬 마크(M1, M2)와 기판 정렬 마크(P1, P2)를 이용하여 정렬될 수 있다. 도시된 바와 같이 기판 정렬 마크(P1, P2)는 전용 타겟 부분을 점유하지만, 이들은 타겟 부분들 사이의 공간에 위치될 수 있다. 기판 정렬 마크(P1, P2)는 이들이 타겟 부분(C)들 사이에 위치될 때 스크라이브-레인 정렬 마크로 알려져 있다.
도 2에서 보여지는 바와 같이, 리소그래피 장치(LA)는 때로는 리소셀 또는 (리소)클러스터로도 지칭되는 리소그래피 셀(LC)의 일부를 형성할 수 있으며, 이는 흔히 기판(W)에 노광 전 및 노광 후 공정을 수행하기 위한 장치를 또한 포함한다. 일반적으로, 이들은 레지스트 층을 증착하기 위한 스핀 코터(SC), 노광된 레지스트를 현상하기 위한 현상기(DE), 예를 들어 기판(W)의 온도를 조정하기 위한, 예를 들어 레지스트 층 내의 용매를 조정하기 위한 냉각 플레이트(CH)와 베이크 플레이트(BK)를 포함한다. 기판 핸들러 또는 로봇(RO)이 입력/출력 포트(I/O1, I/O2)로부터 기판(W)을 픽업하고, 이를 상이한 공정 장치들 사이를 이동시키며, 기판(W)을 리소그래피 장치(LA)의 로딩 베이(LB)에 전달한다. 통칭적으로 트랙으로도 흔히 지칭되는 리소셀 내의 디바이스들은 감독 제어 시스템(SCS)에 의해 자체 제어될 수 있는 트랙 제어 유닛(TCU)의 제어 하에 있으며, 감독 제어 시스템 또한 예를 들어 리소그래피 제어 유닛(LACU)을 통해 리소그래피 장치를 제어할 수 있다.
리소그래피 공정에서, 예를 들어 공정 제어 및 검증을 위해 생성된 구조체를 자주 측정하는 것이 바람직하다. 이러한 측정을 수행하기 위한 툴은 계측 툴(MT)로 불릴 수 있다. 주사 전자 현미경 또는 다양한 형태의 스캐터로미터 계측 툴(MT)을 포함하는, 이러한 측정을 수행하기 위한 다양한 유형의 계측 툴(MT)이 알려져 있다. 스캐터로미터는, 스캐터로미터의 대물계의 퓨필 또는 퓨필과의 공액 평면에 또는 그에 가까이에 센서를 가짐으로써 -측정은 일반적으로 퓨필 기반 측정으로 지칭됨-; 또는 이미지 평면 또는 이미지 평면과 공액인 평면에 또는 그에 가까이에 센서를 가짐으로써 -이 경우 측정은 일반적으로 이미지 또는 필드 기반 측정으로 지칭됨-리소그래피 공정의 매개변수의 측정을 허용하는 다용도 기구이다. 이러한 스캐터로미터 및 연관된 측정 기법은 특허 출원 US2010/0328655, US2011/102753A1, US2012/0044470A, US2011/0249244, US2011/0026032 또는 EP1,628,164A에 추가로 설명되어 있으며, 이 문헌들은 원용에 의해 전체적으로 본 명세서에 포함된다. 앞서 언급된 스캐터로미터는 경질 X-선(HXR), 연질 X-선(SXR), 극자외선(EUV), 가시광선에서 근적외선(IR)까지의 파장 범위의 광을 사용하여 격자를 측정할 수 있다. 방사선이 경질 X-선 또는 연질 X-선인 경우, 앞서 언급된 스캐터로미터는 선택적으로 작은 각도 X-선 산란 계측 툴일 수 있다.
리소그래피 장치(LA)에 의해 노광되는 기판(W)이 정확하고 일관되게 노광되도록 하기 위하여, 기판을 검사하여 후속 층들 간의 오버레이 오차, 라인 두께, 임계 치수(CD), 구조체의 형상 등과 같은 패터닝된 구조체의 특성을 측정하는 것이 바람직하다. 이 목적을 위하여, 검사 툴 및/또는 계측 툴(보이지 않음)이 리소셀(LC)에 포함될 수 있다. 오차가 검출되는 경우, 예를 들어 후속 기판의 노광에 대해 또는 기판(W) 상에서 수행될 다른 처리 단계에 대해 조정이 이루어질 수 있으며, 특히 동일한 배치(batch) 또는 로트의 다른 기판(W)이 아직 노광되거나 처리되기 전에 검사가 이루어지는 경우에 더욱 그렇다.
계측 장치로도 지칭될 수 있는 검사 장치는 기판(W)의 특성을 결정하기 위해, 그리고 특히 상이한 기판(W)들의 특성이 어떻게 달라지는지 또는 동일 기판(W)의 상이한 층들과 연관된 특성이 층마다 어떻게 달라지는지를 결정하기 위해 사용된다. 검사 장치는 대안적으로 기판(W) 상의 결함을 식별하도록 구성될 수 있으며, 또는 예를 들어 리소 셀(LC)의 일부일 수 있거나, 리소그래피 장치(LA)에 통합될 수 있거나, 심지어 독립형 디바이스일 수도 있다. 검사 장치는 잠상 (노광 후 레지스트 층 내의 이미지), 또는 반잠상 이미지 (노광 후 베이크 단계(PEB) 이후 레지스트 층 내의 이미지), 또는 현상된 레지스트 이미지 (레지스트의 노광된 부분 또는 노광되지 않은 부분이 제거됨), 또는 심지어 에칭된 이미지 (에칭과 같은 패턴 전사 단계 이후)에 대한 특성을 측정할 수 있다.
제1 실시예에서, 스캐터로미터(MT)는 각도 분해 스캐터로미터이다. 이러한 스캐터로미터에서, 재구성 방법이 측정된 신호에 적용되어 격자의 특성을 재구성 또는 계산할 수 있다. 이러한 재구성은, 예를 들어 타겟 구조체의 수학적 모델과의 산란 방사선의 상호 작용을 시뮬레이션하는 것 그리고 시뮬레이션 결과를 측정의 결과와 비교하는 것으로부터 기인할 수 있다. 수학적 모델의 매개변수는 시뮬레이션된 상호 작용이 실제 타겟으로부터 관측된 것과 유사한 회절 패턴을 생성할 때까지 조정된다.
제2 실시예에서, 스캐터로미터(MT)는 분광 스캐터로미터이다. 이러한 분광 스캐터로미터(MT)에서, 방사선 소스에 의해 방출된 방사선은 타겟 상으로 지향되며, 타겟으로부터의 반사, 투과 또는 산란 방사선은 스펙트로미터 검출기로 지향되고, 이 스펙트로미터 검출기는 정반사된 방사선의 스펙트럼을 측정 (즉, 파장의 함수로서의 세기의 측정)한다. 이 데이터로부터, 검출된 스펙트럼을 발생시키는 타겟의 구조체 또는 프로파일은, 예를 들어 엄밀한 결합 파동 분석(Rigorous Coupled Wave Analysis) 및 비선형 회귀에 의해 또는 시뮬레이션된 스펙트럼의 라이브러리와의 비교에 의해 재구성될 수 있다.
제3 실시예에서, 스캐터로미터(MT)는 엘립소메트릭(ellipsometric) 스캐터로미터이다. 엘립소메트릭 스캐터로미터는 각 편광 상태에 대해 산란 또는 투과 방사선을 측정함으로써 리소그래피 공정의 매개변수를 결정하는 것을 허용한다. 이러한 계측 장치는, 예를 들어 계측 장치의 조명 부분에 적절한 편광 필터를 사용함으로써 (선형, 원형 또는 타원형과 같은) 편광을 방출한다. 계측 장치에 적합한 소스는 편광 방사선을 제공할 수 있다. 기존의 엘립소메트릭 스캐터로미터의 다양한 실시예가 미국 특허 출원 11/451,599, 11/708,678, 12/256,780, 12/486,449, 12/920,968, 12/922,587, 13/000,229, 13/033,135, 13/533,110 및 13/891,410 등에 설명되어 있으며, 이 문헌들은 원용에 의해 전체로서 본 명세서에 포함된다.
스캐터로미터(MT)의 한 실시예에서, 스캐터로미터(MT)는 반사된 스펙트럼 및/또는 검출 구성 내의 비대칭성을 측정함으로써 2개의 오정렬된 격자 또는 주기적 구조체의 오버레이를 측정하도록 맞추어지며, 비대칭성은 오버레이의 정도(extent)와 관련이 있다. 2개의 (중첩할 수 있는) 격자 구조체는 (반드시 연속적인 층들은 아닌) 2개의 상이한 층에 적용될 수 있으며, 또한 실질적으로 웨이퍼 상의 동일한 위치에 형성될 수 있다. 스캐터로미터는, 예를 들어 공동 소유의 특허 출원 EP1,628,164A에서 설명된 바와 같이 대칭적인 검출 구성을 가질 수 있으며, 따라서 임의의 비대칭성은 명확하게 식별 가능하다. 이는 격자 내의 오정렬을 측정하기 위한 간단한 방법을 제공한다. 타겟이 주기적 구조체의 비대칭성을 통해 측정됨에 따라 주기적 구조체를 포함하는 2개 층 사이의 오버레이 오차를 측정하기 위한 추가 예는 PCT 특허 출원 공개 번호 WO2011/012624 또는 미국 특허 출원 US2016/0161863에서 찾아질 수 있으며, 이들은 원용에 의해 전체적으로 본 명세서에 포함된다.
다른 관심 대상 매개변수는 초점 및 선량일 수 있다. 초점과 선량은 원용에 의해 전체적으로 본 명세서에 포함되는 미국 특허 출원 US2011/0249244에서 설명된 바와 같이 스캐터로메트리에 의하여 (또는 대안적으로 주사 전자 현미경에 의하여) 동시에 결정될 수 있다. 초점 에너지 매트릭스(focus energy matrix)(FEM-초점 노광 매트릭스로도 지칭됨)의 각 지점에 대한 임계 치수와 측벽 각도 측정의 고유 조합을 갖는 단일 구조체가 사용될 수 있다. 임계 치수와 측벽 각도의 이 고유한 조합이 사용 가능한 경우, 초점 및 선량 값은 이 측정들로부터 고유하게 결정될 수 있다.
계측 타겟은 리소그래피 공정에 의하여, 주로 레지스트 내에, 그러나 예를 들어 에칭 공정 후에 형성된 복합 격자들의 앙상블(ensemble)일 수 있다. 격자들 내의 구조체들의 피치 및 선-폭은 계측 타겟으로부터 나오는 회절 차수를 캡처할 수 있도록 측정 광학계 (특히, 광학계의 NA)에 크게 의존할 수 있다. 앞서 나타난 바와 같이, 회절 신호는 2개의 층 사이의 시프트(shift) (또한 "오버레이"로 지칭됨)를 결정하기 위해 사용될 수 있거나, 리소그래피 공정에 의하여 생성된 바와 같은 원래 격자의 적어도 일부를 재구성하기 위해 사용될 수 있다. 이 재구성은 리소그래피 공정의 품질의 지침을 제공하기 위해 이용될 수 있으며 또한 리소그래피 공정의 적어도 일부를 제어하기 위해 이용될 수 있다. 타겟은 타겟 내의 디자인 레이아웃의 기능적 부분의 치수를 모방하도록 구성된 더 작은 서브-세그먼테이션(sub-segmentation)을 가질 수 있다. 이 서브-세그먼테이션으로 인하여, 타겟은 전체 공정 매개변수 측정이 디자인 레이아웃의 기능적 부분과 더 잘 비슷하도록 디자인 레이아웃의 기능적 부분과 더 유사하게 거동할 것이다. 타겟은 언더필 모드(under-filled mode)에서 또는 오버필 모드(overfilled mode)에서 측정될 수 있다. 언더필 모드에서, 측정 빔은 전체 타겟보다 작은 스폿을 생성한다. 오버필 모드에서, 측정 빔은 전체 타겟보다 큰 스폿을 생성한다. 이러한 오버필 모드에서, 상이한 타겟들을 동시에 측정하는 것이 또한 가능할 수 있으며, 따라서 상이한 처리 매개변수들을 동시에 결정할 수 있다.
특정 타겟을 사용하는 리소그래피 매개변수의 전체적인 측정 품질은 이 리소그래피 매개변수를 측정하기 위해 사용되는 측정 레시피에 의해 적어도 부분적으로 결정된다. 용어 "기판 측정 레시피"는 측정 자체의 하나 이상의 매개변수, 측정된 하나 이상의 패턴의 하나 이상의 매개변수, 또는 둘 모두를 포함할 수 있다. 예를 들어, 기판 측정 레시피에 사용된 측정이 회절 기반 광학 측정인 경우, 측정의 매개변수들 중 하나 이상은 방사선의 파장, 방사선의 편광, 기판에 대한 방사선의 입사 각도, 기판 상의 패턴에 대한 방사선의 배향 등을 포함할 수 있다. 측정 레시피를 선택하기 위한 기준들 중 하나는, 예를 들어 처리 변동에 대한 측정 매개변수들 중 하나의 감도일 수 있다. 더 많은 예가 원용에 의해 본 명세서에서 전체적으로 포함되는 미국 특허 출원 US2016/0161863 및 공개된 미국 특허 출원 US2016/0370717A1에 설명되어 있다.
리소그래피 장치(LA)에서의 패터닝 공정은 기판(W) 상의 구조체의 치수 설정 및 배치의 높은 정확도를 필요로 하는 처리에 있어서 가장 중요한 단계 중 하나일 수 있다. 이 높은 정확도를 보장하기 위해, 도 3에 개략적으로 도시된 바와 같이 3개의 시스템이 소위 "홀리스틱(holistic)" 제어 환경에서 조합될 수 있다. 이 시스템들 중 하나는 계측 툴(MT)(제2 시스템)에 그리고 컴퓨터 시스템(CL)(제3 시스템)에 (가상적으로) 연결된 리소그래피 장치(LA)이다. 이러한 "홀리스틱" 환경의 핵심은 이 3개의 시스템 사이의 협력을 최적화하여 전체적인 공정 윈도우를 향상시키고 리소그래피 장치(LA)에 의해 수행된 패터닝이 공정 윈도우 내에 유지된다는 것을 보장하도록 엄격한 제어 루프를 제공하는 것이다. 공정 윈도우는 특정 제조 공정이 규정된 결과 (예를 들어, 기능 반도체 디바이스)를 산출하는 공정 매개변수 (예를 들어, 선량, 초점, 오버레이)의 범위를 규정한다 -아마도 이 공정 윈도우 내에서 리소그래피 공정 또는 패터닝 공정의 공정 매개변수는 달라지도록 허용된다.
컴퓨터 시스템(CL)은 패터닝될 디자인 레이아웃(의 일부)을 사용하여 어느 분해능 향상 기법을 사용할지 예측할 수 있으며 그리고 어느 마스크 레이아웃 및 리소그래피 장치 설정(setting)이 패터닝 공정의 가장 큰 전체 공정 윈도우를 달성하는지를 결정하기 위해 컴퓨테이션 리소그래피 시뮬레이션 및 계산을 수행할 수 있다 (도 3에서 제1 스케일(SC1) 내의 이중 화살표로 도시됨). 분해능 향상 기법은 리소그래피 장치(LA)의 패터닝 가능성과 매칭되도록 마련된다. 컴퓨터 시스템(CL)은 또한 (예를 들어, 계측 툴(MT)로부터의 입력을 이용하여) 공정 윈도우 내의 어느 곳에서 리소그래피 장치(LA)가 현재 작동하고 있는지를 검출하기 위해 사용되어, 예를 들어 차선의 처리로 인하여 결함이 존재할 수 있는지 여부를 예측할 수 있다 (도 3에서 제2 스케일(SC2) 내의 "0"을 가리키는 화살표로 도시됨).
계측 툴(MT)은 정확한 시뮬레이션 및 예측을 가능하게 하도록 컴퓨터 시스템(CL)에 입력을 제공할 수 있으며, 또한 예를 들어 리소그래피 장치(LA)의 교정 상태에서 가능한 드리프트(drift)를 식별하기 위해 리소그래피 장치(LA)에 피드백을 제공할 수 있다 (도 3 에서 제3 스케일(SC3) 내의 다수의 화살표로 도시됨).
리소그래피 패터닝 장치를 이용하여 생성된 구조체를 측정하기 위한 다양한 형태의 계측 툴(MT)이 제공될 수 있다. 계측 툴(MT)은 전자기 방사선을 이용하여 구조체를 조사할 수 있다. 방사선의 특성 (예를 들어, 파장, 대역폭, 파워)은 툴의 상이한 측정 특성들에 영향을 미칠 수 있으며 일반적으로 더 짧은 파장은 증가된 분해능을 허용한다. 방사선 파장은 계측 툴이 달성할 수 있는 분해능에 영향을 미친다. 따라서, 작은 치수를 갖는 피처를 갖는 구조체를 측정할 수 있도록 하기 위하여, 단파장 방사선 소스를 갖는 계측 툴(MT)이 선호된다.
방사선 파장이 측정 특성에 영향을 미칠 수 있는 또 다른 방식은 침투 깊이 및 방사선 파장에서 검사될 재료의 투명도/불투명도이다. 불투명도 및/또는 침투 깊이에 따라, 방사선은 투과 또는 반사 측정을 위하여 사용될 수 있다. 측정 유형은 구조체/기판의 표면 및/또는 벌크 내부에 대한 정보가 획득되는지 여부에 영향을 미칠 수 있다. 따라서 침투 깊이와 불투명도는 계측 툴에 대한 방사선 파장을 선택할 때 고려되어야 할 또 다른 요소이다.
리소그래피적으로 패터닝된 구조체의 측정을 위한 더 높은 분해능을 달성하기 위하여 짧은 파장을 가진 계측 툴(MT)이 바람직하다. 이는, 예를 들어 전자기 스펙트럼의 UV, EUV 및 X-선 부분에서 가시 파장보다 짧은 파장을 포함할 수 있다. 투과형 작은 각도 X-선 스캐터링(TSAXS)과 같은 경질 X-선 방법은 경질 X-선의 높은 분해능 및 높은 침투 깊이를 이용하며, 따라서 투과시 작동할 수 있다. 반면에, 연질 X-선 및 EUV는 타겟을 멀리 침투하지 않지만, 조사될(probe) 재료에 풍부한 광학적 반응을 유도할 수 있다. 이는 많은 반도체 재료의 광학적 특성 때문일 수 있으며 또한 구조체가 프로빙 파장(probing wavelength)과 크기가 비슷하기 때문일 수 있다. 그 결과, EUV 및/또는 연질 X-선 계측 툴(MT)은, 예를 들어 리소그래피적으로 패터닝된 구조체로부터의 회절 패턴을 이미징함으로써 또는 이를 분석함으로써 반사시 작동할 수 있다.
경질 X-선, 연질 X-선 및 EUV 방사선의 경우, 대량 제조(HVM) 적용은 요구되는 파장에서 사용 가능한 고휘도 방사선 소스의 부족으로 인해 제한될 수 있다. 경질 X-선의 경우에, 산업 적용에서 일반적으로 사용되는 소스는 X-선 튜브를 포함한다. 예를 들어 액체 금속 애노드 또는 회전 캐소드를 기반으로 하는 진보된 X-선 튜브를 포함하는 X-선 튜브는 상대적으로 가격이 적절하고 콤팩트할 수 있지만, HVM 적용을 위하여 요구되는 휘도가 부족할 수 있다. 싱크로트론 광원(synchrotron light sources)(SLS) 및 X-선 자유 전자 레이저(XFEL)와 같은 고휘도 X-선 소스가 현재 존재하지만, 그들의 크기 (>100㎚)와 높은 비용 (수억 유로)은 이들을 계측 적용을 위하여 엄청나게 대형으로 그리고 비싸게 한다. 마찬가지로, 충분히 밝은 EUV 및 연질 X-선 방사선 소스의 가용성은 부족하다.
스캐터로미터와 같은 계측 장치의 한 예가 도 4에 도시되어 있다. 이는 기판(W) 상으로 방사선을 투영시키는 광대역 (예를 들어, 백색광) 방사선 투영기(2)를 포함할 수 있다. 반사 또는 산란 방사선(10)은 정반사된 방사선의 스펙트럼(6)을 측정 (즉, 파장(λ)의 함수로서의 세기(I)의 측정)하는 스펙트로미터 검출기(4)로 전달된다. 이 데이터로부터, 검출된 스펙트럼을 발생시키는 구조체 또는 프로파일(8)이 처리 유닛(PU)에 의해, 예를 들어 엄밀한 결합 파동 분석 및 비선형 회귀에 의해 또는 도 3의 최하부에서 보여지는 바와 같이 시뮬레이션된 스펙트럼의 라이브러리와의 비교에 의해 재구성될 수 있다. 일반적으로, 재구성을 위하여, 구조체의 일반적인 형태가 알려져 있으며, 일부 매개변수는 구조체가 만들어진 공정의 정보(knowledge)로부터 추정되어, 스캐터로메트리 데이터로부터 결정될 구조체의 몇 가지 매개변수만을 남긴다. 이러한 스캐터로미터는 수직-입사 스캐터로미터 또는 경사-입사 스캐터로미터로서 구성될 수 있다.
도 4에서 보여지는 스캐터로미터와 같은 계측 장치의 예의 투과형 버전이 도 5에 도시되어 있다. 투과된 방사선(11)은 스펙트로미터 검출기(4)로 전달되며, 이 스펙트로미터 검출기는 도 4에 대해서 논의된 바와 같이 스펙트럼(6)을 측정한다. 이러한 스캐터로미터는 수직-입사 스캐터로미터 또는 경사-입사 스캐터로미터로서 구성될 수 있다. 선택적으로, 투과형 버전은 1㎚ 미만, 선택적으로 0.1㎚ 미만, 선택적으로 0.01㎚ 미만의 파장을 갖는 경질 X-선 방사선을 사용한다.
광학적 계측 방법의 대안으로서, 경질 X-선, 연질 X-선 또는 EUV 방사선, 예를 들면 0.01㎚ 미만, 0.1㎚ 미만, 1㎚ 미만, 0.01㎚ 내지 100㎚, 0.01㎚ 내지 50㎚, 1㎚ 내지 50㎚, 1㎚ 내지 20㎚, 5㎚ 내지 20㎚, 및 10㎚ 내지 20㎚의 파장 범위 중 적어도 하나를 갖는 방사선을 이용하는 것이 또한 고려되어 왔다. 위에서 제시된 파장 범위들 중 하나에서 기능하는 계측 툴의 한 예는 투과형의 작은 각도 X-선 산란이다 (내용이 원용에 의해 전체적으로 본 명세서에 포함되는 US2007/224518A에서와 같은 T-SAXS) 이다. T-SAXS를 이용한 프로파일(CD) 측정은 Lemaillet 등의 "FinFET 구조체의 광학 및 X-선 스캐터로메트리 측정 간의 상호 비교(Intercomparison between optical and X-ray scatterometry measurements of FinFET structures)"(Proc. SPIE, 2013, 8681)에 논의되어 있다. 레이저 생성 플라즈마(LPP) X-선 소스의 사용은 미국 특허 공개 2019/003988A1 및 미국 특허 공개 2019/215940A1에 설명되어 있다는 점이 주목되며, 이들은 원용에 의해 전체로서 본 명세서에 포함된다. 기판 상의 필름 및 층들의 스택의 특성을 측정하기 위하여 그레이징 입사에서의 X-선(GI-XRS) 및 극자외(EUV) 방사선을 사용한 반사 측정 기법이 이용될 수 있다. 반사 측정의 일반적인 분야 내에서, 각도 측정(goniometric) 및/또는 분광 기법이 적용될 수 있다. 각도 측정에서, 상이한 입사 각도를 갖는 반사 빔의 변화가 측정될 수 있다. 반면, 분광 반사 측정은 (광대역 방사선을 사용하여,) 주어진 각도에서 반사된 파장의 스펙트럼을 측정한다. 예를 들어, EUV 리소그래피에서의 사용을 위한 레티클 (패터닝 디바이스)의 제조 전에 마스크 블랭크의 검사를 위하여 EUV 반사 측정이 사용되어 왔다.
적용 범위가 예를 들어 경질 X-선, 연질 X-선 또는 EUV 도메인 내의 파장의 사용을 충분하지 않게 만든다는 것이 가능하다. 공개된 특허 출원 US2013/0304424A1 및 US2014/019097A1 (Bakeman 등/KLA)은 X-선을 사용하여 이루어진 측정과 120㎚ 및 2000㎜ 범위의 파장을 이용한 광학 측정이 조합되어 CD와 같은 매개변수의 측정을 획득하는 하이브리드 계측 기법을 설명하고 있다. 하나 이상의 공통된 것을 통해 X-선 수학적 모델과 광학적 수학적 모델을 결합함으로써 CD 측정이 획득된다. 인용된 미국 특허 출원들의 내용은 원용에 의해 전체적으로 본 명세서에 포함된다.
도 6은 앞서 언급된 방사선이 기판 상의 구조체의 매개변수를 측정하기 위해 사용될 수 있는 계측 장치(302)의 개략도를 도시하고 있다. 도 6에 제시된 계측 장치(302)는 경질 X-선, 연질 X-선 또는 EUV 도메인에 적합할 수 있다.
도 6은 단지 예로서, 선택적으로 그레이징 입사로 경질 X-선, 연질 X-선 및/또는 EUV 방사선을 사용하는 분광 스캐터로미터를 포함하는 계측 장치(302)의 개략적인 물리적 배열체를 도시하고 있다. 대안적인 형태의 검사 장치는 각도-분해 스캐터로미터의 형태로 제공될 수 있으며, 이는 더 긴 파장에서 작동하는 일반적인 스캐터로미터와 유사하게 수직 또는 근-수직 입사로 방사선을 이용할 수 있다. 대안적인 형태의 검사 장치는 도 5의 구성이 적용되는 투과형 스캐터로미터의 형태로 제공될 수도 있다.
검사 장치(302)는 조명 소스(310)로 불리는 방사선 소스, 조명 시스템(312), 기판 지지체(316), 검출 시스템(318, 398) 및 계측 처리 유닛(MPU)(320)을 포함한다.
이 예에서의 조명 소스(310)는 EUV, 경질 X-선 또는 연질 X-선 방사선의 생성을 위한 것이다. 조명 소스(310)는 도 6에서 보여지는 바와 같이 고차 고조파 생성(HHG) 기술을 기반으로 할 수 있으며, 또한 다른 유형의 조명 소스, 예를 들어 액체 금속 제트 소스, 역 콤프턴(Compton) 산란(ICS) 소스, 플라즈마 채널 소스, 자기 언듈레이터(undulator) 소스, 자유 전자 레이저(FEL) 소스일 수 있다.
도 6에서 보여지는 바와 같이, HHG 소스의 예의 경우, 방사선 소스의 주요 구성 요소는 펌프 방사선을 방출하도록 작동 가능한 펌프 방사선 소스(330) 및 가스 전달 시스템(332)이다. 선택적으로 펌프 방사선 소스(330)는 레이저이며, 선택적으로 펌프 방사선 소스(330)는 펄스형 고-파워 적외선 또는 광학 레이저이다. 펌프 방사선 소스(330)는, 예를 들어 광학 증폭기를 갖는 섬유 기반 레이저일 수 있으며, 이는 필요에 따라 수 메가 헤르츠까지의 펄스 반복률로, 예를 들어 펄스당 1 ㎱ (1 나노초) 미만으로 지속될 수 있는 적외 방사선의 펄스를 생성한다. 적외 방사선의 파장은 예를 들어 1㎛ (1 미크론) 정도일 수 있다. 선택적으로, 레이저 펄스는 제1 펌프 방사선(340)으로서 가스 전달 시스템(332)에 전달되며, 여기서 가스 내에서 방사선의 일부분은 제1 방사선보다 더 높은 주파수로, 방출된 빔(342)으로 변환된다. 가스 공급부(334)는 가스 전달 시스템(332)에 적합한 가스를 공급하며, 여기서 가스는 전기 소스(336)에 의해 선택적으로 이온화된다. 가스 전달 시스템(332)은 절단된 튜브일 수 있다.
가스 전달 시스템(332)에 의해 제공되는 가스는 가스 타겟을 규정하며, 이 가스 타겟은 가스 흐름 또는 정적 체적일 수 있다. 가스는 네온(Ne), 헬륨(He) 또는 아르곤(Ar)과 같은 불활성 가스일 수 있다. 질소(N2), 산소(O2), 아르곤(Ar), 크립톤(Kr), 크세논(Xe) 가스가 모두 고려될 수 있다. 이들은 동일한 장치 내에서의 선택 가능한 옵션일 수 있다. 방출 방사선은 다수의 파장을 포함할 수 있다. 방출 방사선이 단색성인 경우, 측정 계산 (예를 들어, 재구성)이 단순화될 수 있지만, 여러 파장을 갖는 방사선을 생성하는 것이 더 용이하다. 방출 방사선의 방출 발산 각도는 파장 의존적일 수 있다. 예를 들어, 상이한 파장은 상이한 재료의 구조체를 이미징할 때 상이한 레벨의 콘트라스트(contrast)를 제공할 것이다. 금속 구조체 또는 실리콘 구조체의 검사를 위하여, 예를 들어 (탄소계) 레지스트의 피처를 이미징하기 위하여 또는 이러한 상이한 재료의 오염을 검출하기 위하여 사용되는 파장과는 다른 파장이 선택될 수 있다. 하나 이상의 필터링 디바이스(344)가 제공될 수 있다. 예를 들어, 알루미늄(Al) 또는 지르코늄(Zr)의 얇은 멤브레인과 같은 필터는 기본적인 IR 방사선이 검사 장치 내로 추가로 나아가는 것을 차단하는 역할을 할 수 있다. 생성된 것들 중에서 하나 이상의 특정 파장을 선택하기 위해 격자 (보이지 않음)가 제공될 수 있다. 선택적으로 조명 소스는 배기되도록 구성되는 공간을 포함하며 또한 가스 전달 시스템은 공간에 가스 타겟을 제공하도록 구성된다. 선택적으로, 빔 경로의 일부 또는 전부가 진공 환경 내에 포함될 수 있으며, SXR 및/또는 EUV 방사선은 공기 중에서 진행할 때 흡수된다는 점을 유념한다. 방사선 소스(310) 및 조명 광학계(312)의 다양한 구성 요소는 동일한 장치 내에서 상이한 계측 "레시피"를 구현하도록 조절 가능할 수 있다. 예를 들어, 상이한 파장 및/또는 편광이 선택 가능하게 이루어질 수 있다.
검사 중인 구조체의 재료에 따라, 상이한 파장이 더 하부의 층 내로 원하는 수준의 침투를 제공할 수 있다. 가장 작은 디바이스 피처를 분해하고 가장 작은 디바이스 피처들 중에서 결함을 분해하기 위해, 짧은 파장이 선호될 가능성이 높다. 예를 들어, 0.01 내지 20㎚ 범위 또는 선택적으로 1 내지 10㎚ 범위 또는 선택적으로 10 내지 20㎚ 범위 내의 하나 이상의 파장이 선택될 수 있다.
방사선 소스(310)로부터, 필터링된 빔(342)은 검사 챔버(350)로 들어갈 수 있으며, 검사 챔버에서 관심 대상 구조체를 포함하는 기판(W)은 기판 지지체(316)에 의해 측정 위치에 검사를 위하여 유지된다. 관심 대상 구조체는 T로 표기되어 있다. 선택적으로, 검사 챔버(350) 내의 분위기는 진공 펌프(352)에 의해 진공에 가깝게 유지될 수 있으며, 따라서 SXR 및/또는 EUV 방사선은 분위기를 통한 과도한 감쇠 없이 나아갈 수 있다. 조명 시스템(312)은 방사선을 집속된 빔(356)으로 집속시키는 기능을 가지며, 또한 위에서 언급된 (내용이 원용에 의해 전체로서 본 명세서에 포함된) 미국 특허 출원 공개 US2017/0184981A1에서 설명된 바와 같이, 예를 들어 이차원으로 만곡된 미러 또는 일련의 일차원으로 만곡된 미러를 포함할 수 있다. 관심 대상 구조체 상으로 투영될 때 직경이 10㎛ 미만인 원형 또는 타원형 스폿(S)을 달성하기 위해 집속이 수행된다. 기판 지지체(316)는 예를 들어 X-Y 병진 스테이지 및 회전 스테이지를 포함하며, 이에 의해 기판(W) 중 임의의 부분은 원하는 배향으로 빔의 초점으로 이동될 수 있다. 따라서 방사선 스폿(S)이 관심 대상 구조체 상에 형성된다. 대안적으로 또는 부가적으로, 기판 지지체(316)는 예를 들어 기판(W)을 특정 각도로 기울일 수 있는 틸팅 스테이지를 포함하여 관심 대상 구조체(T) 상에서의 집속된 빔의 입사각을 제어한다.
선택적으로, 조명 시스템(312)은, 필터링된 빔(342)의 상이한 파장들의 스펙트럼 및/또는 세기를 측정하도록 구성될 수 있는 기준 검출기(314)에 기준 방사선 빔을 제공한다. 기준 검출기(314)는 프로세서(310)에 제공되는 신호(315)를 생성하도록 구성될 수 있으며, 필터는 필터링된 빔(342)의 스펙트럼 및/또는 필터링된 빔의 상이한 파장들의 세기에 관한 정보를 포함할 수 있다.
반사된 방사선(360)은 검출기(318)에 의해 캡처되며, 타겟 구조체(T)의 특성을 계산하는 데에 사용하기 위해 스펙트럼이 프로세서(320)에 제공된다. 조명 시스템(312) 및 검출 시스템(318)은 따라서 검사 장치를 형성한다. 이 검사 장치는 내용이 원용에 의해 전체적으로 본 명세서에 포함되어 있는 US2016/282282A1에 설명된 종류의 경질 X-선, 연질 X-선 및/또는 EUV 분광 반사계를 포함할 수 있다.
타겟(T)이 특정 주기성을 갖는 경우, 집속된 빔(356)의 방사선 또한 부분적으로 회절될 수 있다. 회절 방사선(397)은 입사각에 관하여 잘 규정된 각도로 또 다른 경로를 따르며 그 후 반사 방사선(360)을 따른다. 도 6에서, 도시된 회절 방사선(397)은 개략적인 방식으로 도시되어 있으며, 회절 방사선(397)은 도시된 경로와는 다른 많은 경로를 따를 수 있다. 검사 장치(302)는 또한 회절 방사선(397)의 적어도 일부를 검출 및/또는 이미지화하는 추가 검출 시스템(398)을 포함할 수 있다. 도 6에는 단일의 추가 검출 시스템(398)이 그려져 있지만, 검사 장치(302)의 실시예는 또한 복수의 회절 방향에서 회절 방사선(397)을 검출 및/또는 이미지화하기 위해 상이한 위치에 배치되는 하나보다 많은 추가 검출 시스템(398)을 포함할 수 있다. 즉, 타겟(T)에 충돌하는 집속된 방사선 빔의 (더 높은) 회절 차수는 하나 이상의 추가 검출 시스템(398)에 의해 검출 및/또는 이미지화된다. 하나 이상의 검출 시스템(398)은 계측 프로세서(320)에 제공되는 신호(399)를 생성한다. 신호(399)는 회절 광(397)의 정보를 포함할 수 있으며 및/또는 회절 광(397)으로부터 획득된 이미지를 포함할 수 있다.
원하는 제품 구조체로 스폿(S)의 정렬 및 집속을 돕기 위해, 검사 장치(302)는 또한 계측 프로세서(320)의 제어 하에 보조 방사선을 사용하는 보조 광학계를 제공할 수 있다. 계측 프로세서(320)는 또한 병진 스테이지, 회전 및/또는 틸팅 스테이지를 작동시키는 위치 컨트롤러(372)와 통신할 수 있다. 프로세서(320)는 센서를 통하여, 기판의 위치 및 배향에 대해 고도로 정확한 피드백을 수신한다. 센서(374)는, 예를 들어 피코미터 영역에서 정확도를 제공할 수 있는 간섭계를 포함할 수 있다. 검사 장치(302)의 작동에서, 검출 시스템(318)에 의해 캡처된 스펙트럼 데이터(382)는 계측 처리 유닛(320)으로 전달된다.
언급된 바와 같이, 대안적인 형태의 검사 장치는 선택적으로 수직 입사 또는 근-수직 입사로 경질 X-선, 연질 X-선 및/또는 EUV 방사선을 이용하여, 예를 들어 비대칭의 회절 기반 측정을 수행한다. 양 유형의 검사 장치가 하이브리드 계측 시스템에 제공될 수 있다. 측정될 성능 매개변수는 오버레이(OVL), 임계 치수(CD), 리소그래피 장치가 타겟 구조체를 프린트하는 동안의 리소그래피 장치의 초점, 간섭성 회절 이미징(CDI) 및 분해능상(at-resolution) 오버레이(ARO) 계측을 포함할 수 있다. 경질 X-선, 연질 X-선 및/또는 EUV 방사선은, 예를 들어 5 내지 30㎚ 범위 내의, 선택적으로 10㎚ 내지 20㎚ 범위 내의 방사선을 이용하여, 예를 들어 100㎚ 미만의 파장을 가질 수 있다. 방사선은 특성상 협대역 또는 광대역일 수 있다. 방사선은 특정 파장 대역에서 이산 피크들을 가질 수 있거나 보다 연속적인 특성을 가질 수도 있다.
오늘날의 생산 설비에서 사용되는 광학 스캐터로미터와 마찬가지로, 검사 장치(302)는 리소 셀 내에서 처리된 레지스트 재료 내의 구조체를 측정하기 위해 (현상 후 검사 또는 ADI) 및/또는 더 경질의 재료에 형성된 후에 구조체를 측정하기 위해 (에칭 후 검사 또는 AEI) 사용될 수 있다. 예를 들어, 기판은 현상 장치, 에칭 장치, 어닐링 장치 및/또는 다른 장치에 의해 처리된 후에 검사 장치(302)를 이용하여 검사될 수 있다.
위에 언급된 스캐터로미터를 포함하는, 그러나 이에 제한되지 않는 계측 툴(MT)은 측정을 수행하기 위해 방사선 소스로부터의 방사선을 이용할 수 있다. 계측 툴(MT)에 의해 사용되는 방사선은 전자기 방사선일 수 있다. 방사선은 광학적 방사선, 예를 들어 전자기 스펙트럼 중의 적외선, 가시광선 및/또는 자외선 부분의 방사선일 수 있다. 계측 툴(MT)은 기판, 예를 들어 반도체 기판 상의 리소그래피 노광된 패턴의 특성 및 양태를 측정 또는 검사하기 위해 방사선을 사용할 수 있다. 측정 유형과 품질은 계측 툴(MT)에 의해 사용된 방사선의 여러 특성에 의존할 수 있다. 예를 들어, 전자기 측정의 분해능은 방사선의 파장에 의존적일 수 있으며 더 작은 파장은 예를 들어 회절 한계로 인하여 더 작은 피처를 측정할 수 있다. 작은 치수를 갖는 피처를 측정하기 위하여, 짧은 파장을 갖는 방사선, 예를 들어 EUV, 경질 X-선(HXR) 및/또는 연질 X-선(SXR) 방사선을 이용하여 측정을 수행하는 것이 바람직할 수 있다. 특정 파장 또는 파장 범위에서 계측을 수행하기 위하여, 계측 툴(MT)은 그 파장(들)에서 방사선을 제공하는 소스에 대한 액세스를 필요로 한다. 상이한 파장들의 방사선을 제공하기 위하여 상이한 유형의 소스들이 존재한다. 소스에 의해 제공되는 파장(들)에 따라, 다양한 유형의 방사선 생성 방법이 사용될 수 있다. 극자외(EUV) 방사선 (예를 들어, 1㎚ 내지 100㎚) 및/또는 연질 X-선(SXR) 방사선 (예를 들어, 0.1 ㎚ 내지 10㎚)의 경우, 소스는 고차 고조파 생성(HHG) 또는 역 콤프턴 산란(ICS)을 사용하여 원하는 파장(들)의 방사선을 얻을 수 있다.
도 7은 조명 소스(310)의 실시예(600)의 단순화된 개략도를 보여주고 있으며, 이 조명 소스는 고차 고조파 생성(HHG)을 위한 조명 소스일 수 있다. 도 6에 관하여 설명된 계측 툴 내의 조명 소스의 특징들 중 하나 이상은 또한 적절하게 조명 소스(600)에 존재할 수 있다. 조명 소스(600)는 챔버(601)를 포함하며, 화살표로 표시된 전파 방향을 갖는 펌프 방사선(611)을 받아들이도록 구성된다. 도 6에 도시된 바와 같이, 여기에서 보여지는 펌프 방사선(611)은 펌프 방사선 소스(330)로부터의 펌프 방사선(340)의 예이다. 펌프 방사선(611)은 방사선 입력부(605)를 통해 챔버(601) 내로 지향될 수 있으며, 이 방사선 입력부는 선택적으로 용융 실리카 또는 이에 상응하는 재료로 만들어진 뷰포트(viewport)일 수 있다. 펌프 방사선(611)은 가우시안 또는 중공의, 예를 들어 환형의 횡단면 프로파일을 가질 수 있고 또한 가스 흐름(615) 상에 입사, 선택적으로 집속될 수 있으며, 이 가스 흐름은 챔버(601) 내에서 제2 화살표로 표시된 흐름 방향을 갖는다. 가스 흐름(615)은 가스 압력이 특정 값을 넘어서는 특정 가스 (예를 들어, 불활성 가스, 선택적으로 헬륨, 아르곤, 크세논 또는 네온, 질소, 산소 또는 이산화탄소)의 가스 체적 또는 가스 타겟으로 불리는 작은 체적 (예를 들어, 수 입방 ㎜)를 포함한다. 가스 흐름(615)은 정상 유동(steady flow)일 수 있다. 금속성 플라즈마 (예를 들어, 알루미늄 플라즈마)와 같은 다른 매질이 또한 사용될 수 있다.
조명 소스(600)의 가스 전달 시스템은 가스 흐름(615)을 제공하도록 구성된다. 조명 소스(600)는 방출 방사선(613)의 생성을 구동하기 위해 가스 흐름(615) 내에 펌프 방사선(611)을 제공하도록 구성된다. 방출 방사선(613) 중 적어도 대부분이 생성되는 영역은 상호작용 영역으로 불린다. 상호작용 영역은 수십 마이크로미터 (조밀하게 집속된 펌프 방사선의 경우)에서 수 ㎜ 또는 ㎝ (적정하게 집속된 펌프 방사선의 경우) 또는 심지어 몇 미터 (극도로 느슨하게 집속된 펌프 방사선의 경우)까지 변할 수 있다. 가스 전달 시스템은 가스 타겟의 상호작용 영역에서 방출 방사선을 생성하기 위한 가스 타겟을 제공하도록 구성되며, 선택적으로 조명 소스는 펌프 방사선을 받아들이도록 그리고 상호작용 영역에서 펌프 방사선을 제공하도록 구성된다. 선택적으로, 가스 흐름(615)은 배기된 또는 거의 배기된 공간 내로 가스 전달 시스템에 의하여 제공된다. 도 6에서 보여지는 바와 같이, 가스 전달 시스템은 가스 노즐(609)을 포함할 수 있으며, 가스 노즐은 가스 노즐(609)의 출구 평면에 개구(617)를 포함한다. 가스 흐름(615)은 개구(617)로부터 제공된다. 거의 모든 종래 기술에서, 가스 노즐은 균일한 원통형 내부 기하학적 형상인 절단된 튜브 기하학적 형상을 가지며, 출구 평면에 있는 개구의 형상은 둥글다. 특허 출원 CN101515105B에 기술된 바와 같이 세장형 개구 또한 사용되고 있다.
가스 노즐(609)의 치수는 또한 가능하게는 마이크로미터-크기의 노즐에서 미터-크기의 노즐에 이르는 확장된 또는 축소된 버전으로 사용될 수 있다. 이 넓은 범위의 치수화는, 가스 흐름에서의 펌프 방사선의 세기가 방출 방사선에 유익할 수 있는 특정 범위에 이르도록 설정이 크기-조정(scaled)될 수 있다는 점으로부터 생겨나며, 이는 상이한 펄스 방사선 에너지에 대해 상이한 치수화를 필요로 하며, 이 에너지는 펄스 레이저일 수 있고 또한 펄스 에너지는 수십 마이크로주울에서 수 주울(joule)에 이르기까지 변할 수 있다. 선택적으로, 가스 노즐(609)은, 예를 들어 카메라에 의해 검출될 수 있는 열팽창 효과에 의해 유발되는 노즐 변형을 줄이기 위해 더 두꺼운 벽을 갖는다. 더 두꺼운 벽을 갖는 가스 노즐은 저감된 변화를 갖는 안정적인 가스 체적을 생성할 수 있다. 선택적으로, 조명 소스는 챔버(601)의 압력을 유지하기 위해 가스 노즐에 가까이 있는 가스 캐처(catcher)를 포함한다.
가스 흐름(615)의 가스 원자들과의 펌프 방사선(611)의 상호작용으로 인하여, 가스 흐름(615)은 펌프 방사선(611)의 일부를 방출 방사선(613)으로 변환시킬 것이며, 이 방사선은 도 6에서 보여지는 방출 방사선(342)의 예일 수 있다. 방출 방사선(613)의 중심 축은 입사 펌프 방사선(611)의 중심 축과 동일선 상에 있을 수 있다. 방출 방사선(613)은 X-선 또는 EUV 범위의 파장을 가질 수 있으며, 여기서 파장은 0.01㎚ 내지 100㎚, 선택적으로 0.1㎚ 내지 100㎚, 선택적으로 1㎚ 내지 100㎚, 선택적으로 1㎚ 내지 50㎚, 또는 선택적으로 10㎚ 내지 20㎚ 범위에 있다.
작동 시, 방출 방사선(613) 빔은 방사선 출력부(607)를 통과할 수 있으며, 도 6의 조명 시스템(312)의 한 예일 수 있는 조명 시스템(603)에 의해 후속적으로 조작되고 계측 측정을 위해 검사될 기판으로 지향될 수 있다. 방출 방사선(613)은 기판 상의 구조체로 안내되고 선택적으로 집속될 수 있다.
공기 (및 실제로는 임의의 가스)가 SXR 또는 EUV 방사선을 많이 흡수하기 때문에, 가스 흐름(615)과 검사될 웨이퍼 사이의 체적부는 배기될 수 있거나 거의 배기될 수 있다. 방출 방사선(613)의 중심 축이 입사 펌프 방사선(611)의 중심 축과 동일선상에 있을 수 있기 때문에, 펌프 방사선(611)은 방사선 출력부(607)를 통과하는 것 그리고 조명 시스템(603)에 진입하는 것을 방지하도록 차단될 필요가 있을 수 있다. 이는 방사선 출력부(607)에 도 6에서 보여지는 필터 디바이스(344)를 포함시킴으로써 이루어질 수 있으며, 필터 디바이스는 방출된 빔 경로에 배치되고 펌프 방사선에 대해 불투명 또는 거의 불투명하지만 (예를 들어, 적외선 또는 가시광에 불투명 또는 거의 불투명), 방출 방사선 빔에 대해 적어도 부분적으로 투명하다. 필터는 지르코늄 또는 다수의 층으로 결합된 다수의 재료를 사용하여 제조될 수 있다. 필터는 펌프 방사선(611)이 중공의, 선택적으로 환형의, 횡단면 프로파일을 가질 때 중공의, 선택적으로 환형의 블록일 수 있다. 선택적으로, 필터는 효율적인 펌프 방사선 필터링을 갖도록 방출 방사선 빔의 전파 방향에 대해 수직하지 않고 평행하지 않다. 선택적으로, 필터링 디바이스(344)는 중공 블록, 및 알루미늄(Al) 또는 지르코늄(Zr) 멤브레인 필터와 같은 얇은 멤브레인 필터를 포함한다. 선택적으로, 필터링 디바이스(344)는 또한 방출 방사선을 효율적으로 반사시키지만 펌프 방사선을 잘 반사시키지 않는 미러를 포함할 수 있거나, 방출 방사선을 효율적으로 투과시키지만 펌프 방사선을 잘 투과시키지 않는 와이어 메쉬를 포함할 수 있다.
선택적으로, 펌프 방사선의 고차 고조파 주파수의 방출 방사선을 선택적으로 획득하기 위한 방법, 장치 및 조립체가 본 명세서에서 설명되어 있다. 공정을 통해 생성된 방사선, 선택적으로 제공된 펌프 방사선의 고조파 주파수에서 방사선을 선택적으로 생성하기 위해 비선형 효과를 사용하는 HHG는 기판의 검사 및/또는 측정을 위하여 계측 툴(MT)에 방사선으로서 제공될 수 있다. 펌프 방사선인 짧은 펄스 (즉, 몇 사이클)를 포함한다면, 그 후 생성된 방사선은 반드시 펌프 방사선 주파수의 고조파에 정확하게 있지 않는다. 기판은 리소그래피적으로 패터닝된 기판일 수 있다. 공정을 통해 획득된 방사선은 또한 리소그래피 장치(LA) 및/또는 리소그래피 셀(LC)에 제공될 수 있다. 펌프 방사선은 펄스형 방사선일 수 있으며, 이 방사선은 짧은 시간 동안 높은 피크 세기를 제공할 수 있다.
펌프 방사선(611)은 방출 방사선의 하나 이상의 파장보다 더 높은 하나 이상의 파장을 갖는 방사선을 포함할 수 있다. 펌프 방사선은 적외 방사선을 포함할 수 있다. 펌프 방사선은 500㎚ 내지 1500㎚의 범위 내의 파장(들)을 갖는 방사선을 포함할 수 있다. 펌프 방사선은 800㎚ 내지 1300㎚의 범위 내의 파장(들)을 갖는 방사선을 포함할 수 있다. 펌프 방사선은 900㎚ 내지 1300㎚의 범위 내의 파장(들)을 갖는 방사선을 포함할 수 있다. 펌프 방사선은 펄스형 방사선일 수 있다. 펄스형 펌프 방사선은 펨토초 범위의 지속 시간을 갖는 펄스를 포함할 수 있다.
일부 실시예의 경우, 방출 방사선, 선택적으로 고차 고조파 방사선은 펌프 방사선 파장(들)의 하나 이상의 고조파를 포함할 수 있다. 방출 방사선은 전자기 스펙트럼 중 극자외선(EUV), 연질 X-선, 및/또는 경질 X-선 부분의 파장을 포함할 수 있다. 방출 방사선(613)은 1㎚ 미만, 0.1㎚ 미만, 0.01㎚ 미만, 0.01㎚ 내지 100㎚, 0.1㎚ 내지 100㎚, 0.1㎚ 내지 50㎚, 1 내지 50㎚ 및 10㎚ 내지 20㎚의 범위들 중 하나 이상의 범위 내의 파장을 포함할 수 있다.
위에서 설명된 고차 고조파 방사선과 같은 방사선은 계측 툴(MT)에서 소스 방사선으로서 제공될 수 있다. 계측 툴(MT)은 리소그래피 장치에 의해 노광된 기판 상에서 측정을 수행하기 위해 소스 방사선을 사용할 수 있다. 측정은 기판 상의 구조체의 하나 이상의 매개변수를 결정하기 위한 것일 수 있다. 더 짧은 파장의, 예를 들어 위에서 설명된 파장 범위에 포함된 바와 같은 EUV, SXR 및/또는 HXR 파장의 방사선을 사용하는 것은 더 긴 파장 (예를 들어, 가시 방사선, 적외 방사선)을 사용하는 것과 비교하여, 기판의 더 작은 피처가 계측 툴에 의해 분해되는 것을 허용한다. EUV, SXR 및 /또는 HXR 방사선과 같은 더 짧은 파장을 갖는 방사선은 또한 패터닝된 기판과 같은 재료 안으로 더 깊이 침투할 수 있으며, 이는 기판 상에서의 더 깊은 층의 계측이 가능하다는 것을 의미한다. 이 더 깊은 층은 더 긴 파장을 갖는 방사선에 의하여 접근 가능하지 않을 수 있다.
계측 툴(MT)에서, 소스 방사선은 방사선 소스로부터 방출될 수 있으며 기판 상의 타겟 구조체 (또는 다른 구조체) 상으로 지향될 수 있다. 소스 방사선은 EUV, SXR 및/또는 HXR 방사선을 포함할 수 있다. 타겟 구조체는 타겟 구조체 상에 입사되는 소스 방사선을 반사, 투과 및/또는 회절시킬 수 있다. 계측 툴(MT)은 회절 방사선을 검출하기 위한 하나 이상의 센서를 포함할 수 있다. 예를 들어, 계측 툴(MT)은 양과 음의 상보적인 회절 차수 (예를 들어 +1차 및 -1차 회절 차수)를 검출하기 위한 검출기를 포함할 수 있으며, 여기서 동일한 차수 번호의 ± 회절 차수들은 상보적인 회절 차수들이다. 계측 툴(MT)은 또한 정반사된 또는 투과된 방사선 (0차 회절 방사선)을 측정할 수 있다. 예를 들어, 추가 회절 차수 (예를 들어, 더 높은 회절 차수)를 측정하기 위해 계측을 위한 추가 센서가 계측 툴(MT)에 존재할 수 있다.
조명 소스는, 예를 들어 계측 장치(MT), 검사 장치, 리소그래피 장치(LA) 및/또는 리소그래피 셀(LC)에 제공될 수 있다.
측정을 수행하기 위해 사용되는 방출 방사선의 특성은 획득된 측정의 품질에 영향을 미칠 수 있다. 예를 들어, 방사선 빔의 횡 방향 빔 프로파일 (단면)의 형상과 크기, 방사선의 세기, 방사선의 파워 스펙트럼 밀도 등은 방사선에 의해 수행되는 측정에 영향을 미칠 수 있다. 따라서 높은 품질 측정 결과를 가져오는 특성을 갖는 방사선을 제공하는 소스를 갖는 것이 유리하다.
YZ 평면에서의 반사에서 근본적으로 비대칭적 (예를 들어, X 축을 반전시킬 때의 비대칭)인 도 6에 도시된 바와 같은 SXR 계측 툴의 문제로 인하여, 본 명세서에 개시된 개념은 SXR 계측의 맥락에서 주로 설명될 것이다. 그러나 본 명세서의 개념은 측정 빔에 대한 다른 방사선 (예를 들어, 전자 빔), 파장 (예를 들어, 가시광선, 경질 X-선 및/또는 IR 파장) 및/또는 수직 입사를 사용하는 계측 툴에도 적용 가능하다. 이 설명에서, 좌표 XYZ는 툴 좌표로서 규정되며 (도 6에 도시된 바와 같이) 타겟은 XY 평면에 있고 조명은 YZ 평면에 있다. 타겟 좌표계는 xyz이며, x-축과 y-축은 (가정된) 직사각형 단위 셀의 측면과 정렬되고 -이 측면은 타겟 패드의 에지 또는 타겟 주기성의 방향일 수 있음-, z축은 기판 평면일 수 있는 타겟 평면에 수직이다. 타겟 회전 각도 (또는 타겟 방위각)는 xyz와 XYZ 좌표계 사이의 z-회전 각도로서 규정된다. 예를 들어, 타겟 배향 0도는 xy=XY를 의미하며, 타겟 배향 90도는 xy= +Y-X를 의미한다. 퓨필 좌표는 xy에 관한 것 또는 XY에 관한 것일 수 있다. 용어 타겟 배향 및 타겟 방위/타겟 방위각은 본 명세서에서 동의어로 사용된다.
계측 툴은 퓨필 기반 검출기 (즉, 계측 툴의 퓨필 평면 또는 푸리에 평면에 있는 검출기 또는 카메라)를 포함할 수 있다. 이는 아래에서 설명될 바와 같은 특정 회절 차수의 선택을 가능하게 한다. 그러나 본 명세서에서 설명된 개념은 이미지 기반 검출기 (계측 툴의 이미지 평면에서의 검출)에도 적용 가능하다. 이러한 툴에 대해, 요구되는 차수의 선택을 가능하게 하도록 툴의 퓨필 평면에서의 구성 가능한 (예를 들어 프로그램 가능한) 마스크가 제공될 수 있다; 그러나 기본적인 방법은 동일하게 유지된다. 따라서 아래의 실시예는 순전히 예시적이며 파장 범위 또는 영역, 검출 위치/방법 및/또는 입사각에 대한 제한이 의도되지 않았으며 추론되어서도 안된다는 점이 이해되어야 한다.
SXR 계측에서 타겟은 (예를 들어, 10㎚ 내지 20㎚ 범위의 파장을 갖는) 광대역 SXR 빔으로 조명될 수 있으며, 회절/산란 광은 이미지 센서에 캡처된다. 타겟은 주기적 패턴을 가지며, 이 패턴은 예를 들어 1D-주기적 패턴 (예를 들어, 평행선) 또는 2D-주기적 패턴(예를 들어, 직사각형 단위 셀)을 포함할 수 있다. 2D-주기적 패턴을 포함하는 타겟은 2차원 타겟이다. 2차원 주기성은 기판 평면에서 2개의 직교 방향으로 있으며, 선택적으로 2개 방향으로의 주기성은 2개 방향으로의 주기성이 조명에 의해 구별될 수 있도록 조명 파장의 절반보다 크거나 비교할만하다.
회절 패턴은 처리되며 예를 들어 오버레이, 초점, CD, 3D 에지 배치 오차(EPE) 및 프로파일 매개변수, 예를 들어 측벽 각도(SWA)와 같은 관심 대상 매개변수로 변환될 수 있다.
회절 패턴의 세기 프로파일은 타겟의 특성의 영향을 받을 뿐만 아니라 측정 툴의 특성의 영향을 받는다. 특히, 본 명세서에서 "툴 비대칭"으로 지칭되는 문제는 측정에 바람직하지 않은 영향을 미칠 수 있다. 툴 비대칭은 -X 방향으로의 회절 패턴과 비교하여 +X 방향으로의 회절 패턴 간의 차이를 초래하는 툴 특성을 설명할 수 있다. 툴 비대칭은, 예를 들어 완전한 s-편광 또는 p-편광이 아닌 조명 빔 (타겟을 측정하기 위하여 사용되는 빔)의 편광에 의하여 또는 T-축에 대해 미러 대칭적이 아닌 빔 프로파일에 의하여 야기될 수 있다.
예를 들어 도 6에 도시된 바와 같은 SXR 계측 툴을 사용할 때 적용 가능한, 이 문제를 해결하기 위한 하나의 공지된 방법은 각 단일 타겟에 대해 2가지 측정을 수행하는 것이다: 제1 타겟 또는 공칭 타겟 배향으로 타겟과의 제1 획득, 및 제2 타겟 또는 회전된 타겟 배향으로 타겟과의 제2 획득 (회전은 공칭 구성에 대해 법선을 따라 180도임). 이는 제1 회절 세기 패턴(I0(X, Y)) 및 제2 회절 세기 패턴(I180(X, Y))의 결과로 이어지며, 여기서 X, Y는 센서(툴) 좌표이다. 그 후 툴-비대칭-보정(TAC) 이미지(ITAC(X, Y))는 하기 수학식과 같이 구성될 수 있다:
이는 X=0이 적절하게 규정된다는 점을 필요로 하며, 이는 전반적으로 가능하다.
그 후 비대칭 양(A(X))은 하기 수학식과 같이 규정될 수 있다:
이는 타겟의 비대칭 기여분만을 포함하며, 따라서 툴로부터의 비대칭 기여분은 없다. 양(ITAC)은 항상 중간 단계로서 명확하게 계산되는 것은 아니지만 최종 결과는 수학적으로 동일하다.
타겟이 회전 각도 0도 및 180도에서 측정되는 이 접근 방식은 1D-주기적 타겟의 측정을 기반으로 단일 방향 (즉, 수학식 1 및 2는 x의 오버레이의 결정에 사용될 수 있다)으로의 오버레이 (또는 다른 매개변수)의 결정을 위하여 작용한다. 그러나 이 접근 방식은 2D-주기적 타겟을 측정할 때 실패한다. 센서 Y-축을 따르는 불완전한 반사 대칭은 사소한 툴 결함으로 인한 것이지만, 툴은 센서 X-축에 대해 반사시 근본적으로 비대칭적이다.
(타겟) y-축에 대해 반사 대칭을 갖는 단위 셀을 포함하는 2D-주기적 타겟의 경우, 수학식 1이 사용될 수 있다. 그러나 타겟 단위 셀에 반사 대칭을 갖지 않고 있다면, 예를 들어 x와 y 모두로 0이 아닌 오버레이로 인하여 또는 타겟이 계획적으로 대칭이 아니기 때문에, 그렇다면 이는 작용하지 않을 것이다. 예를 들어 정사각형 단위 셀을 갖는 타겟의 경우, x의 오버레이가 y의 오버레이와 동일한 시나리오는 대부분 (1, 1)과 (-1, -1) 회절 차수들 사이에 부가적인 불균형을 초래할 것이며, (1, -1)과 (-1, 1) 차수들 사이에는 불균형이 없다. 수학식 1의 대칭 연산은 Y의 툴 비대칭을 해결하지 않는다.
도 8a는 수학식 1에 의하여 구현된 본 방법에 관한 이 문제를 도시하고 있다. 0차 차수(0)와 6개의 회절 차수((-1, 1), (-1, 0), (-1, -1), ( 1, 1), (1, 0), (1, -1)) (다른 더 높은 차수 또한 툴에 의해 캡처될 수 있지만 여기에서는 보이지 않는다)를 포함하는 제1 회절 이미지(I0(X, Y))는 제1 배향에서 획득된다. 제2 회절 이미지 I180(-X, Y)는 제2 배향(공칭 +180도)에서 캡처되고 (-X, Y)에 따라 변환된 회절 이미지의 표현을 포함한다. 조합된 이미지 또는 툴 비대칭 보정 이미지 ITAC(X, Y) 또한 보여진다 (명확함을 위하여 2개의 회절 패턴 사이의 Y의 약간의 오프셋이 추가되었다). 흑색 실선으로 표현된 회절 차수는, xz 및 yz 평면에서 반사 대칭을 갖는 타겟 및 가상의 이상적인 대칭 툴에 대응하는 차수이다. 회색 실선으로 표현된 회절 차수는 툴 비대칭에 의해서만 영향을 받는 회절 차수를 나타내며, 검은 점선으로 표현된 회절 차수는 타겟 비대칭에 의해서만 영향을 받는 회절 차수를 나타낸다.
어두운 회색 차수 (제1 이미지의 차수 (1, 1) 및 제2 이미지의 차수 (-1, -1))는, 툴 비대칭이 툴 비대칭 보정 이미지(ITAC(X, Y))에서 보정되며; 즉, 제1 이미지(I0(X, Y)) 및 그의 변환(I180(-X, Y))이 툴에 대하여 Y-축을 중심으로 대칭적이라는 것을 도시하고 있다. 2개의 이미지의 차수 (1, -1)에 대한 점선들은, 2개의 이미지에 대한 X 또는 Y의 이 차수를 위한 대칭이 없기 때문에 이 보정 전략이 2D 마크의 타겟 피처에 작용하지 않는다는 점을 도시하고 있다.
직관적으로, 대안적인 대칭 작동이 다음 수학식에 따라 구상될 수 있다:
이는 원점에 대하여 점대칭을 갖는 이미지를 생성할 것이다. 그러나 타겟 비대칭이 없는 경우 X=0 또는 Y=0을 따라 반사 대칭을 갖는 결과를 보장하지 않으며, 따라서 이는 작용하지 않을 것이다. 도 8b는 이 대칭화 동작을 도시하는 도 8a의 도면과 동등한 도면이다. 툴이 점대칭을 갖고 있지만, 타겟 피처들이 뒤섞여 있는 것을 볼 수 있다.
또 다른 제안은 타겟을 90도의 단계로 측정하는 것을 포함할 수 있다. 이 제안은 수학식 1을 사용하여 0도와 180도 이미지들의 조합으로부터 X-오버레이를, 그리고 수학식 1의 사소한 변형을 사용하여 90도와 270도 이미지들을 조합으로부터 Y-오버레이를 결정한다. 이는 편향된 타겟을 필요로 할 것이다. 이 제안의 주요 단점은 오버레이를 측벽 비대칭과 같은 다른 타겟 비대칭과 분리하는 것이 근본적으로 불가능하다는 것이다. 부가적으로, 계획적으로 반사 대칭이 부족한 단위 셀을 위하여 이는 작용하지 않을 것이다.
위에서 제기된 문제를 해결하기 위해, 하나의 회절 차수 쌍이 센서 Y-축에 대해 반사 대칭을 갖는 회절 패턴을 생성하도록, 타겟을 회전시킴으로써 (1, 2) 대 (-1, -2)와 같은 상보적인 회절 차수 쌍((mx, my), (-mx, -my))의 TAC 데이터가 획득된다는 것이 제안된다.
구체적으로, 단위 셀 치수 Lx×Ly를 갖는 타겟의 경우, 타겟이 타겟 각도 또는 각도(α)만큼 회전된다면, 회절 차수 쌍((mx, my), (-mx, -my))은 이미지 센서 상에서 대칭적일 것이다.
여기서 (mx, my)는 회절 차수를 나타내며; 회절 차수의 번호 부여(numbering)는 타겟(x, y 축)에 관하여 고정될 수 있다.
도 9는 이러한 실시예에 따른 방법을 설명하는 흐름도이다. 단계 900에서, (mx, my) 차수 쌍은 mx≥0으로 선택된다; 예를 들어, 차수 쌍은 (0, 1), (1, 0), (1, 1), (1, -1) 및 이들의 상보적인 차수를 포함할 수 있다. 단계 910에서, 단위 셀 치수(Lx, Ly)를 기반으로, 각도(α)는 수학식 4에 따라 평가된다. 예를 들어 Ly/Lx=2이면, 각도들은 각각 [90, 0, 26.56, -26.56]도일 것이다. 이와 같이, 일부 각도는 사각(oblique angle)이다 (즉, 0, 90, 180 또는 270도 이외의 각도, 타겟 및 센서 각각의 xyz 및 XYZ 좌표계 사이의 z-회전 각도로서 각각 규정된 타겟 회전 각도). 단계 920은 각 타겟 각도(α) 값에 대해 2개의 회절 패턴 (예를 들어, 제1 및 제2 측정 획득)을 획득하는 것을 포함한다: α 도에서 제1 획득 Iα(X, Y) 및 α+180도에서 제2 획득 Iα+180도(X, Y). 단계 930은 ITAC,α(X, Y)를 대칭화하는 것을 포함한다; 즉 ITAC,α(X, Y)=Iα(X, Y)+Iα+180도(-X, Y). 단계 940은 각 α에 대해 회절 패턴의 대응하는 차수 쌍을 식별하는 것을 포함한다; 예를 들어 α=26.56 도의 경우, 이 단계는 차수 (1, 1) 및 (-1, -1)을 식별하는 것을 포함할 수 있다. 마지막으로, 단계 950에서, 픽셀 값은 파장 또는 다른 적합한 파장 관련 양(예: 파수, 퓨필 좌표의 0차 차수로부터의 거리, 역 퓨필 공간 또는 qz 값 등)의 함수로 스펙트럼에 매핑되며, 추가 처리에 반영된다.
퓨필 좌표에 대한 매핑은 검출기 이미지 I(X, Y)로서 표현된 신호로부터 퓨필 공간에 표현된 동일한 신호()로의 매핑을 포함할 수 있다. 매개변수(k)는 퓨필 공간에서의 벡터, 즉 광선의 방향 단위 벡터의 x 및 y 성분을 나타내며, 타겟은 xy 평면에 있다. 퓨필 공간에서의 표현은 이산 픽셀 면에서가 아닌 연속적일 수 있으며;. 이는 적절한 보간 방법을 사용하여 달성될 수 있다.
본 명세서에 개시된 실시예 중 다수는 차수 번호(m) 및 파장(λ)의 함수로서 회절 효율(R(m, λ))(또는 세기와 같은 관련 양)을 역 퓨필 공간 또는 상호 공간에 Rmq(m, qz)로서 매핑하는 것을 포함하며, 여기서 qz는 역 길이의 차원을 갖는다.
역 동공 공간에 대한 매핑은 미가공 데이터를 역 퓨필 공간으로 변환하기 위해 측정된 신호로의 다음의 일련의 변환의 적용을 포함할 수 있다:
- 검출기 이미지를 퓨필 공간, 즉 신호( )로 변환. 이는 3D 공간에서 검출기 픽셀의 위치를 아는 것을 필요로 한다.
- 퓨필 공간을 역좌표()로 변환하여 역 좌표 측정 데이터 획득. 이는 아래에서 더 자세히 설명될 것이다.
- 역 공간의 신호에 푸리에 변환 적용.
3D 상호 공간 (타겟이 xy 평면에 있고 주기 px로 x를 따라 주기적이며 주기 py로 y로 주기적)에서 또는 모멘텀 전달 공간에서, 모멘텀 전달 벡터는 다음과 같이 규정될 수 있다.
여기서 mx, my는 각각 x와 y의 회절 차수 번호이다. 이는 다음과 같이 더 간결하게 작성될 수 있다.
여기서 은 입사 파수 벡터이며, 이는 그의 z 성분의 부호에서의 과 다르다. q의 z-성분은 qz와 일치한다. x와 y에서 주기적인 타겟으로부터의 회절의 경우 q의 데카르트 성분은 다음의 특성을 갖는다:
또는 대안적으로:
여기서 는 0차 차수 반사 방사선의 방향 단위 벡터이다.
측정된 세기 또는 회절 효율 스펙트럼()은 수학식 5 내지 7 중 어느 하나를 사용하여 로서, 또는 등가적으로 로서 모멘텀 전달 공간에 매핑될 수 있다. 이렇게 다시 매핑된 의 일부는 이제 아래와 같이 푸리에 변환될 수 있다:
이 푸리에 변환에서의 인자 2π는 또한 -2π로 대체될 수 있다는 점을 주목한다. 이 경우, 본 기술 분야의 숙련된 자에게 자명할 바와 같이, 이 1D의 나머지 부분에 있는 수학식에서의 대부분의 다른 인자 2π 또한 -2π로 대체될 필요가 있을 것이다.
도 10은 도 9의 흐름도에 의해 설명된 것에 대한 대안적인 실시예를 도시하고 있다. 4개 (또는 그 이상)의 회절 차수 쌍 각각에 대해 2번의 노광을 수행하는 것은 매우 시간 소모적이기 때문에, 이 실시예는 2개의 회절 차수 쌍에 대해서만 2번의 노광을 수행하고 나머지를 보간하는 것을 제안한다. 이는 Ly/Lx 비율이 큰 경우 (예를 들어, >3 또는 >10), 특히 유용할 수 있다. 비율이 작은, 예를 들어, <0.33 또는 <0.10인 경우에 이는 또한 유용할 수 있으며, 이 경우에 x 및 y 레이블은 바꾸어질 수 있다.
단계 1000에서, 차수 쌍은 mx≥0으로 선택된다. 예를 들어, 차수 쌍은: (1, 0), (1, 1), (1, -1), (1, 2), (1, -2), (1, 3), (1, -3)을 포함할 수 있다. 단계 1010에서, 이 쌍들 중 2개만이, 예를 들어 차수 쌍 (1, 3) 및 (1, -3)에 대한 각도(αa, αb)가 평가된다. 단위 셀 종횡비 Ly/Lx=5의 경우, 이는 αa=30.96도 및 αb=-30.96도를 산출할 것이다. 단계 1020에서 α 및 α+180도에 대한 (αa, αb의 각각, 즉 총 4개에 대한) 회절 패턴이 획득된다. 단계 1030에서, 각 회절 패턴에서 회절 차수는 식별되고 픽셀 값이 파장 스펙트럼으로 매핑 또는 변환되어, 스펙트럼 S(α, mx, my, λ) 및 S'(α, mx, my, λ)를 결과적으로 나타내며, 여기서 후자는 α+180도 타겟 배향에 대한 것이고 스펙트럼은 α=αa 또는 α=αb에 대해 측정된다. 스펙트럼(S 및 S')은 회절 강도 또는 회절 효율을 나타낼 수 있다. 회절 세기 스펙트럼은, 검출기(318)를 사용하여 추정 또는 획득될 수 있는 조명 소스의 세기 스펙트럼으로 값을 나눔으로써 회절 효율 스펙트럼으로 변환될 수 있다. 단계 1040에서, 스펙트럼은 보간법 (예를 들어, 선형 보간법)에 의하여 α의 연속 함수로서 추정되며 다른 선택된 차수, 예를 들어 (1, 0), (1, ±1), (1, ±2)에 대응하는 α 값으로 평가된다. 최종적으로, 단계 1050에서, TAC 스펙트럼은 다음과 같이 평가된다:
여기서 α 값은 |mx|, my 값에 대응하는 것으로 간주된다.
위의 절차는 2개의 α 값에서의 측정을 기반으로 한다. 이는 또한 3개 이상의 값으로 일반화될 수 있다.
선형 보간법은 관계가 S(α)=A+αB에 의하여 잘 근사된 경우에 적합하다. 진정한 관계는 멱법칙(power law)에 더 가까운 것, 예를 들어 S=A+α3B 또는 일반적으로 S=A+인 것으로 판명될 수 있으며, 여기서 는 공지된 함수이고 A와 B만 타겟 의존적이다. 이에 따라 단계 1040을 수정하는 것은 간단하다.
실시예에서, 단계 1040은 스펙트럼(S 및 S')을 파장(λ) 표현에서 qz 표현(또는 설명된 바와 같은 모멘텀 전달 공간)으로 변환시키는 단계에 의하여 대체되어 스펙트럼 를 획득할 수 있다. 수정된 단계 1050은 그 후 단계 1040에서 설명된 바와 같은 보간법을 사용하여 임의의 α 값에 대한 함수(R)를 생성할 수 있으며 또한 하기 수학식에 따라 TAC 스펙트럼을 생성할 수 있다.
선택적으로, 이 단계에 뒤이어 다시 으로의 변환이 이루어진다.
이 실시예를 뒷받침하는 근거는 R(qz)가 S(λ)보다 차수 번호에 따라 덜 달라지며 이는 보간법을 더 매력적으로 만든다는 것이다.
도 11은 이 실시예에 대한 회절 도면에 관하여 단계 1030 및 1040을 도시하고 있다. 도 11a는 공간에서 (각도 단위로서 라디안을 이용한) 4개의 배향(αa, αa+π, αb, αb+π)에 대한 회절 패턴을 보여주고 있다 (여기서, 이 예에서는 αb=-αa). 툴에 대한 그리고 타겟에 대한 회절 패턴에 점 대칭이 있다는 것을 알 수 있다. 도 11b는 각도 α와 0 (여기서 α는 αa의 크기)에서의 보간된 회절 패턴을 보여주고 있다. 다시 한 번, 밝은 회색은 타겟 비대칭을 나타내며, 어두운 회색은 툴 비대칭을 도시한다.
다시 한 번 회색 색상 (회색 점과 회색 선)은 툴 관련 비대칭의 영향을 받는 회절 차수를 설명하며 상이한 반응을 갖는 검출기의 픽셀로 해석될 수 있다. 검은 점선은 타겟 비대칭의 영향을 받는 회절 차수를 나타낸다. 도 11a (최상부의 2개의 도면) 내의 회색 점선은 이 영역에 툴 관련 비대칭이 있지만 그 타겟 회전에 대한 회절 광이 없다는 것을 나타낸다. 도 11b에서, 보간법은 툴 비대칭 기여분이, 여기서는 회색/검은색 점선과 열린 회색 원으로 표시된 비대칭 기여분과 혼합되게 한다.
위에서 언급된 바와 같이, 회절 패턴의 세기 프로파일은 측정 툴의 특성뿐만 아니라 타겟의 특성의 영향을 받는다. 지금까지는 측정된 회절 패턴으로부터의 픽셀이 대응 차수에 어떻게 매핑될 수 있는지가 명시적으로 설명되지 않았다. 타겟과 측정 툴의 모든 특성이 알려져 있다면, 이 매핑은 직접 계산될 수 있다. 그러나 대부분의 상황에서는 피치만이 알려져 있는 반면에, 스택 조성 및 단위 셀에 대한 정보는 거의 없다. 또한 소스 스펙트럼의 변동 그리고 샘플 스테이지와 검출기의 오정렬이 고려될 수 있다. 따라서 픽셀에 대한 차수의 더 나은 매핑을 얻기 위해 (예를 들어, 위에서 설명된 방법의 단계 1030에) 다음의 전처리 단계를 추가하는 것이 제안된다;
ㆍ 사용자 규정된 또는 (예를 들어, Otsu 방법에 의해) 자동으로 획득된 임계값을 기반으로 이미지를 이진화.
ㆍ 보다 정확한 픽셀 대 차수 매핑을 얻기 위해, 원본 이미지에 적용될 수 있는 차수별 마스크 (예를 들어, 신호 영역)마다 획득하도록 이미지를 분할. 이미지 세그먼트는, 예를 들어 Satoshi Suzuki 등의 “Topological structural analysis of digitized binary images by border following.” Computer Vision, Graphics, and Image Processing, 30(1):32 내지 16, 1985에 설명된 바와 같은 윤곽 검색에 의하여 찾아지며, 위 문헌은 원용에 의해 본 명세서에 포함된다.
ㆍ 형태학적 폐쇄 및 개방 변환을 순차적으로 적용함으로써, 획득된 윤곽을 최적화. 전자는 작은 윤곽을 제거하며, 후자는 나머지 윤곽의 구멍을 채운다.
이들 단계는 상이한 실험 조건들에 쉽게 적응 가능한 소수의 하이퍼파라미터에 의해 제어될 수 있다. 획득된 마스크는 그 후 원본 이미지에 적용되어 단계 1040에 필요한 특정 회절 차수를 추출할 수 있다. 필요하다면, 획득된 마스크를 계산된 위치와 비교함으로써 그리고 그에 따라 이미지를 시프팅 또는 회전시킴으로써 샘플 및 검출기 오정렬은 보정될 수 있다. 간단한 교차 상관 접근 방식을 사용하는 경우, 보정은 빔 축을 중심으로 하는 x, y 시프트 및 회전으로 제한된다.
측정 조명을 이용한 계측, 예를 들어 고차 고조파 생성(HHG) 기술을 통해 생성될 수 있는 SXR 계측에 대한 구체적인 적용과 함께 추가 실시예가 이제 설명된다. SXR 계측에서, 타겟은 (예를 들어, 10㎚ 내지 20㎚ 파장 범위 내의 파장을 포함하는) SXR 스펙트럼에 의하여 조명될 수 있다. 회절 패턴은 이미지 센서에 의해 캡처될 수 있다. 회절 패턴을 처리하는 것은 오버레이 또는 임계 치수와 같은 관심 매개변수의 추정의 결과로 이어진다. 언급된 바와 같이, 타겟은 일반적으로 1D-주기적 또는 2D-주기적일 수 있다. 1D 주기적 타겟에 대하여, 대칭적인 원추형 측정 구성이 선택될 수 있다. 일반적으로, 이미지 센서의 상이한 픽셀은 상이한 파장(λ)과 회절 차수(m)를 받아들이며, 픽셀 위치에서 차수(들)와 파장(들)으로의 매핑은 간단하다.
선택적으로, 2개의 회절 패턴이 캡처되며, 샘플은 제1 획득과 제2 획득 사이에서 180도 (면내) 회전된다. 양 획득에 대하여, 입사 평면은 대칭 원뿔형 구성으로 측정되는 타겟의 선들과 평행일 수 있다.
한 예에서, 스펙트럼은 빗(comb)형 스펙트럼을 가지며, 선택적으로 스펙트럼은 도 12에 도시된 바와 같이 HHG를 통해 생성될 수 있는 SXR 스펙트럼이다. 도 12는 파수()(또는 파장)에 대한 스펙트럼 파워(SP)의 플롯(plot)이다. 피크들의 각각은 (고차 고조파 생성 전에) 펌프/구동 방사선 파수의 홀수 배에 있다. SXR 소스의 디자인에 따라, 다수 개가 존재할 수도 있다; 다음 설명에서는 홀수 배수만이 존재하는 것으로 가정된다. 짝수 배수와 홀수 배수가 모두 존재하는 경우에 이 방법을 맞추는 것은 간단할 것이다. 피크들 사이의 스펙트럼 파워는 0에 가깝다. 이의 결과는 대응하는 파장 성분에 대한 반사율이 측정될 수 없다는 것이다. 조명 스펙트럼에서 누락된 파장 값은 누락된 값이 없는 연속 스펙트럼으로 측정하는 것과 비교하여 측정으로부터 획득된 정보의 양을 감소시킨다. 이는, 특히 자기 상관/푸리에 분석에서의 아티팩트(artifacts)로 이어질 수 있다. 스펙트럼 세기가 피크들 사이에서 0이 아니고 단순히 피크보다 훨씬 낮은 값에 있는 경우에도 결과는 이 파장에 대한 낮은 신호/노이즈 비(ratio)일 것이다.
따라서 연속적이거나 적어도 기존의 HHG 생성보다 더 연속적인 (m, qz) 표현의 스펙트럼을 생성하는 것이 바람직하다. 여기서 m은 회절 차수 번호이다. (위에서 설명한) 매핑((m, λ)→(m, qz))은 방위각() (타겟 배향 또는 면내 타겟 회전), 입사각, 타겟의 피치 및 타겟의 (또는 타겟 내의 선택된 층의) 굴절률(n)의 영향을 받는다. 각도(=±90도)는 대칭적인 원뿔형 회절로서 규정되며; 0도와 180도는 평면 회절이다.
도 13은 3개의 방위각()에 대해 이산-파장 스펙트럼을 qz 값에 매핑하는 것을 보여주는, qz 값에 대한 스펙트럼 파워(SP)의 플롯이다. 이 특정 예에서, 3개의 상이한 방위각은: 90도, 83도 및 97도이다. 90도 스펙트럼은 qz 공간에 매핑된, 도 12의 스펙트럼에 대응한다. 다른 2개의 스펙트럼은 방위각을 변경함으로써 이 피크들의 위치가 qz 공간에서 이동한다는 것을 보여주고 있다. qz 공간에서 피크들의 위치는 =83도 및 =97도에 대해 기본적으로 동일하다; 즉, 어느 방향으로도의 7도의 시프트는 본질적으로 피크 위치에서 동일한 시프트의 결과로 이어진다. 방위각 시프트의 크기 (이 특정 예에서는, 7도)는 스펙트럼 피크가 =90° 측정에 대한 스펙트럼의 스펙트럼 피크들 사이에 위치되도록 선택되며, 예를 들어, =97도 스펙트럼의 각 피크는 =90도 스펙트럼의 인접하는 피크들의 각 쌍의 각 피크로부터 대략 등거리에 있다. 이를 달성하기 위한 시프트의 크기는 의 시프트, 타겟 피치, 입사각 및 타겟의 굴절률을 포함한 다수의 매개변수에 의존할 것이다.
이와 같이, 적어도 한 쌍의 측정 획득, 즉 제1 방위각 (예를 들어, =±90도와 같은 기존 방위각)에서의 제1 획득 및 제2 방위각에서의 제2 획득을 통해 측정을 수행하는 것이 제안되며, 여기서 제2 방위각은 제2 획득의 캡처된 스펙트럼의 스펙트럼 피크가 제1 획득의 캡처된 스펙트럼의 스펙트럼 피크들 사이에 (예를 들어, 대략 등거리적으로) 위치하도록 선택/최적화된다. 이 측정들은 그 후 조합되어 성분의 누락 없이 보다 연속적인 스펙트럼의 결과로 이어질 수 있다 (즉, 조합된 스펙트럼은 파수 범위에 걸쳐 구동 방사선 파수의 모든 정수 배수 (짝수 및 홀수)에 대응하는 qz 공간 내의 위치에서의 성분을 포함한다). 도시된 특정 예를 참조하면, () 공간에서 =90도 및 =97도 (또는 83도)에 대응하는 측정들을 조합하는 것은 누락된 성분이 없는 스펙트럼의 결과로 이어질 것이다.
1D-주기적 타겟의 경우, 방위각()에 대한 qz 표현의 스펙트럼 피크가 인터리브(interleaved)되도록 각도(α)가 선택될 수 있다 (각도는 이제 라디안(radians) 단위이다). 양 스펙트럼의 이 스펙트럼 피크들은 대략적으로 동일하게 이격될 수 있다. 각도(α)는 위에서 설명된 방위각 시프트, 예를 들어 도시된 특정 예에서는 7도 또는 0.12 라디안(rad)이다.
2개의 반사 스펙트럼()이 이 2개의 방위각에 대해 각각 획득된다. 이 2개의 스펙트럼은 낮은 또는 0의 스펙트럼 파워를 갖는 파장에 해당하는 qz 값에서 노이지(noisy) 또는 누락 데이터를 가질 수 있다. 이들은 누락된 데이터를 갖지 않는 그리고/또는 덜 노이지한 단일 스펙트럼()으로 결합될 수 있다.
2개의 스펙트럼이 결합될 수 있는 다수의 방법이 있으며, 예를 들어 단순 평균이 취해질 수 있다. 일반적으로 함수()는 2개의 스펙트럼을 결합시키도록 규정될 수 있다. 다른 예로서, 표준 오차()가 각 성분에 할당될 수 있으며, 예를 들어 가중 평균이 취해질 수 있다:
많은 변형이 가능하다; 예를 들어, 분석은 회절 효율보다는 회절 세기(I(m,qz))에 대해 수행될 수 있으며 및/또는 가중 요소는 1/σ2와 다를 수 있다.
결합된 신호(R(qz))는 그 후 추가 처리로의 입력으로 사용되어, 예를 들어 관심 대상 매개변수를 결정할 수 있다. 이 신호는 그러한 입력을 예상하는 알고리즘과 함께 사용하기 위해 다시 파장 표현으로 변환될 수도 있다. 개별 신호(R(a) 및 R(b))들은 그들을 결합된 신호로 결합하지 않고 기계 학습 알고리즘에 공급될 수 있다.
각도(α)는, 예를 들어 방위각을 변경함으로써, qz 공간에서 측정 스펙트럼의 결과적인 피크 위치를 관찰함으로써, 에 대응하는 스펙트럼에 대해 원하는 인터리브된 위치에 있는 스펙트럼 피크에 해당하는 방위각을 선택함으로써 시행착오 최적화를 사용하여 선택될 수 있다. 설명된 바와 같이, (SXR 조명 스펙트럼에서) 알려진 파장을 qz 값으로 매핑하는 것이 가능하다.
아래 표에는 다양한 피치에 대한 각도(α)를 위한 다수의 구체적인 예시 값이 나열되어 있다. 모든 값은 15㎚ 파장 주변에서 1,030㎚의 홀수 고조파, 입사각 30도, 타겟 굴절률 n=0.95를 갖는 스펙트럼을 가정한다. 80㎚보다 큰 피치에서 qz 표현의 피크 시프트는 α에 따라 비선형이 된다는 점을 주목한다.
피치 (㎚) α(도)
20 3.5
30 5
40 7
60 11
80 12
이 측정 스펙트럼 구성 실시예는 본 명세서에서 설명된 툴-비대칭 보정개념과 결합될 수 있다. 제1 예로서, 1D-주기적 타겟에 대한 기본적인 툴-비대칭 보정이 설명될 것이다. 이는 이 스펙트럼 구성 실시예에 의해 도입될 큰 툴-비대칭을 다루지만 사소한 정렬 오차 또는 편광 효과로 인한 더 작은 툴 비대칭은 다루지 않는다.
이러한 실시예에서, 3개의 타겟 배향; 즉 방위각 , 에 대해 3개의 측정 획득이 수행된다. 수학식 12를 참조하면, 결합된 스펙트럼은 다음과 같이 평가될 수 있다:
이는 반대일 수 있으며, 예를 들어 R(b')는 양수 m에 대해 사용될 수 있고, 즉
대안적으로, 측정된 데이터가 폐기되지 않는 방식으로 측정들이 결합될 수 있다.
추가 실시예에서, (다시 1D- 주기적 타겟에 대해) 전체 툴-비대칭 보정이 수행될 수 있다. 그러나 이는 6개의 측정 획득이 필요하며 이는 바람직한 것 이상이다. 이 방법은 타겟 배향 대상 방향( a, b, a‘= a-π, b’= b-π, c=π/2-α, c’= c-π)에서 6개 측정 획득을 수행하는 것을 포함한다. 이 타겟 배향들의 각각에 대해 예시적인 캡처된 회절 패턴이 도 14에 도시되어 있다.
툴-비대칭 보정 스펙트럼은 그 후 하기 식에 따라 구성될 수 있다:
이 스펙트럼들은 그러면 (예를 들어) 다음 수학식과 같이 결합될 수 있다:
이 접근 방식은 툴 비대칭의 모든 원인을 보정할 것이라는 점이 예상된다. 그러나 그렇지 않은 경우, 추가 실시예는 그러면 준-2D 타겟이 되도록 1D- 주기적 타겟을 수정하는 것을 포함할 수 있다. 예를 들어, 제1 피치를 갖는 1D-주기적 격자는, x-방향에 대한 제1 피치와 y-방향에 대한 제2 피치를 갖는 2D-주기적 패턴을 형성하기 위해, 더 큰 피치로, 예를 들어 적어도 한자릿수만큼 더 큰 피치로 절단될 수 있다. 그 후 도 9 또는 도 10에 설명된 툴-비대칭 보정 스킴이 이 타겟에 적용될 수 있다. 이미 설명된 측정 스펙트럼 구성 실시예 (예를 들어, 가중 평균)에서 설명된 바와 같이 상이한 차수()에 대한 회절 효율은 그후 결합될 수 있다.
이러한 타겟에서, qz 표현에서 스펙트럼 피크를 인터리빙하기 위해 회전 각도가 최적인 것보다 더 많은 y-회절 차수를 볼 것으로 예상될 것이다. TA-보정된 회절 효율()에 대해 푸리에 분석을 수행하는 것이 가능하여, x, y 및 z에서의 자기 상관 데이터세트를 결과적으로 나타낸다. y-종속성은, 예를 들어 y에 걸쳐 자기 상관 신호를 통합함으로써 폐기될 수 있다.
오버레이, 예를 들어 회절 기반 오버레이(DBO) 계측과 관련하여 추가 실시예가 이제 설명될 것이다. DBO 계측에서, 오버레이(OV)를 추정하기 위해 2개의 상이하게 바이어스된 타겟이 측정될 수 있다. 보다 구체적으로, 하기 식에 따라, 오버레이는 (각각 +b 및 -b 바이어스, 즉 동일한 크기와 상이한 다른 방향의 바이어스들을 갖는 타겟과 관련된) 2개의 비대칭 측정(A+ 및 A-)으로부터 추정될 수 있다:
이 일반적인 개념에 대한 많은 개선이 존재한다. 이러한 하나의 방법은 단일 타겟으로부터 위상차 매개변수 또는 오버레이 유사 매개변수(X0)(예를 들어, 나노미터로 표현)를 획득하거나, 다음 식에 따라 2개의 바이어스된 타겟으로부터 측정들을 결합하는 것을 포함할 수 있다:
요약하면, 본 방법은 회절 효율()을 상호 공간에 로서 매핑하는 것을 포함할 수 있으며; 예를 들어 위에서 설명한 방법을 사용한다. 이 표현은 그 후 복소-값 표현()으로 푸리에-변환될 수 있으며, 여기서 Z 값은 타겟 구조체의 층 두께에 대응한다. 그러면 오버레이 유사 매개변수()는 다음 식에 의하여 사이의 위상차()와 관련된다:
여기서 p는 타겟의 피치이다. 이는 2D-주기적 타겟과 다수의 회절 차수 쌍 ()으로 일반화되어 X0 및 Y0을 산출할 수 있다. 2D-주기적 타겟의 경우, 본 명세서에서 설명된 툴-비대칭 보정 기술이 이용되어 를 획득할 수 있다. 이전 설명에서는 XYZ가 툴 좌표인 것으로 규정되었지만 여기서는 타겟 내(in-target) 좌표인 점이 주목한다.
이러한 오버레이 계측 방법의 경우, 바이어스된 타겟을 이용함으로써 더 나은 정확도가 획득될 수 있다. 2D 오버레이 예에서, (예를 들어) 바이어스(x, y)를 갖는 4개의 바이어스된 타겟: (bx, 0), (-bx, 0), (0, by), (0, -by)이 있을 수 있으며, 여기서 bx는 x-방향 바이어스이고 by는 y-방향 바이어스이다. 선택적으로, x-방향 바이어스(bx)와 y-방향 바이어스(by)는 상이하다. 수학식 15와 함께 조합한 이러한 바이어스된 타겟을 이용함으로써, 특히 y-방향 오버레이를 위한 정확도가 향상된다.
그러나 4개의 바이어스된 타겟의 세트는 상당한 다이 상 영역을 차지하며 또한 4개의 측정 획득을 필요로 하여, 단일의 바이어스되지 않은 타겟을 측정하는 것과 비교하여 4배 더 낮은 처리량의 결과로 이어진다.
수학식 15로부터의 값의 오차에 대한 큰 기여분은 체계적인 오차의 결과이다. 예를 들어, 이러한 하나의 오차 원인은 타겟 층 굴절률의 잘못된 추정 또는 가정에 기인할 수 있다. 파장의 함수로서의 타겟 층의 굴절률은 를 계산할 때 가정한 것과 다를 수 있다. 또한 qz 공간의 제한된 도메인에 걸친 푸리에-변환은 체계적인 오차를 야기한다.
이 오차는 체계적이기 때문에, 초기 교정에서만 바이어스된 타겟을 이용하는 것이 제안된다. 이러한 교정을 위하여 소수의 바이어스된 타겟만이 요구될 것이며, 모든 웨이퍼에 대해 교정을 수행할 필요는 없을 수 있다. 교정 데이터 (예를 들어, 하나 이상의 교정 관계, 또는 하나 이상의 교정된 관계로 불리는 것)는 교정을 통해 획득될 수 있다.
이러한 실시예에서, 대부분의 오버레이 타겟은 단일의 (즉, 단일의 패드) 바이어스되지 않은 타겟일 수 있으며 (또는 보다 일반적으로 모두 단일 바이어스를 포함하며, 바람직하게는 바이어스를 포함하지 않는다), 따라서 위치당 4개가 아닌 단지 하나의 이러한 타겟이 요구된다. 이 바이어스되지 않은 타겟은, 예를 들어 다이 내에 배치될 수 있다. (예를 들어, 다수의 바이어스를 갖는) 바이어스된 교정 타겟는 스크라이브 레인에 배치될 수 있다. 다이는 주어진 기능적 회로가 제작되는 작은 반도체 재료 블록이다. 전형적으로, 집적 회로는 포토리소그래피와 같은 공정을 통해 단일 기판 상에서 대규모 배치(batch)로 생산된다. 웨이퍼는 여러 피스(pieces)로 절단(다이싱)되며, 각 피스는 하나의 회로 카피(copy)를 포함한다. 이 피스들의 각각은 다이(die)로 불린다. 다이 내(In-die)는 계측 타겟이 다이 내부에 위치된다는 것을 의미한다. 스크라이브 레인은 기판에서 다이들을 분리하는 영역이다. 이 영역은 기판을 개별 다이들로 다이싱(소잉)할 수 있도록 하기 위해 요구된다.
계측 목적으로 특별히 설계된 그리고 노광된 타겟을 측정하는 것에 대한 대안으로서, 충분히 정규화된 경우 (예를 들어, 메모리 구조체), 계측은 제품에 대해 직접적으로 수행될 수 있다 (제품 상 계측). 이러한 방식으로, 오버레이 계측을 위해 실용 공간(real-estate)이 희생되지 않는다. 또한, 타겟의 에지와 관련된 리소그래피 아티팩트로 인하여, 타겟에서 측정된 바와 같은 오버레이는 다이의 기능적 제품 구조체 (예를 들어, 메모리 셀)의 원하는 오버레이와 다를 수 있다; 구조체를 직접 측정함으로써 이 문제는 방지된다. 본 발명의 맥락에서, 용어 타겟은 계측 목적을 위하여 특별히 설계되고 노광되는 타겟 또는 계측이 수행될 때 기능적 제품 구조체를 포함하는 임의의 다른 구조체를 설명할 수 있다.
교정은 다수의 바이어스된 타겟 (1D-주기적 타겟의 경우 2개 이상, 2D-주기적 타겟의 경우 4개 이상)을 측정하는 것 및 결과적인 측정값으로부터 오버레이와 오버레이 유사 매개변수(X0) 사이의 (2D의 경우 오버레이와 오버레이 유사 매개변수(Y0) 사이의) 관계 (교정 관계)를 결정하는 것을 포함할 수 있다. 선택적으로, 바이어스들은 상이하다. 이 교정 관계가 (예를 들어, 방향별로) 교정되면, 이는 생산 단계에서 사용되어 예를 들어 바이어스되지 않은 (예를 들어, 다이 내) 타겟에 대한 계측으로부터 수학식 15를 사용하여 결정된 바와 같은 오버레이 유사 매개변수(X0 (또는 Y0))를 오버레이 값으로 변환시킬 수 있다.
특정 예에서, 오버레이와 오버레이 유사 매개변수 사이의 관계는 선형적이라는 점이 가정될 수 있다 (다른 더 복잡하고/더 높은 차수의 관계가 대신 사용될 수 있다). 따라서, 본 방법은 관계 OVx=(aX0+c)에서 계수 a, c를 결정하는 것, 및 관계 OVy=(dY0+e)에서 계수 d, e를 유사하게 결정하는 것을 포함할 수 있으며, 여기서 OVx는 x-방향 오버레이이고 OVy는 y-방향 오버레이이다. 차원당 2개의 타겟으로 a 또는 c/d 또는 e가 추정될 수 있으며, 차원당 3개의 타겟으로 a 및 c/d 및 e 모두 추정될 수 있다. 따라서 방향당 3개의 타겟으로 교정이 개선될 것이다. 계수 값(a, c (및/또는 d, e))가 그후 사용되어, 예를 들어 바이어스되지 않은 타겟으로부터 획득된 바와 같은 X0 (및/또는 Y0)에 대한 값을 오버레이 값으로 변환시킬 수 있다.
계수(a, c (및 d, e))의 정보는 또한 파수의 함수로서의 굴절률 , 또는 적어도 (예를 들어, 상부 패턴 층과 하부 패턴 층 사이의 층(들)과 같은) 층 스택에 걸친 평균 굴절률 값의 더욱 정확한 추정을 가능하게 한다. 이를 위하여, 수학식 7은 굴절률 n=1에 대한 근사치라는 점이 주목될 수 있다. 보다 정확한 표현은 다음 식과 같다:
여기서 각도 (θ1; θ2) 또한 스넬(Snell)의 법칙에 따라 에 좌우된다. 위에서 설명된 바와 같은 계수 a, c, d, e가 각각 값 1, 0, 1, 0을 얻도록 예를 들어 의 상수 또는 선형 함수를 추가함으로써 를 수정할 수 있다. 이는 시행착오에 의하여 또는 최적화 알고리즘을 사용하여 이루어질 수 있다. 이는 층 두께의 보다 정확한 측정을 가능하게 하거나, 두께가 공지된 경우 (산화량과 같은) 층의 화학적 조성에 관한 정보를 제공한다; SXR에서, 굴절률은 보통은 구성 화학 원소들의 굴절률들의 가중 평균이다.
설명된 바와 같이, 본 명세서에 개시된 방법을 사용하여 2D 타겟을 측정할 때 TAC를 보정하기 위해 상이한 타겟 배향들 (또는 방위들)에서의 다수의 획득이 이루어질 수 있다. 이러한 방법에서, 측정된 데이터를 분석하기 전에, 예를 들어 노이즈를 제거하고 부분 데이터를 (다수의 측정을 HDR 이미지로) 조합하기 위하여 하나 이상의 전처리 단계를 수행하는 것이 바람직할 수 있다. 전처리에 사용된 특정 단계에 따라, 데이터의 다양한 부분 (예를 들어, 하나 이상의 배향/파장/획득 설정 등에서의 하나 이상의 타겟 또는 샘플 영역과 관련된 하나 이상의 특정 데이터 포인트 등)은 이상치 (즉, 다른 데이터 관찰에서 벗어나는 극값)로서 분류될 수 있다. 두 가지 일반적인 접근 방식:
ㆍ 전체 데이터 세트, 즉 상이한 방향 각도들에 함께 대응하는 모든 프레임에 대해 이상치 검출을 수행하는 것;. 또는
ㆍ 단일 배향의 프레임만을 기반으로 이상치 검출을 수행하는 것이 있다.
그러나 이 방법들 모두 충분하지 않은 성능을 보여주고 있다. 이는 추가 분석에 심각한 영향을 미칠 수 있는 분류 오류로 이어진다.
(1D 격자와 비교하여) 2D 격자는 증가된 수의 회절 패턴을 생성하여 신호를 수신하는 검출기의 더 큰 영역을 초래하기 때문에 이상치 검출은 특히 2D 격자와 관련이 있다. 이런 이유로, 그리고 특히 SXR (연질 X 선) 측정 방사선을 사용하는 경우 이상치를 야기하는 고에너지 감마선을 관측할 가능성이 높다. 그러나 이는 SXR만의 문제가 아니며; 다른 파장에 대해서도 유사한 이상치가 존재한다.
이상치 검출을 위하여 측정된 데이터의 차선의 사전 처리는 데이터 포인트가 이상치로써 잘못 분류되거나 실제 이상치가 이와 같이 분류되지 않는 것을 야기할 수 있으며; 즉 이상치 검출은 위양성(false positives)과 위음성(false negatives) 모두를 겪을 수 있다. 이러한 잘못된 분류는 후속 분석 단계에서 부정확한 그리고 가능하게는 설명될 수 없는 결과로 이어질 수 있다. 오버레이 측정의 경우, 이는 예를 들어 열악한 오버레이 재현 성능을 초래할 수 있다.
일부 실시예에서, 설명된 바와 같이, 2D 타겟 측정은 각각 별개의 타겟 배향 또는 각도에 있는 4개 이상의 획득에서 수행될 수 있다. 이는 앞서 언급된 (예를 들어, SXR 방사선으로) 넓은 검출기 커버리지(coverage)의 문제와 결합하여, 언급된 바와 같이 현재의 이상치 검출 방법이 잘 처리할 수 없는 이상치를 관찰할 큰 가능성의 결과로 이어진다.
따라서 패턴 유사성 및/또는 배향 각도를 기반으로 데이터의 부분들을 함께 쌍을 이루게 하는 것 그리고 쌍을 이룬 데이터에 대해 이상치 검출을 수행하는 것이 제안된다. 이상치를 분류하기 위해 사용될 수 있는 예시적인 방법은 특히 다음 방법들; 사분 범위(IQR) 방법, 중앙값 절대 편차(MAD) 방법, 모분산 방법, 임계 방법, 또는 k차 백분위 점수 방법 중 하나를 포함할 수 있다. 그러나 이 실시예는 특정 이상치 검출 방법에 제한되지 않는다.
유사한 회절 패턴을 갖는 데이터의 페어링(paring)은 실시예에서 하나 이상의 획득 쌍에서 동일한 타겟 또는 샘플 위치를 측정함으로써 이루어질 수 있으며, 여기서 각 획득 쌍은 180도 (예를 들어, 20°와 200° 또는 10°와 190°)의 크기만큼 타겟 배향 각도가 다른 각각의 배향에서의 2개의 획득을 포함한다. 예를 들어, x 및/또는 y의 미러링에 관하여 유사한 회절 패턴이 이러한 획득 쌍으로부터 획득될 수 있다. 배향별로 획득 쌍, 예를 들어 90도 크기만큼 동일하게 이격된 방향에서 (적어도) 4개의 획득을 획득하는 것이 바람직할 수 있다. 이들은 그 후 2개의 획득 쌍으로 쌍을 이룰 수 있으며, 각 쌍은 설명된 바와 같이 배향이 180도 다르다.
도 15는 각각 10°, 100°, 190°, 280°에서의 타겟 배향에 대응하는 4개의 2D-주기적 회절 패턴을 포함한다. 이 정보를 고려해 볼 때, 각 패턴 (즉, 각 배향)에 해당하는 데이터는 유사한 또는 미러링 패턴과 쌍을 이룰 수 있다 (즉, 이 경우 10°와 190° 배향과 관련된 데이터를 포함하는 제1 획득 쌍 및 100°와 280° 배향과 관련된 데이터를 포함하는 제2 획득 쌍). 이 획득 쌍으로 쌍을 이룬 계측 데이터는 그후 이상값 제거를 위한 입력으로서 사용될 수 있다.
이상치 검출을 위하여 쌍을 이루는 데이터를 사용하는 것은 이전에 설명된 현재의 이상치 제거 접근법 모두와 비교하여 이상치 검출을 향상시킨다는 것을 알 수 있다.
이 이상치 검출 방법은 임의의 2D 타겟 또는 구조체로부터 획득된 샘플 위치들 (타겟들) (주기성은 요구되지 않는다) 사이의 적어도 2개의 상이한 배향을 포함하는 계측 데이터에 사용될 수 있다. 측정 파장에는 제한이 없다; 본 명세서에 개시된 개념은 임의의 하나 또는 다수의 파장 획득에 적용 가능하다.
이상치 검출 방법은 계측 데이터 (측정 획득)를 전처리하기 위한 전처리 방법의 일부를 형성할 수 있다. 이러한 전처리 방법은 다음의 추가 단계; 오버스캔 보정, 배경 보정 및 관심 대상 영역 선택 중 하나 이상을 포함할 수 있다. 이에 뒤이어, 데이터는 위에서 설명된 바와 같이 쌍을 이룰 수 있으며 적절한 이상치 검출 방법; 예를 들어, IQR 이상치 검출 방법 또는 임의의 다른 적절한 방법이 적용될 수 있다.
타겟의 경사 방위각으로, 더 구체적으로는 상기 차수 쌍의 쌍 이 퓨필 YZ 평면에 대해 대칭이도록 타겟의 방위각을 선택함으로써 적어도 하나의 측정 획득을 수행하는 것을 포함하는, 본 명세서에 개시된 다수의 대칭화 방법에서, 더 많은 원하는 측정 획득 수가 요구된다. 보간 기술을 통해 이를 완화하는 실시예가 개시되었지만, 이러한 보간 기술은 또한 오차를 발생시킨다. 부가적으로, 개시된 보간 방법은 60도 떨어진 적어도 6개의 획득으로 더 잘 작용한다.
이를 해결하기 위해, (90도 떨어진) 4개의 측정 획득만을 필요로 하고 4개의 회절 스펙트럼 (예를 들어, 회절 효율 스펙트럼 또는 세기 스펙트럼)을 얻기 위해 보간법이 필요하지 않은 추가 대칭화 방법이 설명될 것이다. 각 경우에, 타겟은 4개의 방위각(=0, 90, 180, 270도)에서 측정되며, 여기서 는 퓨필 축과 타겟 x-축(도 16에 도시된 축) 사이의 각도이다. 이 각도들의 각각에 대해 하나씩 4개의 회절 효율 스펙트럼 이 획득된다.
이 실시예의 퓨필 K 좌표계는 툴에 대해 고정되어 있다는 점이 인식되어야 한다. 이는 역 퓨필 공간에 대한 매핑을 설명하는 위의 부분과 대조적이며, 여기서 퓨필 K 좌표계는 규정에 의하여 가 0이 되도록 타겟에 연관(attached)된다.
도 16은 4개의 제안된 타겟(T) 방위각()에 대한 퓨필() 공간의 4개 회절 패턴을 보여주고 있다; 도 16a는 =0°를 보여주고 있으며, 도 16b는 =90°를 보여주고, 도 16c는 =180°를 보여주고 있으며, 도 16d는 =270°를 보여주고 있다. 회절 차수는 () 차수 번호로 표시되며, 이는 항상 타겟 x, y축에 대하여 규정된다.
이 스펙트럼은 설명된 바와 같이 파장을 qz 값으로 변환시킴으로써 파장 공간에서 qz 공간으로 변환될 수 있다; 예를 들어,
여기서 는 조명의 입사각이며, 는 회절 차수와 파장의 함수인 회절 방사선의 법선과의 각도이다. 이 변환의 결과는 4개의 회절 효율 스펙트럼()이다.
이 실시예는 qz 공간 내에서의 처리 측면에서 설명될 것이지만 이는 반드시 필요한 것은 아니며 방법은 또한 퓨필 공간에서; 예를 들어 를 갖는 회절 효율 ()의 측면에서 수행될 수 있다는 것이 인식될 수 있으며, 여기서 K는 특정 회절 차수 및 파장의, 또는 세기() 면에서의 퓨필 벡터이다.
이 대칭화 실시예에서, 툴-비대칭 보정(TAC) 스펙트럼()은, 예를 들어 다음 수학식에 따라 측정된 (및 변환된) 4개의 회절 효율 스펙트럼의 평균으로서 결정될 수 있다:
여기서 위첨자 는 각각의 획득 방위각()을 표시한다.
직사각형 단위 셀의 경우, 대칭 분석은 가 단위 셀과 동일한 대칭을 갖는다는 것을 나타낸다. 예를 들어, (x, y)→(x, -y)에 대한 단위 셀 불변성은 불변성 (mx, my)→(mx, -my)을 야기한다. 또한 대각선을 따르는 반사 대칭을 갖는 정사각형 단위 셀은 불변성 (x, y)→(y, x)를 가지며 이는 불변성 (mx, my)→(my, -mx)을 야기한다. 방위각 =0° 및 =180°에서만 측정하는 공지된 방법은 이 마지막 불변성에서 작동하지 않을 것이다.
대칭화 방법의 개선에서, 각 획득의 신뢰도와 관련된 가중 요소()가 예를 들어 하기와 같이 적용될 수 있다:
특정 예에서, 가중(w)은 퓨필 축에 가까운 회절 차수에 유리한 그리고 퓨필 축에 가까운 회절 차수에 대한 가중을 부과하도록 선택될 수 있다. 예를 들어 가중())는 다음의 형식을 취할 수 있다.
여기서 는 타겟 x-축에 대한 회절 차수의 각도이고 px, py는 타겟 피치(단위 셀 크기)이다. 이 가중은 "차이 각도"()의 영점에 좌우되며; 차이 각도의 규정에 따라 가중은 일 수 있다는 점을 주목한다.
위에서 설명한 코사인 제곱 표현식 대신 다른 가중 함수가 사용될 수 있다. 예를 들어, 함수 는 차이 각도 ( )의 함수이며 (또는 영점 규정에 따라 )와 동일한 값에서 최소값과 최대값을 가지며 에 대한 불변성이 사용될 수 있다.
에 대해 규정된 하나의 특정 대체 가중 함수는 아래와 같다:
여기서 는 일부 양의 각도, 예를 들어 =30도 또는 =12도이다.
선택적으로, (예를 들어, 명시적으로 설명된 것과 같은) 임의의 적합한 가중 함수에 따라 결정된 바와 같은 가중이 임계값 미만 (예를 들어, 0.25 미만, 0.2 미만, 0.15 미만 또는 0.1 미만)인 경우, 0의 가중; 즉 w=0이 부과될 수 있다.
제안된 가중은 툴 비대에 의해 영향을 덜 받는 기여분(획득 방위각 값()의 관점에서)에 유리한 더 큰 가중을 부과한다. 더욱이, 툴 내의 퓨필 커버리지는 (0, 0) 회절 차수를 중심으로 하는 디스크를 포함할 것 같지 않으며 따라서 동일한 회절 차수()가 방위각 값()에 대해 커버되는 다른 범위를 가질 수 있다는 점이 인식될 수 있다. 특히, 퓨필 커버리지는 퓨필 축을 따르는 것보다 퓨필 Kx 축을 따라 훨씬 더 클 수 있으며; 커버리지는 또한 와 비교하여 에 따라 다를 수 있다. 어떤 경우에도 캡처되지 않는 회절 차수에 대해 가중을 0으로 설정함으로써, 정보를 폐기할 필요성이 방지될 수 있다.
예를 들어, 회절 차수(1, 0)는 =0, 180도에 대한 [0.2, 0.4], =90도에 대한 [0.2, 0.3] 및 =270도에 대한 [0.2, 0.25]를 커버할 수 있다 (이 값들은 순전히 예시적인 것이다). 가중되지 않은 대칭화 방법에서, =[0.2, 0.25]에 대한 데이터만이 획득될 것이며, =[0.25, 0.4]에 대한 데이터는 폐기될 것이고, 이 차수의 경우일지라도 =0, 180도는 툴 비대칭을 제거하기에 충분할 것이다. 제안된 가중 방법을 이용함으로써, 전체 범위 [0.2, 0.4]가 사용될 수 있다.
선택적으로, 단계 크기 및 획득 수는 90도 떨어진 4개 획득에서, 예를 들어 60도 떨어진 6개 획득 또는 45도 떨어진 8개 획득까지 다양할 수 있다.
추가 실시예가 이후의 번호가 부여된 조항에 개시된다:
1. 타겟을 조명하기 위한 조명 빔을 방출하도록 작동 가능한 조명 소스 및 타겟에 의해 산란된 산란 방사선을 수집하기 위한 계측 센서를 포함하는 계측 툴을 이용하여 기판 -상기 기판의 표면은 제1 툴 방향 및 상기 제1 툴 방향에 직교하는 제2 툴 방향에 걸쳐 연장되는 기판 평면을 규정하며, 여기서 상기 제1 툴 방향, 제2 툴 방향 그리고 상기 제1 툴 방향 및 제2 툴 방향에 직교하는 제3 툴 방향은 함께 툴 좌표계를 규정함-상의 타겟을 측정하는 방법으로서, 본 방법은:
적어도 한 쌍의 측정 획득 -상기 적어도 한 쌍의 측정 획득은 조명 빔에 대해 제1 타겟 배향에서의 타겟의 제1 측정 획득; 및 조명 빔에 대해 제2 타겟 배향에서의 타겟의 제2 측정 획득을 포함하며, 상기 제1 타겟 배향은 상기 기판 평면에 수직인 축을 중심으로 타겟 좌표계와 상기 툴 좌표계 사이의 타겟 각도에 의해 규정되고, 상기 적어도 한 쌍의 측정 획득 중 적어도 하나의 측정 획득을 위한 상기 타겟 각도는 사각(oblique angle)임-을 수행하는 것; 및
제1 측정 획득 및 제2 측정 획득으로부터 조합된 측정 획득을 결정하는 것을 포함한다.
2. 조항 1에 따른 방법에서, 상기 타겟은 타겟 좌표계의 제1 타겟 방향으로의 제1 주기성, 및 타겟 좌표계의 제2 타겟 방향으로의 제2 주기성을 갖는 2차원 타겟을 포함한다.
3. 조항 2에 따른 방법에서, 상기 제1 타겟 배향 및 상기 제2 타겟 배향은 선택된 쌍의 상보적인 회절 차수가 상기 제2 툴 방향을 따라 상기 계측 센서의 축에 대해 반사 대칭을 갖는 회절 패턴을 생성하도록 한다.
4. 조항 3에 따른 방법은:
제1 타겟 방향 및 제2 타겟 방향으로의 상기 타겟의 단위 셀의 셀 치수들; 및
상기 제1 타겟 방향 및 제2 타겟 방향으로의 선택된 쌍의 상보적인 회절 차수의 차수 번호;를 기반으로 상기 타겟 각도를 계산하는 것을 포함한다.
5. 조항 4에 따른 방법에서, 상기 셀 치수들은 각각 상기 제1 타겟 방향 및 상기 제2 타겟 방향으로 (Lx, Ly)이며, 상기 상보적인 회절 차수 쌍의 상기 차수 번호는 (mx, my), (-mx, -my)이고, 상기 타겟 각도(α)는 하기 수학식에 의해 규정된다.
6. 조항 1 내지 5 중 어느 한 조항에 따른 방법에서, 상기 제2 타겟 배향은 180도를 더한 상기 타겟 각도에 의하여 규정된다.
7. 조항 1 내지 6 중 어느 한 조항에 따른 방법은:
상기 상보적인 회절 차수 쌍들 중 적어도 2개를 선택하는 것;
상기 상보적인 회절 차수 쌍들의 각각에 대해 상기 측정 획득 쌍을 수행하는 것;
상기 측정 획득 쌍들의 각각의 측정 획득 내에서 상기 회절 차수들의 각각에 대해 측정된 픽셀 값을 파장 관련 양의 함수로서 각각의 스펙트럼에 매핑하는 것; 및
상기 측정 획득 쌍의 각각의 조합으로부터 상기 조합된 측정 획득을 결정하는 것을 포함한다.
8. 조항 6 또는 7에 따른 방법은, 상기 조합된 측정 획득으로부터 관심 대상 매개변수를 결정하는 것을 포함한다.
9. 조항 8에 따른 방법에서, 관심 대상 매개변수는 오버레이 또는 측벽 각도를 포함한다.
10. 조항 6 내지 9 중 어느 한 조항에 따른 방법에서, 상기 상보적인 회절 차수 쌍들 중 적어도 2개는 상기 상보적인 회절 차수 쌍들 중 적어도 4개를 포함한다.
11. 조항 6 내지 9 중 어느 한 조항에 따른 방법에서, 상기 방법은:
보간법에 의해 상기 타겟 각도의 연속 함수로서 상기 스펙트럼의 각각을 추정하는 것; 및
상보적인 회절 차수의 다른 쌍에 대응하는 다른 각도로 상기 스펙트럼을 평가하는 것을 포함한다.
12. 조항 7 내지 11 중 어느 한 조항에 따른 방법에서, 상기 매핑 단계는 상기 스펙트럼의 각각을 파장 표현으로부터 역 퓨필 공간의 역 좌표 표현 또는 퓨필 공간의 퓨필 좌표 표현으로 변환시키는 단계를 포함한다.
13. 조항 7 내지 12 중 어느 한 조항에 따른 방법에서, 상기 매핑 단계는:
사용자 규정된 또는 자동으로 획득된 임계값을 기반으로 상기 제1 측정 획득과 제2 측정 획득의 각각을 이진화하는 것; 및
차수별 마스크를 획득하도록 이진화된 제1 측정 획득과 제2 측정 획득을 각각 분할하는 것을 포함한다.
14. 조항 13에 따른 방법은 형태학적 폐쇄 및 개방 변환을 순차적으로 적용함으로써 상기 분할 단계로부터 획득된 윤곽을 최적화하는 것을 더 포함한다.
15. 조항 1 내지 14 중 어느 한 조항에 따른 방법에서, 조명 빔은 비수직 입사각으로 기판을 조명한다.
16. 조항 1 내지 15 중 어느 한 조항에 따른 방법에서, 조명 빔은 상기 제1 툴 방향과 상기 제3 툴 방향에 의해 규정된 평면 또는 상기 제2 툴 방향과 상기 제3 툴 방향에 의해 규정된 평면에서 기판을 조명한다.
17. 조항 1 내지 16 중 어느 한 조항에 따른 방법에서, 계측 툴은 세기 스펙트럼을 캡처하기 위하여 작동 가능한 검출기를 포함한다.
18. 조항 1 내지 16 중 어느 한 조항에 따른 방법에서, 계측 툴은 이미지 기반 검출기를 포함하며, 본 방법은 상기 회절 차수를 선택하기 위해 퓨필 평면에서 마스크를 사용하는 것을 포함한다.
19. 조항 1 내지 18 중 어느 한 조항에 따른 방법에서, 측정 획득은 조명 빔 및/또는 계측 센서로 인한 비대칭 기여분에 대해 보정된 보정 측정 획득이다.
20. 계측 툴의 조명으로 기판 평면 상의 타겟을 측정하기 위한 방법은, 제1 측정을 하는 것; 비직교 각도로 기판 평면에 직교하는 방향에 대해 타겟을 회전시키는 것; 및 제2 측정을 하는 것을 포함한다.
21. 조항 20에 따른 방법에서, 타겟은 기판 평면에서 2개의 직교 방향으로의 주기성을 갖는 2차원 타겟이며, 선택적으로 2개 방향으로의 주기성은 조명 파장의 절반보다 크거나 이와 비교할 수 있다.
22. 조항 20 또는 21에 따른 방법에서, 조명은 경사 입사로 웨이퍼를 조명한다.
23. 조항 20 내지 22 중 어느 한 조항에 따른 방법에서, 본 방법은
계측 도구에 의해 도입된 비대칭을 보정하기 위해 제1 측정과 제2 측정을 조합하는 것을 더 포함한다.
24. 조항 20 내지 23 중 어느 한 조항에 따른 방법에서, 각 측정 획득은 조명 빔을 사용하여 수행되고, 상기 제1 측정 획득은 제1 측정 신호를 야기하며, 상기 제2 측정 획득은 제2 측정 신호를 야기하고, 상기 제1 배향 및 제2 배향은 제2 측정 신호의 제2 스펙트럼이 상호 공간 내의 제1 측정 신호의 제1 스펙트럼의 피크와 인터리브되는 상기 상호 공간의 스펙트럼 위치에서의 피크를 포함하도록 한다.
25. 조항 24에 따른 방법에서, 제1 측정 획득 및 제2 측정 획득으로부터 조합된 측정 획득을 결정하는 것은 적어도 상기 제1 측정 획득과 제2 측정 획득을 가중 평균으로서 조합하는 것을 포함한다.
26. 조항 24 또는 25에 따른 방법에서, 제2 스펙트럼의 각 피크는 제1 스펙트럼의 각각의 인접 피크 쌍의 각 피크로부터 대략 등거리에 있다.
27. 조항 24 내지 26 중 어느 한 조항에 따른 방법은 상기 제2 스펙트럼의 시행착오 최적화를 기반으로 상기 제2 타겟 배향을 결정하는 것을 포함한다.
28. 조항 24 내지 27 중 어느 한 조항에 따른 방법에서, 상기 적어도 한 쌍의 측정 획득 및 적어도 한 쌍의 측정 신호는 각각 제3 타겟 배향에서의 적어도 제3 측정 획득 및 대응하는 제3 측정 신호를 포함하며, 상기 제3 타겟 배향은 상기 제2 타겟 배향과 180도 다르다.
29. 조항 24 내지 27 중 어느 한 조항에 따른 방법에서, 상기 적어도 한 쌍의 측정 획득과 적어도 한 쌍의 측정 신호는 각각 제3 타겟 배향에서의 적어도 제3 측정 획득 및 대응하는 제3 측정 신호, 제4 타겟 방향에서의 제4 측정 획득 및 대응하는 제4 측정 신호, 제5 타겟 방향에서의 제5 측정 획득 및 대응하는 제5 측정 신호, 그리고 제6 타겟 방향에서의 제6 측정 획득 및 해당하는 제6 측정 신호를 포함하고, 상기 제3 타겟 배향은 상기 제2 타겟 배향과 180도 다르며, 제4 타겟 배향은 상기 제1 타겟 배향과 180도 다르고, 상기 제5 타겟 배향은 상기 제2 타겟 배향의 차이보다 90도 작으며, 상기 제1 타겟 배향과 상기 제6 타겟 배향은 상기 제5 타겟 배향과 180도 다르다.
30. 조항 20 내지 29 중 어느 한 조항에 따른 방법은 상기 적어도 한 쌍의 측정 획득에 대해 초기 이상치 제거 단계를 수행하는 단계를 포함하며, 초기 이상치 제거 단계는:
적어도 하나의 획득 쌍을 획득하기 위해 패턴 유사성 및/또는 타겟 배향을 기반으로 상기 적어도 한 쌍의 측정 획득에 포함된 데이터의 적어도 일부를 페어링하는 것; 및
상기 적어도 하나의 획득 쌍에 대해 이상치 제거 연산을 수행하는 것을 포함한다.
31. 조항 30에 따른 방법에서, 상기 적어도 하나의 획득 쌍의 각 획득 쌍은 180도의 크기만큼 다른 타겟 배향들을 갖는 측정 획득을 포함한다.
32. 조항 31에 따른 방법은 90도의 크기만큼 동일하게 이격된 타겟 배향들을 갖는 측정 획득을 포함하는 상기 획득 쌍들 중 2개를 포함한다.
33. 조항 30, 31 또는 32에 따른 방법에서, 상기 이상치 제거 연산은 사분 범위 이상치 분류 방법, 중앙값 절대 편차 방법, 모분산 방법, 임계 방법 또는 k차 백분위 점수 방법을 포함한다.
34. 조명 빔을 이용하여 기판 상의 타겟을 측정하는 방법으로서, 본 방법은:
적어도 한 쌍의 측정 신호를 획득하기 위해 적어도 한 쌍의 측정 획득 -상기 적어도 한 쌍의 측정 획득은 측정 신호 쌍 중 제1 측정 신호를 획득하기 위해 조명 빔에 대해 제1 타겟 배향에서의 타겟의 제1 측정 획득; 및 측정 신호 쌍 중 제2 측정 신호를 획득하기 위해 조명 빔에 대해 제2 타겟 배향에서의 타겟의 제2 측정 획득을 포함함-;을 수행하는 것; 및
관심 대상 매개변수를 결정하기 위해 제1 측정 신호와 제2 측정 신호를 이용하는 것을 포함하며;
상기 제2 타겟 배향은 제2 측정 신호의 제2 스펙트럼이 상호 공간 내의 제1 측정 신호의 제1 스펙트럼의 피크와 인터리브되는 상기 상호 공간의 스펙트럼 위치에서의 피크를 포함하도록 한다.
35. 조항 34에 따른 방법은 조합된 측정 신호를 획득하기 위해 상기 적어도 한 쌍의 측정 신호의 측정 신호들을 조합하는 것을 포함한다.
36. 조항 35에 따른 방법에서, 상기 측정 신호들은 가중 평균으로써 조합된다.
37. 조항 34 내지 36 중 어느 한 조항에 따른 방법에서, 제2 스펙트럼의 각 피크는 제1 스펙트럼의 각각의 인접 피크 쌍의 각 피크로부터 대략 등거리에 있다.
38. 조항 34 내지 37 중 어느 한 조항에 따른 방법은 상기 제2 스펙트럼의 시행착오 최적화를 기반으로 상기 제2 타겟 배향을 결정하는 것을 포함한다.
39. 조항 34 내지 38 중 어느 한 조항에 따른 방법에서,
상기 적어도 한 쌍의 측정 획득 및 적어도 한 쌍의 측정 신호는 각각 제3 타겟 배향에서의 적어도 제3 측정 획득 및 대응하는 제3 측정 신호를 포함하며, 상기 제3 타겟 배향은 상기 제2 타겟 배향과 180도 다르다.
40. 조항 34 내지 38 중 어느 한 조항에 따른 방법에서, 상기 적어도 한 쌍의 측정 획득과 적어도 한 쌍의 측정 신호는 각각 제3 타겟 배향에서의 적어도 제3 측정 획득 및 대응하는 제3 측정 신호, 제4 타겟 방향에서의 제4 측정 획득 및 대응하는 제4 측정 신호, 제5 타겟 방향에서의 제5 측정 획득 및 대응하는 제5 측정 신호, 그리고 제6 타겟 방향에서의 제6 측정 획득 및 해당하는 제6 측정 신호를 포함하고, 상기 제3 타겟 배향은 상기 제2 타겟 배향과 180도 다르며, 제4 타겟 배향은 상기 제1 타겟 배향과 180도 다르고, 상기 제5 타겟 배향은 상기 제2 타겟 배향의 차이보다 90도 작으며, 상기 제1 타겟 배향과 상기 제6 타겟 배향은 상기 제5 타겟 배향과 180도 다르다.
41. 조항 34 내지 40 중 어느 한 조항에 따른 방법은 상기 적어도 한 쌍의 측정 획득에 대해 초기 이상치 제거 단계를 수행하는 단계를 포함하며, 초기 이상치 제거 단계는:
적어도 하나의 획득 쌍을 획득하기 위해 패턴 유사성 및/또는 타겟 배향을 기반으로 상기 적어도 한 쌍의 측정 획득에 포함된 데이터의 적어도 일부를 페어링하는 것; 및
상기 적어도 하나의 획득 쌍에 대해 이상치 제거 연산을 수행하는 것을 포함한다.
42. 조항 41에 따른 방법에서, 상기 적어도 하나의 획득 쌍의 각 획득 쌍은 180도의 크기만큼 다른 타겟 배향들을 갖는 측정 획득을 포함한다.
43. 조항 42에 따른 방법은 90도의 크기만큼 동일하게 이격된 타겟 배향들을 갖는 측정 획득을 포함하는 상기 획득 쌍들 중 2개를 포함한다.
44. 조항 41, 42 또는 43에 따른 방법에서, 상기 이상치 제거 연산은 사분 범위 이상치 분류 방법, 중앙값 절대 편차 방법, 모분산 방법, 임계 방법 또는 k차 백분위 점수 방법을 포함한다.
45. 타겟으로부터 오버레이를 측정하는 방법으로서, 본 방법은:
타겟으로부터의 산란 방사선의 제1 회절 차수와 관련된 제1 측정 신호와 상기 산란 방사선의 제2 회절 차수 -상기 제1 회절 차수와 제2 회절 차수는 상보적인 회절 차수임-와 관련된 제2 측정 신호 사이의 위상차와 관련된 위상차 매개변수를 결정하는 것;
상기 위상차 매개변수를 오버레이 매개변수에 관련시켜 하나 이상의 교정된 관계를 얻는 것; 및
상기 위상차 매개변수를 오버레이 매개변수로 변환시키기 위해 상기 하나 이상의 교정된 관계를 이용하는 것을 포함한다.
46. 조항 45에 따른 방법에서, 상기 타겟은 단일 1차원 또는 단일 2차원 주기적 구조체를 포함한다.
47. 조항 45에 따른 방법에서, 타겟은 2차원 주기적 구조를 포함하며; 상기 하나 이상의 교정된 관계는 상기 2차원 주기적 구조체의 차원당 하나 이상의 교정된 관계를 포함하고, 상기 방법은:
상기 차원들의 각각에 대한 위상차 매개변수를 결정하는 것;
상기 제1 차원에 관한 위상차 매개변수를 상기 제1 차원에 관한 오버레이 매개변수로 변환시키기 위해 상기 차원들 중 제1 차원에 관한 상기 하나 이상의 교정된 관계를 이용하는 것; 및
상기 제2 차원에 관한 위상차 매개변수를 상기 제2 차원에 관한 오버레이 매개변수로 변환시키기 위해 상기 차원들 중 제2 차원에 관한 상기 하나 이상의 교정된 관계를 이용하는 것을 포함한다.
48. 조항 45 내지 47 중 어느 한 조항에 따른 방법에서, 상기 위상차 매개변수는 제1 측정 신호의 복소-값 표현과 제2 측정 신호의 복소-값 표현 사이의 위상차와 관련된다.
49. 조항 48에 따른 방법에서, 측정 신호의 각 복소-값 표현은:
매핑된 측정 신호를 얻기 위해, 캡처된 측정 신호를 상호 공간에 매핑함으로써; 및
매핑된 측정 신호를 푸리에 변환시킴으로써 획득된다.
50. 조항 45 내지 49 중 어느 한 조항에 따른 방법에서, 상기 타겟은 다이내(in-die) 타겟이다.
51. 조항 45 내지 50 중 어느 한 조항에 따른 방법에서, 상기 타겟은 기능적 제품 구조체를 포함한다.
52. 조항 45 내지 51 중 어느 한 조항에 따른 방법은 상기 하나 이상의 교정된 관계를 교정하기 위한 교정된 단계를 포함하며; 상기 교정 단계는:
교정 데이터를 얻기 위해 복수의 교정 타겟 -상기 복수의 교정 타겟은 측정 방향당 적어도 2개를 포함함-을 측정하는 것; 및
상기 교정 데이터를 이용하여 위상차 매개변수와 오버레이 매개변수 사이의 관계를 결정함으로써, 측정 방향당 상기 하나 이상의 교정된 관계를 결정하는 것을 포함하며;
측정 방향당 상기 교정 타겟들 중 적어도 2개는 각각 상이한 바이어스를 갖는다.
53. 조항 52에 따른 방법에서, 측정 방향당 상기 교정 타겟들 중 적어도 2개는 각각 동일한 크기 및 상이한 방향의 바이어스를 갖는다.
54. 조항 53에 따른 방법에서, 상기 복수의 교정 타겟은 기판의 스크라이브 레인에 위치된다.
55. 조항 52 내지 54 중 어느 한 조항에 따른 방법에서, 상기 복수의 교정 타겟은 측정 방향당 적어도 3개를 포함한다.
56. 조항 45 내지 55 중 어느 한 조항에 따른 방법은 파장의 함수로서 굴절률 또는 층 스택에 걸친 평균 굴절률 값을 추정하기 위해 상기 하나 이상의 교정된 관계를 이용하는 것을 포함한다.
57. 조항 45 내지 56 중 어느 한 조항에 따른 방법에서, 제1 회절 차수는 +1 회절 차수이며, 제2 회절 차수는 -1 회절 차수이다.
58. 타겟을 조명하기 위한 조명 빔을 방출하도록 작동 가능한 조명 소스 및 타겟에 의해 산란된 산란 방사선을 수집하는 계측 센서를 포함하는 계측 툴을 이용하여 기판 -상기 기판의 표면은 제1 툴 방향 및 상기 제1 툴 방향에 직교하는 제2 툴 방향에 걸쳐 연장되는 기판 평면을 규정하며, 여기서 상기 제1 툴 방향, 제2 툴 방향 그리고 상기 제1 툴 방향 및 제2 툴 방향에 직교하는 제3 툴 방향은 함께 툴 좌표계를 규정하고, 타겟은 타겟 좌표계의 제1 타겟 방향으로의 제1 주기성 및 타겟 좌표계의 제2 타겟 방향으로의 제2 주기성을 갖는 2차원 타겟을 포함함-상의 타겟을 측정하는 방법으로서, 본 방법은:
적어도 4개의 측정 획득에 관한 계측 데이터 -각 측정 획득은 각각의 타겟 배향에서 수행되며, 타겟 배향은 기판 평면에 수직인 축을 중심으로 타겟 좌표계와 툴 좌표계 사이의 타겟 각도에 의해 규정되며, 계측 데이터는 각 측정 획득에 대한 각각의 회절 스펙트럼을 포함함-를 획득하는 것; 및
조합된 측정 획득을 상기 적어도 4개의 측정 획득으로부터의 상기 회절 스펙트럼의 평균 또는 가중 조합으로서 결정하는 것을 포함한다.
59. 조항 58에 따른 방법에서, 상기 결정 단계는 조합된 측정 획득을 상기 회절 스펙트럼의 가중된 조합으로서 결정하는 것을 포함한다.
60. 조항 59에 따른 방법에서, 가중은 퓨필 공간에서 퓨필 축에 가까운 회절 차수에 유리한 그리고 퓨필 공간에서 퓨필 축에 가까운 회절 차수에 대한 가중을 부과한다.
61. 조항 60에 따른 방법에서, 각 회절 스펙트럼의 정반사 회절 차수는 상기 퓨필 축에 중심을 두지만, 상기 퓨필 공간의 상기 퓨필 축에는 중심을 두지 않는다.
62. 조항 59 내지 61 중 어느 한 조항에 따른 방법에서, 가중은 계측 툴의 툴 비대칭에 의해 영향을 덜 받는 기여분에 유리한 가중을 부과한다.
63. 조항 59 내지 62 중 어느 한 조항에 따른 방법에서, 상기 가중은 타겟 각도와 회절 차수의 함수로서 결정된다.
64. 조항 63에 따른 방법에서, 상기 가중은 가중 함수에 의하여 결정되며, 가중 함수는 타겟 각도의 차이 및 타겟 x-축에 대한 회절 차수 각도를 포함하는 차이 각도의 함수이다.
65. 조항 64에 따른 방법에서, 상기 가중 함수는 차이 각도의 영점 정의에 따라 차이 각도의 코사인 또는 사인의 제곱의 함수 또는 배수로서 결정된다.
66. 조항 64에 따른 방법에서, 상기 가중 함수는 차이 각도의 함수이며, 상기 함수는 차이 각도의 코사인 또는 사인의 제곱과 동일한 차이 각도 값에서 최소 및 최대값을 갖고 또한 동일한 크기 모듈로 360도의 양수 값과 음수 값의 차이 각도에 대해 그리고 차이 각도 및 180도 모듈로 360도를 더한 차이 각도에 대해 변하지 않는다.
67. 조항 64, 65 또는 66에 따른 방법에서, 가중 함수에 따라 결정된 바와 같은 상기 가중이 임계값 미만일 때 제로 가중이 부과된다.
68. 조항 64에 따른 방법에서, 상기 가중()은 가중 함수에 의하여 결정되며:
여기서 는 차이 각도이며, 는 양의 각도이다.
69. 조항 58 내지 68 중 어느 한 조항에 따른 방법은 상기 회절 스펙트럼의 각각을 파장 표현으로부터 역 퓨필 공간의 역 좌표 표현 또는 퓨필 공간의 퓨필 좌표 표현으로 변환시키는 초기 단계를 포함한다.
70. 조항 58 내지 69 중 어느 한 조항에 따른 방법에서, 상기 회절 스펙트럼은 상기 회절-효율 스펙트럼 또는 세기 스펙트럼을 포함한다.
71. 조항 58 내지 70 중 어느 한 조항에 따른 방법은 상기 계측 데이터를 획득하기 위해 상기 적어도 4개의 측정 획득을 수행하는 것을 포함한다.
72. 조항 58 내지 71 중 어느 한 조항에 따른 방법에서, 상기 적어도 4개의 측정 획득은 90도 간격으로 이격된 총 4개의 측정 획득이다.
73. 조항 72에 따른 방법에서, 상기 4개의 측정 획득에 대한 타겟 각도들은 각각 0도, 90도, 180도 및 270도이다.
74. 컴퓨터 프로그램은 조항 1 내지 73 중 어느 한 조항의 방법의 위치 단계를 처리하고 결정하는 것을 적어도 수행하도록 작동 가능한 컴퓨터 판독 가능 명령을 포함한다.
75. 프로세서 및 연관된 저장 매체로서, 상기 저장 매체는 상기 프로세서가 조항 1 내지 73 중 어느 한 조항의 방법을 수행하기 위해 작동 가능하도록 조항 74의 컴퓨터 프로그램을 포함한다.
76. 계측 디바이스는 조항 1 내지 73 중 어느 한 조항의 방법을 수행하도기 위해 동작 가능하도록 조항 75의 프로세서 및 연관된 저장 매체를 포함한다.
77. 리소그래피 셀은 리소그래피 장치 및 조항 76의 리소그래피 디바이스를 포함한다.
IC의 제조에서 리소그래피 장치의 사용에 대해 본 문서에서 특정한 참조가 이루어질 수 있지만, 본 명세서에서 설명되는 리소그래피 장치는 다른 적용을 가질 수 있다는 점이 이해되어야 한다. 가능한 다른 적용은, 집적 광학 시스템, 자기 도메인 메모리용 안내 및 검출 패턴, 플랫 패널 디스플레이, 액정 디스플레이(LCD), 박막 자기 헤드 등의 제조를 포함한다.
본 명세서에서 리소그래피 장치의 맥락에서의 실시예에 대한 특정한 참조가 이루어질 수 있지만, 실시예는 다른 장치에서 사용될 수 있다. 실시예는 마스크 검사 장치, 계측 장치, 또는 웨이퍼 (또는 다른 기판) 또는 마스크 (또는 다른 패터닝 디바이스)와 같은 대상물을 측정 또는 처리하는 임의의 장치의 일부를 형성할 수 있다. 이 장치들은 일반적으로 리소그래피 툴로서 지칭될 수 있다. 이러한 리소그래피 툴은 진공 조건 또는 주위 (비진공) 조건을 이용할 수 있다.
본 명세서에서는 검사 또는 계측 장치의 맥락에서 특정한 참조가 이루어질 수 있지만, 실시예는 다른 장치에서 사용될 수 있다. 실시예는 마스크 검사 장치, 리소그래피 장치, 또는 웨이퍼 (또는 다른 기판) 또는 마스크 (또는 다른 패터닝 장치)와 같은 대상물을 측정하거나 처리하는 임의의 장치의 일부를 형성할 수 있다. 용어 "계측 장치" (또는 "검사 장치")는 또한 검사 장치 또는 검사 시스템 (또는 계측 장치 또는 계측 시스템)을 지칭할 수 있다. 예를 들어, 실시예를 포함하는 검사 장치는 기판의 결함 또는 기판 상의 구조체의 결함을 검출하기 위해 사용될 수 있다. 이러한 실시예에서, 기판 상의 구조체의 관심 대상 특성은 구조체의 결함, 구조체의 특정 부분의 부재, 또는 기판 상의 원하지 않는 구조체의 존재와 관련될 수 있다.
광학 리소그래피의 맥락에서 실시예의 사용에 대해 위에서 특정한 참조가 이루어졌을 수 있지만, 본 발명은 문맥이 허용하는 경우, 광학 리소그래피로 제한되지 않으며 다른 적용, 예를 들면, 임프린트 리소그래피에서 사용될 수 있다는 점이 인식될 것이다.
위에 설명된 타겟 또는 타겟 구조체 (보다 일반적으로 기판 상의 구조체)는 측정의 목적을 위해 특별히 디자인되고 형성된 계측 타겟 구조체이지만, 다른 실시예에서 관심 대상 특성은 기판 상에 형성된 디바이스의 기능적 부분인 하나 이상의 구조체 상에서 측정될 수 있다. 많은 디바이스는 규칙적인 격자형 구조체들을 갖는다. 본 명세서에서 사용된 바와 같은 용어 구조체, 타겟 격자 및 타겟 구조체는 구조체가 수행되고 있는 측정을 위하여 특별히 제공되었다는 것을 필요로 하지 않는다. 또한, 계측 타겟들의 피치는 스캐터로미터의 광학 시스템의 분해능 한계에 가까울 수도 있거나 더 작을 수 있지만, 타겟 부분(C)에서 리소그래피 공정에 의해 만들어진 전형적인 비타겟 구조체, 선택적으로 제품 구조체의 치수보다 훨씬 클 수 있다. 실제로, 타겟 구조체 내의 오버레이 격자들의 라인 및/또는 공간은 비타겟 구조체와 치수가 유사한 더 작은 구조체를 포함하도록 만들어질 수 있다.
특정한 실시예가 위에서 설명되었지만, 본 발명은 설명되는 것과 달리 실시될 수 있다는 점이 인식될 것이다. 위의 설명은 제한이 아니라 예시적인 것으로 의도된다. 따라서 아래에 제시되는 청구범위의 범위를 벗어나지 않고, 설명된 바와 같은 본 발명에 대한 수정이 이루어질 수 있다는 점이 본 기술 분야에서 숙련된 자에게 명백할 것이다.
"계측 장치/툴/시스템" 또는 "검사 장치/툴/시스템"에 대한 특정한 참조가 이루어지지만, 이 용어들은 동일한 또는 유사한 유형의 툴, 장치 또는 시스템을 지칭할 수 있다. 예를 들어, 본 발명의 실시예를 포함하는 검사 또는 계측 장치는 기판 상의 또는 웨이퍼 상의 구조체의 특성을 결정하기 위해 사용될 수 있다. 예를 들어, 본 발명의 실시예를 포함하는 검사 장치 또는 계측 장치는 기판의 결함 또는 기판 상의 또는 웨이퍼 상의 구조체의 결함을 검출하기 위해 사용될 수 있다. 이러한 실시예에서, 기판 상의 구조체의 관심 대상 특성은 구조체의 결함, 구조체의 특정 부분의 부재, 또는 기판 상의 또는 웨이퍼 상의 원하지 않는 구조체의 존재와 관련될 수 있다.
HXR, SXR 및 EUV 전자기 방사선에 대해 특정한 참조가 이루어지지만, 문맥이 허용하는 경우 본 발명은 전파, 마이크로파, 적외선, (가시)광, 자외선, X-선, 감마선을 포함하는 모든 전자기 방사선으로 실행될 수 있다는 점이 인식될 것이다.
특정한 실시예들이 위에서 설명되었지만, 한 실시예의 특징들 하나 이상이 또한 다른 실시예에서 존재할 수 있다는 점 그리고 2개 이상의 다른 실시예의 특징들이 또한 조합될 수 있다는 점이 인식될 것이다.

Claims (15)

  1. 타겟을 조명하기 위한 조명 빔을 방출하도록 작동 가능한 조명 소스 및 타겟에 의해 산란된 산란 방사선을 수집하기 위한 계측 센서를 포함하는 계측 툴을 이용하여 기판 상의 타겟을 측정하는 방법으로서,
    상기 기판의 표면은 제1 툴 방향 및 상기 제1 툴 방향에 직교하는 제2 툴 방향에 걸쳐 연장되는 기판 평면을 규정하며, 상기 제1 툴 방향, 제2 툴 방향 그리고 상기 제1 툴 방향 및 제2 툴 방향에 직교하는 제3 툴 방향은 함께 툴 좌표계를 규정하고,
    방법은:
    적어도 한 쌍의 측정 획득을 수행하는 것 - 상기 적어도 한 쌍의 측정 획득은 조명 빔에 대해 제1 타겟 배향에서의 타겟의 제1 측정 획득; 및 조명 빔에 대해 제2 타겟 배향에서의 타겟의 제2 측정 획득을 포함하며, 상기 제1 타겟 배향은 상기 기판 평면에 수직인 축을 중심으로 타겟 좌표계와 상기 툴 좌표계 사이의 타겟 각도에 의해 규정되고, 상기 적어도 한 쌍의 측정 획득 중 적어도 하나를 위한 상기 타겟 각도는 사각(oblique angle)임 -; 및
    제1 측정 획득 및 제2 측정 획득으로부터 조합된 측정 획득을 결정하는 것을 포함하는 방법.
  2. 제1항에 있어서, 상기 타겟은 상기 타겟 좌표계의 제1 타겟 방향으로의 제1 주기성 및 상기 타겟 좌표계의 제2 타겟 방향으로의 제2 주기성을 갖는 2차원 타겟을 포함하는 방법.
  3. 제2항에 있어서, 상기 제1 타겟 배향 및 상기 제2 타겟 배향은 선택된 쌍의 상보적인 회절 차수가 상기 제2 툴 방향을 따라 상기 계측 센서의 축에 대해 반사 대칭을 갖는 회절 패턴을 생성하도록 하는 것인 방법.
  4. 제3항에 있어서, 상기 방법은:
    상기 제1 타겟 방향과 상기 제2 타겟 방향으로의 상기 타겟의 단위 셀의 셀 치수들; 및
    상기 제1 타겟 방향 및 상기 제2 타겟 방향으로의 선택된 쌍의 상보적인 회절 차수의 차수 번호
    를 기반으로 상기 타겟 각도를 계산하는 것을 포함하는 방법.
  5. 제4항에 있어서, 상기 셀 치수들은 각각 상기 제1 타겟 방향 및 상기 제2 타겟 방향으로 ()이며, 상기 상보적인 회절 차수의 쌍의 상기 차수 번호는 (), ()이고, 상기 타겟 각도(α)는:

    에 의해 규정되는 방법.
  6. 제1항 내지 제5항 중 어느 한 항에 있어서, 상기 제2 타겟 배향은 180도를 더한 상기 타겟 각도에 의하여 규정되는 방법.
  7. 제1항 내지 제6항 중 어느 한 항에 있어서,
    상기 상보적인 회절 차수의 쌍들 중 적어도 2개를 선택하는 것;
    상기 상보적인 회절 차수의 쌍들의 각각에 대해 상기 측정 획득의 쌍을 수행하는 것;
    상기 측정 획득의 쌍들의 각각의 측정 획득 내에서 각각의 상기 회절 차수에 대해 측정된 픽셀 값을 파장 관련 양의 함수로서 각각의 스펙트럼에 매핑하는 것; 및
    상기 측정 획득의 쌍의 각각의 조합으로부터 상기 조합된 측정 획득을 결정하는 것을 포함하는 방법.
  8. 제6항과 제7항 중 어느 한 항에 있어서, 상기 상보적인 회절 차수의 쌍들 중 적어도 2개는 상기 상보적인 회절 차수의 쌍들 중 적어도 4개를 포함하는 방법.
  9. 제6항과 제7항 중 어느 한 항에 있어서, 상기 방법은:
    보간법에 의해 상기 타겟 각도의 연속 함수로서 상기 스펙트럼의 각각을 추정하는 것; 및
    상기 상보적인 회절 차수의 다른 쌍에 대응하는 다른 각도로 상기 스펙트럼을 평가하는 것을 포함하는 방법.
  10. 제7항 내지 제9항 중 어느 한 항에 있어서, 상기 매핑 단계는 상기 스펙트럼의 각각을 파장 표현으로부터 역 퓨필 공간의 역 좌표 표현 또는 퓨필 공간의 퓨필 좌표 표현으로 변환시키는 단계를 포함하는 방법.
  11. 제7항 내지 제10항 중 어느 한 항에 있어서, 상기 매핑 단계는:
    사용자 규정된 또는 자동으로 획득된 임계값을 기반으로 상기 제1 측정 획득과 제2 측정 획득의 각각을 이진화하는 것; 및
    차수별 마스크를 획득하도록 이진화된 제1 측정 획득과 제2 측정 획득의 각각을 분할하는 것을 포함하며,
    선택적으로 상기 방법은:
    형태학적(morphological) 폐쇄 및 개방 변환을 순차적으로 적용함으로써 상기 분할 단계로부터 획득된 윤곽을 최적화하는 것을 더 포함하는 방법.
  12. 제1항 내지 제11항 중 어느 한 항에 있어서, 상기 조명 빔은 비수직 입사각으로 상기 기판을 조명하는 방법.
  13. 제1항 내지 제12항 중 어느 한 항에 있어서, 상기 조명 빔은 상기 제1 툴 방향과 상기 제3 툴 방향에 의해 규정된 평면 또는 상기 제2 툴 방향과 상기 제3 툴 방향에 의해 규정된 평면에서 기판을 조명하는 방법.
  14. 제1항 내지 제13항 중 어느 한 항에 있어서, 상기 계측 툴은 이미지 기반 검출기를 포함하며, 상기 방법은 상기 회절 차수를 선택하기 위해 퓨필 평면에서 마스크를 이용하는 것을 포함하는 방법.
  15. 제1항 내지 제14항 중 어느 한 항에 있어서, 상기 측정 획득은 상기 조명 빔 및/또는 계측 센서로 인한 비대칭 기여분에 대해 보정되고 있는 보정된 측정 획득인 방법.
KR1020237041430A 2021-05-31 2022-05-09 계측 측정 방법 및 장치 KR20240016285A (ko)

Applications Claiming Priority (9)

Application Number Priority Date Filing Date Title
EP21176856 2021-05-31
EP21176856.9 2021-05-31
EP21192381.8 2021-08-20
EP21192381.8A EP4137889A1 (en) 2021-08-20 2021-08-20 Metrology measurement method and apparatus
EP21210947.4 2021-11-29
EP21210947 2021-11-29
EP22156865 2022-02-15
EP22156865.2 2022-02-15
PCT/EP2022/062486 WO2022253526A1 (en) 2021-05-31 2022-05-09 Metrology measurement method and apparatus

Publications (1)

Publication Number Publication Date
KR20240016285A true KR20240016285A (ko) 2024-02-06

Family

ID=81975175

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020237041430A KR20240016285A (ko) 2021-05-31 2022-05-09 계측 측정 방법 및 장치

Country Status (4)

Country Link
KR (1) KR20240016285A (ko)
IL (1) IL308370A (ko)
TW (1) TW202311864A (ko)
WO (1) WO2022253526A1 (ko)

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN100470367C (zh) 2002-11-12 2009-03-18 Asml荷兰有限公司 光刻装置和器件制造方法
US7791727B2 (en) 2004-08-16 2010-09-07 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US7481579B2 (en) 2006-03-27 2009-01-27 Jordan Valley Applied Radiation Ltd. Overlay metrology using X-rays
NL1036245A1 (nl) 2007-12-17 2009-06-18 Asml Netherlands Bv Diffraction based overlay metrology tool and method of diffraction based overlay metrology.
NL1036734A1 (nl) 2008-04-09 2009-10-12 Asml Netherlands Bv A method of assessing a model, an inspection apparatus and a lithographic apparatus.
NL1036857A1 (nl) 2008-04-21 2009-10-22 Asml Netherlands Bv Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method.
CN102171618B (zh) 2008-10-06 2014-03-19 Asml荷兰有限公司 使用二维目标的光刻聚焦和剂量测量
CN101515105B (zh) 2009-03-26 2010-07-21 上海交通大学 基于超声波调制的准相位匹配高次谐波装置
JP5545782B2 (ja) 2009-07-31 2014-07-09 エーエスエムエル ネザーランズ ビー.ブイ. リソグラフィ装置の焦点測定方法、散乱計、リソグラフィシステム、およびリソグラフィセル
WO2012022584A1 (en) 2010-08-18 2012-02-23 Asml Netherlands B.V. Substrate for use in metrology, metrology method and device manufacturing method
KR101942388B1 (ko) * 2012-02-21 2019-01-25 에이에스엠엘 네델란즈 비.브이. 검사 장치 및 방법
US10801975B2 (en) 2012-05-08 2020-10-13 Kla-Tencor Corporation Metrology tool with combined X-ray and optical scatterometers
US10013518B2 (en) 2012-07-10 2018-07-03 Kla-Tencor Corporation Model building and analysis engine for combined X-ray and optical metrology
CN107111250B (zh) 2014-11-26 2019-10-11 Asml荷兰有限公司 度量方法、计算机产品和系统
CN107430352B (zh) 2015-03-25 2020-01-21 Asml荷兰有限公司 量测方法、量测设备和器件制造方法
NL2016937A (en) 2015-06-17 2016-12-22 Asml Netherlands Bv Recipe selection based on inter-recipe consistency
WO2017108404A1 (en) 2015-12-23 2017-06-29 Asml Netherlands B.V. Metrology methods, metrology apparatus and device manufacturing method
KR102640173B1 (ko) * 2016-06-14 2024-02-26 삼성전자주식회사 회절 기반 오버레이 마크 및 오버레이 계측방법
US11035804B2 (en) 2017-06-28 2021-06-15 Kla Corporation System and method for x-ray imaging and classification of volume defects
US10959318B2 (en) 2018-01-10 2021-03-23 Kla-Tencor Corporation X-ray metrology system with broadband laser produced plasma illuminator
US11137692B2 (en) * 2018-01-12 2021-10-05 Kla-Tencor Corporation Metrology targets and methods with oblique periodic structures

Also Published As

Publication number Publication date
TW202311864A (zh) 2023-03-16
IL308370A (en) 2024-01-01
WO2022253526A1 (en) 2022-12-08

Similar Documents

Publication Publication Date Title
US10670974B2 (en) Metrology apparatus for and a method of determining a characteristic of interest of a structure on a substrate
KR102190305B1 (ko) 메트롤로지 방법, 메트롤로지 장치 및 디바이스 제조 방법
US10634490B2 (en) Determining edge roughness parameters
US20230040124A1 (en) Method for correcting measurements in the manufacture of integrated circuits and associated apparatuses
JP2017523591A (ja) 半導体パラメータを測定するための装置、技術、およびターゲットデザイン
US10725387B2 (en) Determining an edge roughness parameter of a periodic structure
WO2020114684A1 (en) Method of manufacturing devices
EP3611567A2 (en) Improvements in metrology targets
KR20210044289A (ko) 광학 시스템, 계측 장치 및 관련 방법
US11353796B2 (en) Method and apparatus for determining a radiation beam intensity profile
EP3851915A1 (en) Method for correcting measurements in the manufacture of integrated circuits and associated apparatuses
TWI776421B (zh) 度量衡量測方法及裝置
EP3528048A1 (en) A metrology apparatus for and a method of determining a characteristic of interest of a structure on a substrate
KR20240016285A (ko) 계측 측정 방법 및 장치
EP4137889A1 (en) Metrology measurement method and apparatus
TWI815419B (zh) 用於判定與微影製程相關之隨機度量之方法
EP4242744A1 (en) Method for correcting measurements in the manufacture of integrated circuits and associated apparatuses
CN117413223A (zh) 量测测量方法和设备
EP3467589A1 (en) Determining edge roughness parameters
TW202403465A (zh) 度量衡裝置的參數重構方法及相關度量衡裝置
NL2025095A (en) Metrology measurement method and apparatus
NL2021703A (en) Method and apparatus for determining a radiation beam intensity profile