KR20230110738A - 고차 고조파 생성에 기반한 계측 장치 및 관련 방법 - Google Patents

고차 고조파 생성에 기반한 계측 장치 및 관련 방법 Download PDF

Info

Publication number
KR20230110738A
KR20230110738A KR1020237017252A KR20237017252A KR20230110738A KR 20230110738 A KR20230110738 A KR 20230110738A KR 1020237017252 A KR1020237017252 A KR 1020237017252A KR 20237017252 A KR20237017252 A KR 20237017252A KR 20230110738 A KR20230110738 A KR 20230110738A
Authority
KR
South Korea
Prior art keywords
radiation
higher order
order harmonic
solid
harmonic generating
Prior art date
Application number
KR1020237017252A
Other languages
English (en)
Inventor
피터 마이클 크라우스
아빙 실비안느 도로테아 크리스티나 로스캄
필립보 캄피
좡옌 장
페트루스 빌헬무스 스모렌버그
난 린
스테판 미하일 위트
뵈프 아리에 제프리 덴
Original Assignee
에이에스엠엘 네델란즈 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from EP20210697.7A external-priority patent/EP4006641A1/en
Application filed by 에이에스엠엘 네델란즈 비.브이. filed Critical 에이에스엠엘 네델란즈 비.브이.
Publication of KR20230110738A publication Critical patent/KR20230110738A/ko

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70008Production of exposure light, i.e. light sources
    • G03F7/70025Production of exposure light, i.e. light sources by lasers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70641Focus
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/9501Semiconductor wafers
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N23/00Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00
    • G01N23/02Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by transmitting the radiation through the material
    • G01N23/06Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by transmitting the radiation through the material and measuring the absorption
    • G01N23/18Investigating the presence of flaws defects or foreign matter
    • GPHYSICS
    • G02OPTICS
    • G02FOPTICAL DEVICES OR ARRANGEMENTS FOR THE CONTROL OF LIGHT BY MODIFICATION OF THE OPTICAL PROPERTIES OF THE MEDIA OF THE ELEMENTS INVOLVED THEREIN; NON-LINEAR OPTICS; FREQUENCY-CHANGING OF LIGHT; OPTICAL LOGIC ELEMENTS; OPTICAL ANALOGUE/DIGITAL CONVERTERS
    • G02F1/00Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics
    • G02F1/35Non-linear optics
    • G02F1/3501Constructional details or arrangements of non-linear optical devices, e.g. shape of non-linear crystals
    • G02F1/3505Coatings; Housings; Supports
    • GPHYSICS
    • G02OPTICS
    • G02FOPTICAL DEVICES OR ARRANGEMENTS FOR THE CONTROL OF LIGHT BY MODIFICATION OF THE OPTICAL PROPERTIES OF THE MEDIA OF THE ELEMENTS INVOLVED THEREIN; NON-LINEAR OPTICS; FREQUENCY-CHANGING OF LIGHT; OPTICAL LOGIC ELEMENTS; OPTICAL ANALOGUE/DIGITAL CONVERTERS
    • G02F1/00Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics
    • G02F1/35Non-linear optics
    • G02F1/3501Constructional details or arrangements of non-linear optical devices, e.g. shape of non-linear crystals
    • G02F1/3507Arrangements comprising two or more nonlinear optical devices
    • GPHYSICS
    • G02OPTICS
    • G02FOPTICAL DEVICES OR ARRANGEMENTS FOR THE CONTROL OF LIGHT BY MODIFICATION OF THE OPTICAL PROPERTIES OF THE MEDIA OF THE ELEMENTS INVOLVED THEREIN; NON-LINEAR OPTICS; FREQUENCY-CHANGING OF LIGHT; OPTICAL LOGIC ELEMENTS; OPTICAL ANALOGUE/DIGITAL CONVERTERS
    • G02F1/00Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics
    • G02F1/35Non-linear optics
    • G02F1/353Frequency conversion, i.e. wherein a light beam is generated with frequency components different from those of the incident light beams
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/706843Metrology apparatus
    • G03F7/706849Irradiation branch, e.g. optical system details, illumination mode or polarisation control
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05GX-RAY TECHNIQUE
    • H05G2/00Apparatus or processes specially adapted for producing X-rays, not involving X-ray tubes, e.g. involving generation of a plasma
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05GX-RAY TECHNIQUE
    • H05G2/00Apparatus or processes specially adapted for producing X-rays, not involving X-ray tubes, e.g. involving generation of a plasma
    • H05G2/001X-ray radiation generated from plasma
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B2210/00Aspects not specifically covered by any group under G01B, e.g. of wheel alignment, caliper-like sensors
    • G01B2210/56Measuring geometric parameters of semiconductor structures, e.g. profile, critical dimensions or trench depth
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N2223/00Investigating materials by wave or particle radiation
    • G01N2223/60Specific applications or type of materials
    • G01N2223/611Specific applications or type of materials patterned objects; electronic devices
    • G01N2223/6116Specific applications or type of materials patterned objects; electronic devices semiconductor wafer
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N2223/00Investigating materials by wave or particle radiation
    • G01N2223/60Specific applications or type of materials
    • G01N2223/646Specific applications or type of materials flaws, defects

Landscapes

  • Physics & Mathematics (AREA)
  • Nonlinear Science (AREA)
  • General Physics & Mathematics (AREA)
  • Optics & Photonics (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Engineering & Computer Science (AREA)
  • Health & Medical Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Biochemistry (AREA)
  • General Health & Medical Sciences (AREA)
  • Immunology (AREA)
  • Pathology (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

리소그래피 프로세스에 의해 기판 상에 형성된 타겟의 측정을 위한 계측 장치와 관련 방법이 개시된다. 계측 장치는, 제1 방사선을 제공하도록 작동가능한 방사선 소스; 구성된 고체 고차 고조파 생성 매질의 출력 표면으로부터 고차 고조파 제2 방사선을 생성하기 위해 상기 제1 방사선을 받아들이고 제1 방사선에 의해 여기되도록 구성되는, 구성된 고체 고차 고조파 생성 매질; 및 적어도 일부가 상기 타겟에 의해 산란된 상기 제2 방사선을 검출하도록 작동가능한 검출 구성을 포함한다. 구성된 고체 고차 고조파 생성 매질은 상기 제2 방사선의 빔을 성형하고 및/또는 상기 제1 및 제2 방사선을 분리하도록 구성된다.

Description

고차 고조파 생성에 기반한 계측 장치 및 관련 방법
관련 출원에 대한 상호 참조
본 출원은 2020년 11월 30일자로 출원된 EP 출원 제20210697.7호 및 2021년 3월 29일자로 출원된 EP 출원 제21165644.2호에 대해 우선권을 주장하며, 이러한 문헌의 내용은 원용에 의해 전체적으로 본 명세서에 포함된다.
기술분야
본 발명은, 예를 들어 리소그래피 기법에 의한 디바이스의 제조에 이용 가능한 계측을 위한 방법 및 장치에 관한 것이다.
리소그래피 장치는 기판 상에 원하는 패턴을 적용하도록 구성된 기기이다. 리소그래피 장치는, 예를 들어 집적 회로(IC)의 제조에 사용될 수 있다. 리소그래피 장치는, 예를 들어, 패터닝 디바이스(예를 들어, 마스크)에 있는 패턴(또는 "설계 레이아웃" 또는 "설계"로도 지칭됨)을 기판(예를 들어, 웨이퍼) 상에 제공된 방사선-감응 재료(레지스트)의 층 상에 투영할 수 있다.
기판 상에 패턴을 투영하기 위해 리소그래피 장치는 전자기 방사선을 사용할 수 있다. 이러한 방사선의 파장은 기판 상에 형성될 수 있는 피처의 최소 크기를 결정한다. 현재 사용되는 전형적인 파장은 365nm(i-line), 248nm, 193nm 및 13.5nm 이다. 극자외(EUV) 방사선을 사용하는 리소그래피 장치는, 예컨대 파장 193 nm의 방사선을 사용하는 리소그래피 장치보다 기판 상에 더 작은 피처를 형성하기 위해 4-20 nm 범위 내의 파장, 예를 들어 6.7 nm 또는 13.5 nm 의 파장을 사용할 수 있다.
리소그래피 장치의 전통적인 분해능 한계보다 작은 치수를 갖는 피처를 처리하기 위해 로우-k1 리소그래피가 사용될 수 있다. 이러한 프로세스에서, 분해능 식은 CD = k1 × λ/NA로 표현될 수 있으며, 여기서 λ는 채용된 방사선의 파장이고, NA는 리소그래피 장치에서의 투영 광학계의 개구수이고, CD는 "임계 치수"(일반적으로 인쇄되는 최소 피처 크기이지만, 이 경우 1/2 피치)이고, k1은 실험상 분해능 인자이다. 일반적으로, k1이 작을수록, 특별한 전기적 기능 및 성능을 달성하기 위해 회로 설계자가 계획한 형상 및 치수와 유사한 기판 상의 패턴을 재현하는 것이 더 어려워진다. 이러한 어려움을 극복하기 위해, 정교한 미세 조정 단계가 리소그래피 투영 장치 및/또는 설계 레이아웃에 적용될 수 있다. 예를 들어 NA의 최적화, 커스터마이즈 조명 스킴, 위상 시프팅 패터닝 디바이스의 사용, 설계 레이아웃에서의 광학 근접 보정(OPC, 종종 "광학 및 프로세스 보정"이라고도 함)과 같은 설계 레이아웃의 다양한 최적화, 또는 "분해능 향상 기법"(RET)으로 일반적으로 규정되는 기타 다른 방법이 여기에 포함되지만 이에 제한되는 것은 아니다. 대안적으로, 리소그래피 장치의 안정성을 제어하기 위한 엄격한 제어 루프가 낮은 k1에서 패턴의 재현을 개선하기 위해 사용될 수 있다.
리소그래피 프로세스에서는, 예를 들어 프로세스 제어 및 검증을 위해 생성된 구조체를 자주 측정하는 것이 바람직하다. 임계 치수(CD)를 측정하는 데 종종 사용되는 스캐닝 전자 현미경, 및 오버레이(디바이스 내의 2개의 층들 사이의 정렬 정확도)를 측정하기 위한 전문화된 툴을 포함하여 이러한 측정을 수행하기 위한 다양한 툴이 알려져 있다. 최근, 리소그래피 분야에서 사용하기 위한 다양한 형태의 스캐터로미터가 개발되어 왔다.
알려진 스캐터로미터의 예는 종종 전용화된 계측 타겟의 제공에 의존한다. 예를 들어, 방법은 측정 빔이 격자보다 작은 스폿을 생성할 만큼(즉, 격자가 언더필됨) 충분히 큰 단순한 격자 형태의 타겟을 요구할 수 있다. 소위 재구성 방법에서, 격자의 속성은 타겟 구조체의 수학적 모델과 산란된 방사선의 상호 작용을 시뮬레이션하여 계산할 수 있다. 모델의 파라미터는 시뮬레이션된 상호 작용이 실제 타겟으로부터 관측된 것과 유사한 회절 패턴을 생성할 때까지 조정된다.
재구성에 의한 피처 형상의 측정에 추가하여, 공개된 특허 출원 US2006066855A1에 기술된 바와 같이, 이러한 장치를 사용하여 회절 기반 오버레이가 측정될 수 있다. 회절 차수의 암시야 이미징을 이용하는 회절 기반 오버레이 계측법은 보다 작은 타겟에 대한 오버레이 측정을 가능하게 한다. 이러한 타겟은 조명 스폿보다 작을 수 있고 웨이퍼 상의 제품 구조체에 의해 둘러싸일 수 있다. 암시야 이미징 계측의 예는 예를 들어 US2011102753A1 및 US20120044470A와 같은 다수의 공개된 특허 출원에서 찾을 수 있다. 복합 격자 타겟을 사용하여 하나의 이미지에서 여러 격자를 측정할 수 있다. 알려진 스캐터로미터는 가시광선 또는 근적외선 파장 범위의 광을 사용하는 경향이 있는데, 이는 격자의 피치가 실제로 관심 있는 속성을 가진 실제 제품 구조체보다 훨씬 더 성길 것(coarser)을 요구한다. 그러한 제품 피처는 DUV(심자외선), EUV(극자외선) 또는 훨씬 더 짧은 파장을 갖는 X-선 방사선을 사용하여 규정될 수 있다. 유감스럽게도, 그러한 파장은 일반적으로 계측에 이용가능하지 않거나 사용가능하지 않다.
반면에, 최신의 제품 구조체의 치수는 너무 작아서 광학적인 계측 기술로는 이미징될 수가 없다. 작은 피처에는 예를 들어 다중 패터닝 프로세스 및/또는 피치-증대(pitch-multiplication)에 의해 형성된 피처가 포함된다. 따라서, 대용량 계측에 사용되는 타겟은, 오버레이 오차 또는 임계 치수가 관심 속성인 제품보다 훨씬 더 큰 피처를 사용하는 경우가 많다. 측정 결과는 실제 제품 구조체의 치수와 간접적으로만 관련되며, 계측 타겟들이 리소그래피 장치 내의 광학적 투영 및/또는 제조 프로세스의 다른 단계들 내의 상이한 처리 하에서 동일한 왜곡을 겪지 않기 때문에 부정확할 수 있다. 주사 전자 현미경(SEM)은 이러한 최신 제품 구조체를 직접 분해(resolve)할 수 있지만 SEM은 광학적 측정보다 훨씬 더 많은 시간이 소요된다. 더욱이, 전자는 두꺼운 프로세스 층을 통과할 수 없기 때문에, 계측 응용 분야에 덜 적합하다. 콘택 패드를 사용하여 전기적 속성을 측정하는 것과 같은 다른 기법도 알려져 있지만, 실제 제품 구조체에 대한 간접적인 증거만 제공할 뿐이다.
계측 중에 사용되는 방사선의 파장을 줄임으로써(즉, "연질 X-선" 파장 스펙트럼 쪽으로 이동함), 더 작은 구조체를 분해할 수 있고, 구조체의 구조적 변동에 대한 감도를 높일 수 있으며, 및/또는 제품 구조체 내에 더 깊이 침투할 수 있다. 적절하게 높은 주파수의 방사선(예를 들어, 연질 X-선 및/또는 EUV 방사선)을 생성하는 이러한 방법 중 하나는, 펌프 방사선(예컨대, 적외선 방사선)을 사용하여 생성용 매질을 여기시킴으로써 방출된 방사선을 생성하는 것이며, 선택적으로 고주파수 방사선을 포함하는 고차 고조파 생성일 수 있다.
그러나 일단 생성되면, 결과적인 SXR/EUV 방사선을 타겟에 전달하는 것은 이러한 방사선이 쉽게 흡수되기 때문에 어려움이 있다.
본 발명의 제1 양태에서, 리소그래피 프로세스에 의해 기판 상에 형성된 타겟의 측정을 위한 계측 장치가 제공되는데, 상기 계측 장치는: 제1 방사선을 제공하도록 작동가능한 방사선 소스; 구성된 고체 고차 고조파 생성 매질의 출력 표면으로부터 고차 고조파 제2 방사선을 생성하기 위해 상기 제1 방사선을 받아들이고 제1 방사선에 의해 여기되도록 구성되는, 구성된 고체 고차 고조파 생성 매질; 및 적어도 일부가 상기 타겟에 의해 산란된 상기 제2 방사선을 검출하도록 작동가능한 검출 구성을 포함하고, 상기 구성된 고체 고차 고조파 생성 매질은 상기 제2 방사선의 빔을 성형하고 및/또는 상기 제1 방사선과 상기 제2 방사선을 분리하도록 구성된다.
본 발명의 제2 양태에서, 구성된 고체 고차 고조파 생성 매질이 제공되며, 입력 표면에서 받아들인 제1 방사선 상에 환형 프로파일을 부여하도록 작동가능한 액시콘 형상; 및 상기 제1 방사선에 의한 여기로부터 발생하는 상기 출력 표면에서 생성된 고차 고조파 제2 방사선이 상기 구성된 고체 고차 고조파 생성 매질의 광축에 포커싱되고 및/또는 광축을 따라 전파되도록 출력 표면 상의 동심 원형 홈을 포함한다.
본 발명의 제3 양태에서, 리소그래피 프로세스에 의해 기판 상에 형성된 타겟을 측정하기 위한 계측 방법이 제공되며, 방법은: 구성된 고체 고차 고조파 생성 매질을 제1 방사선으로 여기시켜, 구성된 고체 고차 고조파 생성 매질의 출력 표면으로부터 고차 고조파 제2 방사선을 생성하고 상기 제2 방사선의 빔을 성형하고/하거나 상기 제1 방사선과 상기 제2 방사선을 분리하는 단계; 및 적어도 일부가 상기 타겟에 의해 산란된 상기 제2 방사선을 검출하는 단계를 포함한다.
본 발명의 제4 양태에서, 구성된 고체 고차 고조파 생성 매질이 제공되며, 제1 방사선을 받아들이도록 작동가능한 입력 표면; 및 상기 제1 방사선에 의한 여기로부터 발생하는 고차 고조파 제2 방사선을 방출하도록 작동가능한 출력 표면을 포함한다. 구성된 고체 고차 고조파 생성 매질은 제2 방사선의 빔을 성형하고 및/또는 제1 및 제2 방사선을 분리하도록 구성된다.
이제 실시예에 관해, 첨부된 개략적인 도면을 참조로 하여 단지 예시의 목적으로 설명할 것이다.
도 1은 리소그래피 장치의 개략도이다.
도 2는 리소그래피 셀의 개략도이다.
도 3은 반도체 제조를 최적화하기 위한 세 가지 핵심 기술 간의 협력을 나타내는 홀리스틱 리소그래피의 개략적인 도면을 나타낸다.
도 4은 산란계측 장치를 개략적으로 나타낸다.
도 5는 EUV 및/또는 SXR 방사선이 사용되는 계측 장치의 개략도를 나타낸다.
도 6은 본 발명의 실시예에 따른 계측 장치의 개략적인 도면이다.
도 7은 본 발명의 실시예에 따른 계측 디바이스에서 사용가능한 고차 고조파 생성을 위한 제1 조명 소스의 개략적인 도면이다.
도 8(a) 및 (b)는 각각 제1 구성 및 제2 구성으로 본 발명의 실시예에서 사용가능한 구성된 고체 고차 고조파 생성 매질을 나타낸다.
도 9(a) 및 (b)는 출력 방사선을 포커싱하는 고체 고차 고조파 생성 매질의 두 가지 상이한 실시예를 개략적으로 나타낸다.
도 10은 본 발명의 일 실시예에 따른 액시콘 고체 고차 고조파 생성 매질을 개략적으로 나타낸다.
도 11은 본 발명의 일 실시예에서 플랫-탑(flat-top) 출력 빔을 생성하기 위한 고체 고차 고조파 생성 매질을 개략적으로 나타낸다.
도 12은 본 발명의 실시예에 따른 계측 디바이스에서 사용가능한 고차 고조파 생성을 위한 제2 조명 소스의 개략적인 도면이다.
도 13은 고체 HHG 매질 내의 경로 길이를 제어하는 방법을 예시하는 2개의 틸트 구성으로 도 12의 배열의 고체 HHG 매질을 나타낸다.
도 14는 도 12의 기본적인 배열 내에서 사용가능한 반사성 고체 HHG 매질을 나타낸다.
본 개시내용에서, 용어 "방사선" 및 "빔"은 자외선(예를 들어, 365, 248, 193, 157 또는 126 nm의 파장을 갖는 방사선), EUV(예를 들어 약 5-125 nm 또는 5 내지 100 nm 범위 내의 파장을 갖는 극자외선), X-선 방사선, 전자 빔 방사선 및 기타 입자 방사선을 포함하는 모든 타입의 전자기 방사선 및 입자 방사선을 포괄하기 위해 사용된다.
본 명세서에 사용되는 용어 "레티클", "마스크" 또는 "패터닝 디바이스"는, 기판의 타겟부에 생성될 패턴에 대응하여, 입사 방사선 빔에 패터닝된 단면을 부여하는 데 사용될 수 있는 일반적인 패터닝 디바이스를 지칭하는 것으로 넓게 해석될 수 있다. "광 밸브"라는 용어 또한 이와 관련하여 사용될 수 있다. 전통적인 마스크(투과형 또는 반사형, 바이너리, 위상 시프팅, 하이브리드 등) 이외에 다른 패터닝 디바이스의 예는, 프로그래밍 가능한 미러 어레이 및 프로그래밍 가능한 LCD 어레이를 포함한다.
도 1은 리소그래피 장치(LA)를 개략적으로 나타낸다. 리소그래피 장치(LA)는 방사선 빔(B)(예를 들어, UV 방사선, DUV 방사선, EUV 방사선 또는 X-선 방사선)을 컨디셔닝하도록 구성된 조명 시스템(조명기로도 지칭됨)(IL), 패터닝 디바이스(예를 들어, 마스크)(MA)를 지지하도록 구성되고 소정 파라미터들에 따라 패터닝 디바이스(MA)를 정확히 위치설정하도록 구성된 제1 위치 설정기(PM)에 연결되는 마스크 지지체(예를 들어, 마스크 테이블)(T), 기판(예를 들어, 레지스트 코팅된 웨이퍼)(W)을 유지하도록 구성되고 소정 파라미터들에 따라 기판 지지체를 정확히 위치설정하도록 구성된 제2 위치 설정기(PW)에 연결되는 기판 지지체(예를 들어, 웨이퍼 테이블)(WT); 및 기판(W)의 타겟부(C)(예를 들어, 하나 이상의 다이를 포함함) 상에 패터닝 디바이스(MA)에 의해 방사선 빔(B)에 부여된 패턴을 투영하도록 구성된 투영 시스템(예를 들어, 굴절형 투영 렌즈 시스템)(PS)을 포함한다.
동작 시에 조명 시스템(IL)은, 예컨대 빔 전달 시스템(BD)을 통해 방사선 소스(SO)로부터 방사선 빔을 수광한다. 조명 시스템(IL)은 방사선을 지향, 성형 및/또는 제어하기 위해 굴절형, 반사형, 회절형, 자기형, 전자기형, 정전형 및/또는 기타 다른 유형의 광학 컴포넌트 또는 이들의 임의의 조합과 같은 다양한 유형의 광학 컴포넌트를 포함할 수 있다. 조명기(IL)는 패터닝 디바이스(MA)의 평면에서 방사선 빔(B)의 단면에 원하는 공간 및 각도 세기 분포를 갖도록 방사선 빔(B)을 컨디셔닝하는 데 사용될 수 있다.
본 명세서에서 사용된 "투영 시스템"(PS)이라는 용어는, 사용되는 노광 방사선에 적합하고 및/또는 액침액의 사용 또는 진공의 사용과 같은 다른 요인들에 대해 적합한 것으로서, 굴절형, 반사형, 회절형, 반사굴절형, 애너모픽, 자기형, 전자기형 및/또는 정전형 광학 시스템 또는 이들의 임의의 조합을 포함하는 다양한 유형의 투영 시스템을 포괄하는 것으로 폭넓게 해석되어야 한다. 본 명세서에서 "투영 렌즈"라는 용어의 어떠한 사용도 "투영 시스템"(PS)이라는 좀 더 일반적인 용어와 동의어로 간주될 수 있다.
리소그래피 장치(LA)는 투영 시스템(PS)과 기판(W) 사이의 공간을 채우도록, 비교적 높은 굴절률을 갖는 액체, 예를 들어 물로 기판의 적어도 일부가 덮일 수 있는 유형일 수도 있고, 이는 또한 액침 리소그래피라 불린다. 액침 기술에 대한 추가 정보는 US6952253에 제공되어 있으며, 이는 본 명세서에 원용에 의해 전체로서 통합된다.
리소그래피 장치(LA)는 또한 2개 이상의 기판 지지체(WT)(또한 "이중 스테이지"라고도 함)를 갖는 유형일 수도 있다. 이러한 "다중 스테이지" 기기에서는, 기판 지지체(WT)가 병렬적으로 사용될 수 있고, 및/또는 기판(W)의 후속 노광을 준비하는 단계가 기판 지지체(WT) 중 하나에 위치된 기판(W) 상에서 수행되면서, 나머지 기판 지지체(WT) 상의 또 다른 기판(W)은 이러한 다른 기판(W) 상에 패턴을 노광하기 위해 이용 중일 수 있다.
기판 지지체(WT) 이외에, 리소그래피 장치(LA)는 측정 스테이지를 포함할 수 있다. 측정 스테이지는 센서 및/또는 세정 디바이스를 유지하도록 배열된다. 센서는 투영 시스템(PS)의 속성 또는 방사선 빔(B)의 속성을 측정하도록 배열될 수 있다. 측정 스테이지는 다수의 센서를 보유할 수 있다. 세정 디바이스는 리소그래피 장치의 일부, 예를 들어 투영 시스템(PS)의 일부 또는 액침액을 제공하는 시스템의 일부를 세정하도록 배열될 수 있다. 기판 지지체(WT)가 투영 시스템(PS)으로부터 떨어져 있을 때 측정 스테이지는 투영 시스템(PS) 아래로 이동할 수 있다.
동작 시에, 방사선 빔(B)은 마스크 지지체(T) 상에 유지되는 패터닝 디바이스, 예컨대 마스크(MA) 상에 입사되고, 패터닝 디바이스(MA) 상에 존재하는 패턴(설계 레이아웃)에 의해 패터닝된다. 마스크(MA)를 거친 후에, 방사선 빔(B)은 투영 시스템(PS)을 통과하게 되며, 투영 시스템(PS)은 기판(W)의 타겟부(C) 상으로 빔을 포커싱한다. 제2 위치설정기(PW) 및 위치 측정 시스템(IF)의 도움으로, 기판 지지체(WT)는 예를 들어, 방사선 빔(B)의 경로 내에서 포커싱되고 및 정렬된 위치에 다양한 타겟부(C)를 위치설정하기 위해 정확하게 이동될 수 있다. 마찬가지로, 제1 위치 설정기(PM) 및 가능하게는 또 다른 위치 센서(도 1에는 명확히 도시되지는 않음)가 방사선 빔(B)의 경로에 대해 패터닝 디바이스(MA)를 정확히 위치설정하기 위해 사용될 수 있다. 패터닝 디바이스(MA) 및 기판(W)은 마스크 정렬 마크(M1, M2) 및 기판 정렬 마크(P1, P2)를 사용하여 정렬될 수 있다. 도시된 바와 같이 기판 정렬 마크(P1, P2)는 전용화된 타겟부를 점유하지만, 이들은 타겟부 사이의 공간에 위치할 수도 있다. 기판 정렬 마크(P1, P2)는 이들이 타겟부(C) 사이에 위치될 때 스크라이브-레인 정렬 마크로 알려져 있다.
도 2에 도시된 바와 같이, 리소그래피 장치(LA)는 리소그래피 셀(LC)의 일부를 형성할 수 있는데, 이는 때때로 리소셀 또는 (리소) 클러스터라고도 하며, 종종 기판(W)에 노광 전 및 노광 후 프로세스를 수행하는 장치를 포함한다. 통상적으로 이들은 레지스트 층을 증착하기 위한 스핀 코터(SC), 노광된 레지스트를 현상하기 위한 현상기(DE), 냉각 플레이트(CH) 및 베이크 플레이트(BK)를 포함하며, 이들은 예를 들어 기판(W)의 온도를 컨디셔닝하기 위한 것이며, 예컨대 레지스트 층에서 용매를 컨디셔닝하기 위한 것이다. 기판 핸들러 또는 로봇(RO)이 입력/출력 포트(I/O1, I/O2)로부터 기판(W)을 픽업하여, 이를 상이한 프로세스 장치 간에 이동시키며, 리소그래피 장치(LA)의 로딩 베이(LB)에 기판(W)을 전달한다. 통칭하여 트랙으로도 지칭되는 리소셀 내의 이들 디바이스는 감독 제어 시스템(SCS)에 의해 제어될 수 있는 트랙 제어 유닛(TCU)의 제어 하에 있을 수 있고, 감독 제어 시스템은 또한 예컨대 리소그래피 제어 유닛(LACU)을 통해 리소그래피 장치를 제어할 수 있다.
리소그래피 프로세스에서는, 예를 들어 프로세스 제어 및 검증을 위해 생성된 구조체를 자주 측정하는 것이 바람직하다. 이러한 측정을 수행하는 툴은 계측 툴(MT)이라 칭할 수 있다. 주사 전자 현미경 또는 다양한 형태의 스캐터로미터 계측 툴(MT)을 포함하여, 이러한 측정을 수행하기 위한 다양한 유형의 계측 툴(MT)이 알려져 있다. 스캐터로미터는, 이러한 스캐터로미터의 대물계의 퓨필 또는 퓨필과 공액인 평면에 센서를 구비함으로써(이 경우 측정은 일반적으로 퓨필 기반 측정이라 함) 또는 이미지 평면 또는 이러한 이미지 평면에 공액인 평면에 센서를 구비함으로써(이 경우 측정은 일반적으로 이미지 또는 필드 기반 측정이라 함) 리소그래피 프로세스의 파라미터의 측정을 가능하게 하는 다목적 기구이다. 이러한 스캐터로미터 및 관련 측정 기법은 특허 출원 US20100328655, US2011102753A1, US20120044470A, US20110249244, US20110026032 또는 EP1,628,164A에 추가로 설명되어 있으며, 이러한 문헌의 내용은 원용에 의해 본 명세서에 포함된다. 전술한 스캐터로미터는 경질 X-선, 연질 X-선, 극자외선 및 가시광선에서부터 근적외선에 이르는 파장 범위의 광을 사용하여 격자를 측정할 수 있다. 방사선이 경질 X-선 또는 연질 X-선(선택적으로 0.01 내지 10 nm 범위의 파장을 가짐)인 경우, 전술한 스캐터로미터는 선택적으로 작은 각도 X-선 산란 계측 툴일 수 있다.
리소그래피 장치(LA)에 의해 노광되는 기판(W)이 정확하고 일관되게 노광되도록, 기판을 검사하여 후속하는 층들 간의 오버레이 오차, 라인 두께, 임계 치수(CD), 구조체의 형상 등의 패터닝된 구조체의 속성을 측정하는 것이 바람직하다. 이를 위해, 검사 툴 및/또는 계측 툴(미도시)이 리소 셀(LC)에 포함될 수 있다. 오차가 검출되는 경우, 특히 동일한 배치(batch) 또는 로트의 다른 기판(W)이 노광되거나 처리되기 전에 검사가 수행된다면, 예를 들어 후속 기판의 노광에 대해 또는 기판(W) 상에서 수행될 다른 처리 단계에 대해 조정이 이루어질 수 있다.
검사 장치(계측 장치라고도 칭할 수도 있음)가 기판(W)의 속성을 결정하는 데 사용되며, 특히 동일한 기판(W)의 상이한 층들과 연관되는 속성이 층마다 어떻게 달라지는지 또는 상이한 기판들(W)의 속성이 어떻게 달라지는지를 결정하는 데 사용된다. 검사 장치는 대안적으로 기판(W) 상의 결함을 식별하도록 구성될 수 있고, 예를 들어 리소 셀(LC)의 일부일 수 있거나, 리소그래피 장치(LA)에 통합될 수 있거나, 심지어 독립형 디바이스일 수도 있다. 검사 장치는 잠상(노광 후 레지스트 층 내의 이미지) 또는 반잠상 이미지(노광후 베이크 단계(PEB) 이후 레지스트 층 내의 이미지), 또는 현상된 레지스트 이미지(레지스트의 노광된 부분 또는 노광되지 않은 부분이 제거됨), 또는 심지어 에칭된 이미지(에칭 등의 패턴 전사 단계 이후)에 대해 속성을 측정할 수 있다.
제1 실시예에서, 스캐터로미터(MT)는 각도 분해 스캐터로미터이다. 이러한 스캐터로미터에서 격자의 속성을 재구성하거나 계산하기 위해 측정된 신호에 재구성 방법이 적용될 수 있다. 이러한 재구성은, 예를 들어 산란된 방사선과 타겟 구조체의 수학적 모델과의 상호 작용을 시뮬레이션하고 그 시뮬레이션 결과와 측정의 결과를 비교한 결과일 수 있다. 수학적 모델의 파라미터는 시뮬레이션된 상호 작용이 실제 타겟으로부터 관측된 것과 유사한 회절 패턴을 생성할 때까지 조정된다.
제2 실시예에서, 스캐터로미터(MT)는 분광 스캐터로미터(MT)이다. 이러한 분광 스캐터로미터(MT)에서, 방사선 소스에 의해 방출된 방사선은 타겟으로 지향되고 타겟으로부터 반사, 투과 또는 산란된 방사선은 분광계 검출기로 지향되어, 정반사 방사선의 스펙트럼(즉, 파장의 함수로서의 세기 측정치)을 측정하게 된다. 이러한 데이터로부터, 검출된 스펙트럼을 생성하는 타겟의 구조 또는 프로파일이 예를 들어 엄격 결합파 분석 및 비선형 회귀에 의해 또는 시뮬레이션된 스펙트럼의 라이브러리와의 비교에 의해 재구성될 수 있다.
제3 실시예에서, 스캐터로미터(MT)는 타원계측 스캐터로미터이다. 타원계측 스캐터로미터는 각각의 편광 상태에 대해 산란 또는 투과된 방사선을 측정함으로써 리소그래피 프로세스의 파라미터를 결정할 수 있게 한다. 이러한 계측 장치는 예를 들어 계측 장치의 조명 섹션에서 적절한 편광 필터를 사용함으로써 편광된 광(예를 들어, 선형, 원형 또는 타원형 편광된 광)을 방출한다. 계측 장치에 적합한 소스가 또한 편광된 방사선을 제공할 수 있다. 기존의 타원계측 스캐터로미터의 다양한 실시예가 미국 특허 출원 11/451,599, 11/708,678, 12/256,780, 12/486,449, 12/920,968, 12/922,587, 13/000,229, 13/033,135, 13/533,110 및 13/891,410 등에 개시되어 있으며, 이들 문헌은 원용에 의해 전체로서 본원에 포함된다.
스캐터로미터(MT)의 일 실시예에서, 스캐터로미터(MT)는 반사 스펙트럼 및/또는 검출 구성에서 비대칭을 측정함으로써 2개의 오정렬된 격자 또는 주기적 구조체의 오버레이를 측정하도록 적응되며, 비대칭은 오버레이의 정도와 관련된다. 2개의 (중첩될 수 있는) 격자 구조체가 2개의 상이한 층(반드시 연속된 층일 필요는 없음)에 적용될 수 있고, 웨이퍼 상의 실질적으로 동일한 위치에 형성될 수 있다. 스캐터로미터는 예를 들어 공동 특허 출원 EP1,628,164A에 기술된 바와 같은 대칭적인 검출 구성을 가질 수 있고, 따라서 임의의 비대칭이 명확하게 구별될 수 있다. 이는 격자의 오정렬을 측정하는 간단한 방법을 제공하게 된다. 주기적 구조체의 비대칭을 통해 타겟이 측정될 때 주기적 구조체를 함유하는 2개의 층 사이의 오버레이 오차를 측정하기 위한 추가의 예는 PCT 특허 출원 공보 WO 2011/012624 또는 미국 특허 출원 US 20160161863에서 찾을 수 있고, 이러한 문헌은 원용에 의해 전체 내용이 본원에 통합된다.
관심 있는 다른 파라미터는 초점과 선량일 수 있다. 초점 및 선량은 미국 특허 출원 US2011-0249244에 기재된 바와 같이 산란계측에 의해(또는 대안적으로 주사 전자 현미경에 의해) 동시에 결정될 수도 있으며, 이러한 문헌의 내용은 원용에 의해 전체로서 본원에 통합된다. 초점 에너지 매트릭스(FEM - 초점 노출 매트릭스라고도 함)에서 각각의 포인트에 대한 임계 치수 및 측벽 각도 측정치의 고유한 조합을 갖는 단일 구조체가 사용될 수도 있다. 임계 치수와 측벽 각도의 이러한 고유한 조합을 사용할 수 있는 경우 초점 및 선량 값은 이러한 측정치로부터 고유하게 결정될 수 있다.
계측 타겟은, 주로 레지스트에, 하지만 예컨대 에칭 프로세스 이후에도, 리소그래피 프로세스에 의해 형성된 복합 격자의 앙상블일 수 있다. 격자 내의 구조체의 피치 및 라인폭은 계측 타겟으로부터 나오는 회절 차수를 캡처할 수 있도록 하는 측정 광학계(특히 광학계의 NA)에 크게 의존할 수 있다. 앞서 언급한 바와 같이, 회절된 신호는 두 개의 층들 사이의 시프트('오버레이'라고도 함)를 결정하는 데 사용되거나 리소그래피 프로세스에 의해 생성된 원래 격자의 적어도 일부를 재구성하는 데 사용될 수 있다. 이러한 재구성은 리소그래피 프로세스의 품질에 대한 안내를 제공하는 데 사용될 수 있으며 리소그래피 프로세스의 적어도 일부를 제어하는 데 사용될 수 있다. 타겟은 더 작은 하위 세그먼트들을 가질 수 있고, 이들은 타겟에서 설계 레이아웃의 기능적 부분의 치수를 모방하도록 구성된다. 이러한 하위 세그먼트화로 인해, 전체 프로세스 파라미터 측정이 설계 레이아웃의 기능적 부분에 더 유사하게 되도록 타겟은 설계 레이아웃의 기능적 부분과 더 유사하게 거동할 것이다. 타겟은 언더필 모드 또는 오버필 모드로 측정될 수 있다. 언더필 모드에서는 측정 빔이 전체 타겟보다 작은 스폿을 생성한다. 오버필 모드에서는 측정 빔이 전체 타겟보다 큰 스폿을 생성한다. 이러한 오버필 모드에서는, 상이한 타겟을 동시에 측정하여 상이한 프로세싱 파라미터를 동시에 결정할 수도 있다.
특정 타겟을 사용하는 리소그래피 파라미터의 전체적인 측정 품질은 이러한 리소그래피 파라미터를 측정하는 데에 사용되는 측정 레시피에 의해 적어도 부분적으로 결정된다. "기판 측정 레시피"라는 용어는 측정 자체의 하나 이상의 파라미터, 측정된 하나 이상의 패턴의 하나 이상의 파라미터, 또는 둘 모두를 포함할 수 있다. 예를 들어, 기판 측정 레시피에 사용된 측정이 회절 기반 광학 측정인 경우, 측정의 하나 이상의 파라미터는 방사선의 파장, 방사선의 편광, 기판에 대한 방사선의 입사각, 기판 상의 패턴에 대한 방사선의 배향 등을 포함할 수 있다. 측정 레시피를 선택하는 기준 중 하나는 예를 들어, 프로세싱 변동에 대한 측정 파라미터 중 하나의 감도일 수 있다. 추가적인 예가 미국 특허 출원 US2016-0161863 및 공개된 미국 출원 US 2016/0370717A1에 기술되어 있으며, 이들은 원용에 의해 전체로서 본원에 포함된다.
리소그래피 장치(LA)에서의 패터닝 프로세스는 기판(W) 상의 구조체의 치수설정 및 배치의 높은 정확도를 요하는 처리에 있어서 가장 중요한 단계 중 하나일 수 있다. 이러한 높은 정확도를 보장하기 위해 도 3에 개략적으로 도시된 바와 같이 3개의 시스템이 소위 "홀리스틱" 제어 환경으로 조합될 수 있다. 이들 시스템 중 하나는 계측 툴(MT)(제2 시스템) 및 컴퓨터 시스템(CL)(제3 시스템)에 (가상으로) 연결된 리소그래피 장치(LA)이다. 이러한 "홀리스틱" 환경의 핵심은 이들 3개의 시스템 사이의 협력을 최적화하여 전체적인 프로세스 윈도우를 향상시키고 리소그래피 장치(LA)에 의해 수행된 패터닝이 프로세스 윈도우 내에 유지되도록 엄격한 제어 루프를 제공하는 것이다. 프로세스 윈도우는 특정 제조 프로세스가 규정된 결과(예를 들어, 기능 반도체 디바이스)를 생성하게 되는 프로세스 파라미터(예를 들어, 선량, 초점, 오버레이)의 범위를 규정한다 - 아마도 이러한 윈도우 내에서 리소그래피 프로세스 또는 패터닝 프로세스의 프로세스 파라미터가 변화하도록 허용된다.
컴퓨터 시스템(CL)은, 어떤 분해능 향상 기법을 사용할지를 예측하고 어느 마스크 레이아웃 및 리소그래피 장치 설정이 패터닝 프로세스의 가장 큰 전체 프로세스 윈도우를 달성하는지를 결정하도록 컴퓨테이션 리소그래피 시뮬레이션 및 계산을 수행하기 위해 패터닝될 설계 레이아웃(의 일부)을 사용할 수 있다(도 3에서 첫 번째 스케일 SC1에 양방향 화살표로 표시됨). 분해능 향상 기법은 리소그래피 장치(LA)의 패터닝 가능성과 매칭되도록 구성될 수 있다. 컴퓨터 시스템(CL)은 또한, 예컨대 최적이 아닌 처리로 인해 결함이 존재할 수 있는지를 예측하기 위해, (예를 들어, 계측 툴(MET)으로부터의 입력을 사용하여) 프로세스 윈도우 내의 어느 곳에서 리소그래피 장치(LA)가 현재 작동하는 중인지를 검출하기 위해 사용될 수도 있다(예를 들어, 도 3에서 두 번째 스케일 SC2에 "0"을 가리키는 화살표로 도시됨).
계측 툴(MT)은 정확한 시뮬레이션 및 예측을 가능하게 하도록 컴퓨터 시스템(CL)에 입력을 제공할 수 있고, 예를 들면 리소그래피 장치(LA)의 교정 상태에 있어서, 가능한 드리프트를 식별하기 위해 리소그래피 장치(LA)에 피드백을 제공할 수 있다(도 3에서 세 번째 스케일 SC3에 다수의 화살표로 도시됨).
리소그래피 프로세스에서는, 예를 들어 프로세스 제어 및 검증을 위해 생성된 구조체를 자주 측정하는 것이 바람직하다. 주사 전자 현미경 또는 다양한 형태의 계측 장치, 예컨대 스캐터로미터를 포함하여, 이러한 측정을 수행하기 위한 다양한 툴이 알려져 있다. 알려진 스캐터로미터의 예는 종종, 언더필된 타겟(측정 빔이 격자보다 작은 스폿을 생성할 수 있을 정도로 큰 타겟 - 단순한 격자 또는 상이한 층들에서의 중첩되는 격자들의 형태임) 또는 오버필된 타겟(조명 스폿이 타겟을 부분적으로 또는 완전히 내포하게 됨)과 같은 전용화된 계측 타겟의 제공에 의존한다. 또한, 계측 툴, 예를 들어 언더필된 타겟, 예컨대 격자를 조명하는 각도 분해 스캐터로미터의 사용은, 산란 방사선과 타겟 구조체의 수학적 모델과의 상호작용을 시뮬레이션하고 시뮬레이션 결과를 측정 결과와 비교함으로써 격자의 속성이 계산될 수 있는 소위 재구성 방법의 사용을 가능하게 한다. 모델의 파라미터는 시뮬레이션된 상호 작용이 실제 타겟으로부터 관측된 것과 유사한 회절 패턴을 생성할 때까지 조정된다.
스캐터로미터는, 이러한 스캐터로미터의 대물계의 퓨필 또는 퓨필과 공액인 평면에 센서를 구비함으로써(이 경우 측정은 일반적으로 퓨필 기반 측정이라 함) 또는 이미지 평면 또는 이러한 이미지 평면에 공액인 평면에 센서를 구비함으로써(이 경우 측정은 일반적으로 이미지 또는 필드 기반 측정이라 함) 리소그래피 프로세스의 파라미터의 측정을 가능하게 하는 다목적 기구이다. 이러한 스캐터로미터 및 관련 측정 기법은 특허 출원 US20100328655, US2011102753A1, US20120044470A, US20110249244, US20110026032 또는 EP1,628,164A에 추가로 설명되어 있으며, 이러한 문헌의 내용은 원용에 의해 본 명세서에 포함된다. 전술한 스캐터로미터는 경질 x-선, 연질 x-선, 극자외선, 및 가시광선 내지 근적외선 범위의 광을 사용하여 다수의 격자로부터 다수의 타겟을 하나의 이미지로 측정할 수 있다.
스캐터로미터 등의 계측 장치의 일례가 도 4에 도시되어 있다. 이는 기판(W) 상에 방사선(5)을 투영하는 광대역(예컨대, 백색광) 방사선 투영기(2)를 포함할 수 있다. 반사 또는 산란 방사선(10)은 정반사된 방사선의 스펙트럼(6)(즉, 파장 λ의 함수로서의 세기 I의 측정)을 측정하는 분광계 검출기(4)로 전달된다. 이 데이터로부터, 검출된 스펙트럼을 생성하는 구조체 또는 프로파일(8)이 처리 유닛(PU)에 의해 재구성될 수 있으며, 이는 예를 들어, 정밀 결합파 분석 및 비선형 회귀 분석에 의해 또는 도 4의 하단에 표시된 바와 같은 시뮬레이션된 스펙트럼 라이브러리와의 비교를 통해 이루어진다. 일반적으로, 이러한 재구성을 위해, 구조체의 일반적인 형태가 알려져 있으며, 일부 파라미터는 구조체가 만들어진 프로세스에 대한 지식으로부터 가정되고, 산란계측 데이터로부터 결정되어야 하는 구조체의 몇 가지 파라미터만이 남게 된다. 이러한 스캐터로미터는 수직 입사 스캐터로미터 또는 경사 입사 스캐터로미터로 구성될 수 있다.
광학적 계측 방법의 대안으로서, 예를 들어 0.01nm 내지 100nm, 또는 선택적으로 1nm 내지 50nm, 또는 선택적으로 10nm 내지 20nm의 파장 범위의 방사선과 같은 경질 X-선, 연질 X-선 또는 EUV 방사선을 사용하는 것이 고려된 바 있다. 위에 제시된 파장 범위 중 하나에서 기능하는 계측 툴의 일례는 투과형의 작은 각도의 X-선 산란이다(US 2007224518A 에서와 같은 T-SAXS 이며, 이는 전체적으로 본 명세서에 원용에 의해 포함됨). T-SAXS를 사용한 프로파일(CD) 측정은 Lemaillet 등의 "FinFET 구조체의 광학 및 X-선 산란측정 사이의 비교(Intercomparison between optical and X-ray scatterometry measurements of FinFET structures)"(Proc. SPIE, 2013, 8681)에 논의되어 있다. 기판 상의 필름 및 층 스택의 속성을 측정하기 위해 그레이징 입사로의 X-선(GI-XRS) 및 극자외(EUV) 방사선을 사용한 반사측정 기법이 공지되어 있다. 반사측정법의 일반적인 분야 내에서, 측각(goniometric) 및/또는 분광 기법이 적용될 수 있다. 측각에서는, 상이한 입사각들로 반사된 빔의 변화가 측정된다. 반면, 분광 반사측정법은 주어진 각도에서 반사된 파장의 스펙트럼을 측정한다(광대역 방사선 사용). 예를 들어, EUV 리소그래피에 사용하기 위해 레티클(패터닝 디바이스)을 제조하기 전에 마스크 블랭크의 검사를 위해 EUV 반사 측정법이 사용되어 왔다.
도 4에 도시된 스캐터로미터와 같은 계측 장치의 예에 대한 투과형 버전이 도 5에 도시되어 있다. 투과된 방사선(11)은 분광계 검출기(4)로 전달되며, 분광계 검출기는 도 4에서 논의한 바와 같이 스펙트럼(6)을 측정한다. 이러한 스캐터로미터는 수직 입사 스캐터로미터 또는 경사 입사 스캐터로미터로 구성될 수 있다.
그 적용 범위로 인하여 예를 들면 연질 X-선 또는 EUV 도메인에서 파장의 사용이 충분하지 않을 수 있다. 따라서 공개된 특허 출원 US20130304424A1 및 US2014019097A1(Bakeman 등/KLA)은 x-선을 사용하여 이루어진 측정과 120nm 내지 2000nm 범위 파장의 광학 측정을 조합하여 CD 등의 파라미터의 측정치를 얻는 하이브리드 계측 기법에 관해 설명한다. 하나 이상의 공통된 것을 통해 x-선 수학적 모델과 광학적 수학적 모델을 결합함으로써 CD 측정이 획득된다. 인용된 미국 특허 출원의 내용은 원용에 의해 본원에 통합된다.
HHG 기법을 사용하는 SXR 계측(예컨대, 1-100nm 또는 30-100nm 범위의 파장을 사용)은, SXR의 더 큰 침투 깊이로 인하여, 가시광선/NIR 파장이 관련 재료 내에 제한된 침투 깊이를 갖는 문제를 부분적으로 해결한다. 또한 전체-광학(all-optical) 방식이므로, 예를 들어 대량 제조 환경에서의 계측을 위해 측정이 충분히 신속할 수 있다.
그러나 현재 HHG 소스를 다루는 것은 기술적으로 어렵다. 이러한 소스는 일반적으로 가스 매질의 여기로부터 고차 고조파를 생성하는데, 이러한 가스를 다루는 데에 어려움이 있다. 또한 가스 매질로부터 HHG 생성은 매우 높은 피크 출력 구동 레이저를 필요로 한다. 나아가, SXR 반사, 빔 성형 등에 적합한 재료가 없기 때문에 셋업이 유연하지 않아 광자 손실이 발생한다.
또한, 그러한 소스가 SXR과 마찬가지로 유익할 수 있지만 진공 동작에서 요건이 덜 까다로울 수는 있을지라도(적어도 60nm보다 큰 파장에 대해, 즉 대부분의 가스의 이온화 임계값 미만), 현재 이용가능한 계측에 적합한 진공 XUV 또는 EUV 소스가 없다(예컨대, 30-100nm 파장 범위에서).
따라서, 리소그래피 프로세스에 의해 형성된 기판 상의 계측 타겟을 측정하기 위한 계측 장치 및 관련 방법이 개시되는데, 계측 장치는 구성된 고체 매질로부터 SXR 또는 EUV 고차 고조파 측정 방사선을 생성하도록 구성되며, 구성된 고체 매질은 계측 타겟의 이러한 측정에 더 최적이 되도록 방출된 측정 방사선의 특성을 조정하도록 구성된다.
도 6은 본 명세서에서 개시된 개념에 따라 0.1 nm 내지 100 nm의 파장 범위의 방사선이 기판 상의 구조체의 파라미터를 측정하는 데에 사용될 수 있는 계측 장치(302)의 개략도를 도시한다. 도 6에 제시된 계측 장치(302)는 연질 X-선 또는 EUV 도메인에 적합하다.
도 6는 순전한 예시로서 그레이징 입사의 EUV 및/또는 SXR 방사선을 사용하는 분광 스캐터로미터를 포함하는 계측 장치(302)의 개략적인 물리적 배열을 도시한다. 대안적인 형태의 검사 장치가, 더 긴 파장에서 작동하는 종래의 스캐터로미터와 유사하게 수직 또는 수직 근방 입사의 방사선을 사용하는 각도 분해 스캐터로미터의 형태로 제공될 수 있다.
검사 장치(302)는 방사선 소스 또는 이른바 조명 소스(310), 조명 시스템(312), 기판 지지체(316), 검출 시스템(318, 398) 및 계측 처리 유닛(MPU)(320)을 포함한다.
이러한 예에서 조명 소스(310)는 제2 방사선, 예컨대 EUV 또는 연질 x-선 방사선의 생성을 위한 것이고, 이는 고차 고조파 생성(HHG) 기법에 기초할 수 있다. 방사선 소스의 주요 컴포넌트는 펌프 방사선 또는 제1 방사선을 방출하도록 작동 가능한 펌프 방사선 소스(330) 및 구성된 고체 매질(332)이다. 선택적으로 펌프 방사선 소스(330)는 레이저이고, 선택적으로 펌프 방사선 소스(330)는 펄스형 고출력 적외선 또는 가시 레이저이다. 펌프 방사선 소스(330)는 예를 들어 광학 증폭기를 갖는 광섬유 기반 레이저일 수 있는데, 이는 필요에 따라 수 메가 헤르츠에 이르기까지의 펄스 반복률로, 예를 들어 펄스당 1 ns 미만, 1 ps 미만 또는 100 fs 미만으로 지속될 수 있는 적외 방사선 펄스를 생성한다. 적외선의 파장은 예를 들어 1 μm(1 미크론)의 영역일 수 있다. 선택적으로, 레이저 펄스는 제1 펌프 방사선(340)으로서, 구성된 고체 매질(332)에 전달되며, 방사선의 일부는 구성된 고체 매질(332)에 의해 제1 방사선보다 더 높은 주파수로, 방출된 빔(342)으로 변환된다.
방출된 방사선은 다수의 파장을 함유할 수 있다. 방출된 방사선이 단색성인 경우 측정 계산(예컨대, 재구성)이 단순화될 수 있지만, 여러 파장의 방사선을 생성하는 것이 더 용이하다. 방출된 방사선의 방출 발산각은 파장 의존적일 수 있다. 이들은 동일한 장치 내에서 선택 가능한 옵션일 수 있다. 예를 들어, 상이한 파장은 상이한 재료의 구조체를 이미징할 때 상이한 레벨의 콘트라스트를 제공할 것이다. 금속 구조체 또는 실리콘 구조체의 검사를 위해, 예를 들어 (탄소계) 레지스트의 피처를 이미징하거나 그러한 상이한 재료의 오염을 검출하기 위해 사용되는 파장과는 다른 파장을 선택할 수도 있다. 하나 이상의 필터링 디바이스(344)가 제공될 수 있다. 예를 들어, 알루미늄(Al) 또는 지르코늄(Zr)의 박막과 같은 필터는 기본 IR 방사선이 검사 장치 내로 추가로 통과되는 것을 차단하는 역할을 할 수 있다. 생성된 것 중에서 하나 이상의 특정 고조파 파장을 선택하기 위해 격자(도시되지 않음)가 제공될 수 있다. 빔 경로의 일부 또는 전부가 진공 환경 내에 포함될 수 있으며, SXR 방사선은 공기 중에서 진행할 때 흡수된다는 점에 주의해야 한다. 방사선 소스(310) 및 조명 광학계(312)의 다양한 컴포넌트는 동일한 장치 내에서 상이한 계측 '레시피'를 구현하도록 조절 가능할 수 있다. 예를 들어, 상이한 파장 및/또는 편광이 선택 가능하게 될 수 있다.
검사 중인 구조체의 재료에 따라, 상이한 파장이 더 하부의 층 내로 원하는 수준의 침투를 제공할 수 있다. 최소 디바이스 피처를 분해하고 그 중에 있는 결함을 분해하기 위해, 짧은 파장이 선호될 가능성이 높다. 예를 들어, 1-100 nm, 1-50 nm, 1-30 nm, 1-20 nm 범위 또는 선택적으로 1-10 nm 범위 또는 선택적으로 10-20 nm 범위에서 하나 이상의 파장이 선택될 수 있다. 5nm 보다 짧은 파장은 반도체 제조에 있어서 관심 대상인 재료로부터 반사될 때 매우 낮은 임계각을 겪을 수 있다. 따라서 5nm보다 큰 파장을 선택하면 더 큰 입사각에서 더 강한 신호를 제공할 수 있다. 다른 한편으로는, 검사 작업이 특정 재료의 존재를 검출하는 것, 예를 들어 오염을 검출하기 위한 것인 경우, 최대 50 nm의 파장이 유용할 수도 있다.
방사선 소스(310)로부터, 필터링된 빔(342)은 검사 챔버(350)로 진입할 수 있고, 챔버에서 관심 대상인 구조체를 포함하는 기판(W)이 기판 지지체(316)에 의해 측정 위치에 검사를 위해 유지된다. 관심 대상인 구조체는 T로 표시되어 있다. 검사 챔버(350) 내의 분위기는 진공 펌프(352)에 의해 진공에 가깝게 유지될 수 있으므로, 생성된 고차 고조파 방사선은 분위기를 통한 과도한 감쇠 없이 통과할 수 있다. 조명 시스템(312)은 방사선을 포커싱된 빔(356)으로 포커싱하는 기능을 가지며, 위에서 언급한 미국 출원 공개 US2017/0184981A1에 기재된 바와 같이(그 내용이 원용에 의해 전체로서 본원에 통합됨), 예를 들어 2차원으로 만곡된 미러 또는 일련의 1차원으로 만곡된 미러를 포함할 수 있다. 관심 대상인 구조체 상에 투영될 때 직경이 10 μm 미만인 원형 또는 타원형 스폿(S)을 달성하기 위해 포커싱이 수행될 수 있다(예를 들어 10μm x 10μm 타겟을 측정하기 위해; 그러나 본원에서의 개념은 기판 평면 치수들 중 하나 또는 양자 모두에서 20μm, 30μm, 40μm 또는 50μm의 치수를 포함하는 더 큰 타겟을 측정하는 데 사용될 수 있음).
그러나 일부 실시예에서 포커싱은 구성된 고체 매질(332)에 의해 수행될 수 있고 만곡된 거울이 필요하지 않을 수도 있다.
기판 지지체(316)는 예를 들어 X-Y 병진 스테이지 및 회전 스테이지를 포함하며, 이에 의해 기판(W) 중 임의의 부분이 원하는 배향으로 빔의 초점으로 이동될 수 있다. 이와 같이 방사선 스폿(S)은 관심 대상인 구조체 상에 형성된다. 대안적으로 또는 추가적으로, 기판 지지체(316)는 예를 들어 관심 구조체(T) 상에서 포커싱된 빔의 입사각을 제어하기 위해 기판(W)을 특정 각도로 기울일 수 있는 틸팅 스테이지를 포함한다.
선택적으로, 조명 시스템(312)은 필터링된 빔(342)에서 상이한 파장들의 스펙트럼 및/또는 세기를 측정하도록 구성될 수 있는 기준 검출기(314)에 기준 방사선 빔을 제공한다. 기준 검출기(314)는 프로세서(310)에 제공되는 신호(315)를 생성하도록 구성될 수 있고, 필터는 필터링된 빔(342)의 스펙트럼 및/또는 필터링된 빔에서 상이한 파장들의 세기에 대한 정보를 포함할 수 있다.
반사된 방사선(360)은 검출기(318)에 의해 캡처되고 타겟 구조체(T)의 속성을 계산하는 데에 사용하기 위해 스펙트럼이 프로세서(320)에 제공된다. 조명 시스템(312) 및 검출 시스템(318)은 이와 같이 검사 장치를 형성한다. 이러한 검사 장치는 US2016282282A1에 기술된 종류의 연질 X-선 및/또는 EUV 분광 반사계를 포함할 수 있으며, 그 내용이 원용에 의해 본원에 포함된다.
타겟(T)이 특정한 주기를 갖는 경우, 포커싱된 빔(356)의 방사선도 부분적으로 회절될 수 있다. 회절된 방사선(397)은 입사각에 관해 양호하게 규정된 각도로 반사 방사선(360)과는 또 다른 경로를 따른다. 도 6에서, 도시된 회절 방사선(397)은 개략적인 방식으로 도시되어 있으며, 회절 방사선(397)은 도시된 경로와는 다른 많은 경로를 따를 수 있다. 검사 장치(302)는 또한 회절 방사선(397)의 적어도 일부를 검출 및/또는 이미징하는 추가 검출 시스템(398)을 포함할 수 있다. 도 6에는 단일의 추가 검출 시스템(398)이 도시되어 있지만, 검사 장치(302)의 실시예는 또한 복수의 회절 방향에서 회절 방사선(397)을 검출 및/또는 이미징하기 위해 서로 상이한 위치에 배치되는 둘 이상의 추가 검출 시스템(398)을 포함할 수 있다. 즉, 타겟(T)에 충돌하는 포커싱된 방사선 빔의 (더 높은) 회절 차수가 하나 이상의 추가 검출 시스템(398)에 의해 검출 및/또는 이미징된다. 이러한 하나 이상의 검출 시스템(398)은 계측 프로세서(320)에 제공되는 신호(399)를 생성한다. 신호(399)는 회절된 광(397)에 대한 정보를 포함할 수 있고/있거나 회절된 광(397)으로부터 획득된 이미지를 포함할 수 있다.
원하는 제품 구조체와 스폿(S)의 정렬 및 포커싱을 돕기 위해, 검사 장치(302)는 또한 계측 프로세서(320)의 제어 하에 보조 방사선을 사용하는 보조 광학계를 제공할 수 있다. 계측 프로세서(320)는 또한 병진 스테이지, 회전 및/또는 틸팅 스테이지를 동작시키는 위치 제어기(372)와 통신할 수 있다. 프로세서(320)는 센서를 통해 기판의 위치 및 배향에 대해 고도로 정확한 피드백을 수신한다. 센서(374)는 예를 들어, 피코미터 영역에서 정확도를 제공할 수 있는 간섭계를 포함할 수 있다. 검사 장치(302)의 동작에 있어서, 검출 시스템(318)에 의해 캡처된 스펙트럼 데이터(382)는 계측 처리 유닛(320)으로 전달된다.
언급한 바와 같이, 대안적인 형태의 검사 장치는 예를 들어 비대칭의 회절 기반 측정을 수행하기 위해 수직 입사 또는 수직 근방 입사로 연질 x-선 및/또는 EUV 방사선을 사용한다. 두 유형의 검사 장치가 하이브리드 계측 시스템으로 제공될 수 있다. 측정될 성능 파라미터는 오버레이(OVL), 임계 치수(CD), 리소그래피 장치가 타겟 구조체를 인쇄하는 동안의 리소그래피 장치의 초점, 코히어런트 회절 이미징(CDI) 및 분해능상 오버레이(ARO) 계측을 포함할 수 있다. 연질 x-선 및/또는 EUV 방사선은 예를 들어 100 nm 미만의 파장을 가질 수 있고, 예를 들어 5 내지 30 nm 범위, 선택적으로 10 nm 내지 20 nm 범위의 방사선을 사용할 수 있다. 방사선은 본질적으로 협대역 또는 광대역일 수 있다. 이러한 방사선은 특정 파장 대역에서 이산적인 피크들을 가질 수 있거나 보다 연속적인 특성을 가질 수도 있다.
오늘날의 생산 설비에서 사용되는 광학 스캐터로미터와 마찬가지로, 검사 장치(302)는 리소 셀 내에서 처리된 레지스트 재료 내의 구조체를 측정하고(현상 후 검사 또는 ADI) 및/또는 더 경질의 재료로 형성된 후에 구조체를 측정하는 데에 사용될 수 있다(에칭 후 검사 또는 AEI). 예를 들어, 기판은 현상 장치, 에칭 장치, 어닐링 장치 및/또는 다른 장치에 의해 처리된 후에 검사 장치(302)를 사용하여 검사될 수 있다.
위에 언급된 스캐터로미터를 포함하지만 이에 제한되지 않는 계측 툴(MT)은 측정을 수행하기 위해 방사선 소스로부터의 방사선을 사용할 수 있다. 계측 툴(MT)에 의해 사용되는 방사선은 전자기 방사선일 수 있다. 방사선은 광학적 방사선, 예를 들어 전자기 스펙트럼 중의 적외선, 가시광선 및/또는 자외선 부분의 방사선일 수 있다. 계측 툴(MT)은 기판, 예컨대 반도체 기판 상의 리소그래피 노광된 패턴의 속성 및 양상을 측정하거나 검사하기 위해 방사선을 사용할 수 있다. 측정 유형과 품질은 계측 툴(MT)에 의해 사용된 방사선의 여러 속성에 따라 달라질 수 있다. 예를 들어, 전자기 측정의 분해능은 방사선의 파장에 따라 달라질 수 있고, 더 작은 파장은 예컨대 회절 한계로 인해 더 작은 피처를 측정할 수 있다. 작은 치수의 피처를 측정하려면, 예를 들어 EUV 및/또는 연질 X-선(SXR) 방사선과 같은 짧은 파장의 방사선을 사용하여 측정을 수행하는 것이 바람직할 수 있다. 특정 파장 또는 파장 범위로 계측을 수행하기 위해, 계측 툴(MT)은 해당 파장(들)에서 방사선을 제공하는 소스에 대한 액세스를 필요로 한다. 다양한 파장의 방사선을 제공하기 위해 다양한 유형의 소스가 존재한다. 소스에 의해 제공되는 파장에 따라, 다양한 유형의 방사선 생성 방법이 사용될 수 있다. 극자외선(EUV) 방사선(예컨대, 1 nm 내지 100 nm) 및/또는 연질 X-선(SXR) 방사선(예컨대, 0.1 nm 내지 10 nm)의 경우, 소스는 고차 고조파 생성(HHG)를 사용하여 원하는 파장의 방사선을 얻을 수 있다. 이러한 소스의 개발에 있어서 직면한 문제 중 하나는, 방출된 방사선을 생성용 셋업으로부터 외부로 효율적으로 커플링하고 이러한 방출된 방사선을 프로세스를 구동하는 데 사용된 방사선으로부터 어떻게 분리하는지의 문제이다.
도 7은 고차 고조파 생성을 위한 조명 소스일 수 있는 조명 소스(310)의 실시예(600)의 단순화된 개략도를 나타낸다. 도 7와 관련하여 설명한 계측 툴 내의 조명 소스의 특징들 중 하나 이상은 적절하게 조명 소스(600)에 존재할 수도 있다. 조명 소스(600)는 챔버(601)를 포함할 수 있다. 조명 소스(600)는 화살표로 표시된 전파 방향을 갖는 제1 방사선 또는 펌프 방사선(611)을 받아들이도록 구성된다. 여기에 도시된 펌프 방사선(611)은 도 7에 도시된 바와 같이 펌프 방사선 소스(330)로부터의 펌프 방사선(340)의 예이다. 펌프 방사선(611)은, 용융 실리카 또는 이에 상응하는 재료로 만들어질 수 있는 뷰포트일 수 있는 방사선 입력(605)을 통해 챔버(601) 내로 지향될 수 있다. 펌프 방사선(611)은 가우시안 또는 중공, 예를 들어 환형의 횡단면 프로파일을 가질 수 있고, 고체 매질 상에, 선택적으로 챔버(601) 내의 구성된 고체 매질(615) 상에 입사, 선택적으로 포커싱될 수 있다.
조명 소스(600)는 제2 방사선 또는 고차 고조파 방출된 방사선(613)의 생성을 구동하기 위해 구성된 고체 매질(615)에 펌프 방사선(611)을 제공하도록 구성된다. 고체 매질로부터 HHG를 생성하는 경우, 생성된 방사선이 고체 매질을 통과하는 침투 깊이가 매우 짧아, 하류 표면 근처의 얇은 층에서 생성된 방사선만이 매질에서 나오게 될 것이다. 일반적인 침투 깊이는 5~500nm 정도이다.
펌프 방사선(611)과 구성된 고체 매질(615)의 원자들과의 상호작용으로 인해, 구성된 고체 매질(615)은 펌프 방사선(611)의 일부를 도 6에 도시된 방출된 방사선(342)의 일례일 수 있는 방출된 방사선(613)으로 변환할 것이다. 방출된 방사선(613)의 중심축은 입사 펌프 방사선(611)의 중심축과 동일선상에 있을 수 있다. 방출된 방사선(613)은 X-선 또는 EUV 범위의 파장을 가질 수 있고, 파장은 0.01 nm 내지 100 nm, 선택적으로 0.1 nm 내지 100 nm, 선택적으로 1 nm 내지 100 nm, 선택적으로 1 nm 내지 50 nm, 또는 선택적으로 10 nm 내지 20 nm 범위이다. 추가적으로 또는 대안적으로, 방출된 방사선(613)은, 방출된 방사선이 VUV-UV 범위의 파장(예컨대, 100nm 내지 400nm 범위의 파장)을 포함하도록 "고차 고조파 방사선" 중 보다 낮은 고조파로부터(예를 들어, 3차, 5차 고조파 등) 생성될 수 있다.
작동 시에 방출된 방사선(613) 빔은 방사선 출력(607)을 통과할 수 있고, 후속적으로 도 6의 조명 시스템(312)의 일례일 수 있는 조명 시스템(603)에 의해 조작되어 계측 측정을 위해 검사될 웨이퍼로 지향될 수 있다. 방출된 방사선(613)은 웨이퍼 상의 타겟에 안내, 선택적으로 포커싱될 수 있다.
공기(및 실제로는 임의의 가스)가 SXR 또는 EUV 방사선을 많이 흡수하기 때문에, 가스 흐름(615)과 검사 대상 웨이퍼 사이의 체적이 배기(evacuate)되거나 거의 배기될 수 있다. 방출된 방사선(613)의 중심축이 입사 펌프 방사선(611)의 중심축과 동일선상에 있을 수 있기 때문에, 펌프 방사선(611)은 방사선 출력(607)을 통과하여 조명 시스템(603)에 진입하는 것을 방지하도록 차단되어야 할 수 있다. 이는 방사선 출력(607)에 도 6에 도시된 필터 디바이스(344)를 통합함으로써 수행될 수 있는데, 필터 디바이스는 방출된 빔 경로에 배치되고 구동 방사선에 대해 불투명 또는 거의 불투명하지만(예를 들어, 적외선 또는 가시광에 불투명 또는 거의 불투명), 방출된 방사선 빔에 대해 적어도 부분적으로 투명하다. 이러한 필터는 지르코늄을 사용하여 제조될 수 있다. 필터는 펌프 방사선(611)이 중공의, 선택적으로 환형의, 횡단면 프로파일을 가질 때 중공의, 선택적으로 환형의 블록일 수 있다.
선택적으로 펌프 방사선의 고차 고조파 주파수에서 방출된 방사선을 얻기 위한 방법, 장치 및 어셈블리가 본 명세서에서 설명된다. 이러한 프로세스, 선택적으로 비선형 효과를 사용하여 제공된 펌프 방사선의 고조파 주파수에서 방사선을 생성하는 HHG를 통해 생성된 방사선은 기판의 검사 및/또는 측정을 위한 계측 툴(MT)에서 방사선으로서 제공될 수 있다. 기판은 리소그래피 패터닝된 기판일 수 있다. 이러한 프로세스를 통해 획득된 방사선은 또한 리소그래피 장치(LA) 및/또는 리소그래피 셀(LC)에 제공될 수 있다. 펌프 방사선은 짧은 시간 버스트들 동안 높은 피크 세기를 제공할 수 있는 펄스형 방사선일 수 있다.
펌프 방사선(611)은 방출된 방사선의 하나 이상의 파장보다 더 높은 하나 이상의 파장을 갖는 방사선을 포함할 수 있다. 펌프 방사선은 적외 방사선을 포함할 수 있다. 펌프 방사선은 800 nm 내지 1500 nm 범위의 파장을 갖는 방사선을 포함할 수 있다. 펌프 방사선은 900 nm 내지 1300 nm 범위의 파장을 갖는 방사선을 포함할 수 있다. 펌프 방사선은 100 nm 내지 1300 nm 범위의 파장을 갖는 방사선을 포함할 수 있다. 펌프 방사선은 펄스형 방사선일 수 있다. 펄스형 펌프 방사선은 펨토초 범위의 지속시간을 갖는 펄스를 포함할 수 있다.
일부 실시예의 경우, 방출된 방사선, 선택적으로 고차 고조파 방사선은 펌프 방사선 파장(들)의 하나 이상의 고조파를 포함할 수 있다. 방출된 방사선은 전자기 스펙트럼 중 극자외선(EUV), 연질 X-선(SXR), 및/또는 경질 X-선 부분의 파장을 포함할 수 있다. 방출된 방사선(613)은 0.01 nm 내지 100 nm 범위의 방사선을 포함할 수 있다. 방출된 방사선(613)은 0.1 nm 내지 100 nm 범위의 방사선을 포함할 수 있다. 방출된 방사선(613)은 0.1 nm 내지 50 nm 범위의 방사선을 포함할 수 있다. 방출된 방사선(613)은 1 nm 내지 50 nm 범위의 방사선을 포함할 수 있다. 방출된 방사선(613)은 10 nm 내지 20 nm 범위의 방사선을 포함할 수 있다.
위에서 설명된 고차 고조파 방사선과 같은 방사선은 계측 툴(MT)에서 소스 방사선으로서 제공될 수 있다. 계측 툴(MT)은 리소그래피 장치에 의해 노광된 기판 상에서 측정을 수행하기 위해 소스 방사선을 사용할 수 있다. 이러한 측정은 기판 상의 구조체의 하나 이상의 파라미터를 결정하기 위한 것일 수 있다. 예를 들어 위에서 설명한 파장 범위에 포함된 EUV 및/또는 SXR 파장과 같은 더 짧은 파장의 방사선을 사용하면, 더 긴 파장(예컨대, 가시광선, 적외선)을 사용하는 것과 비교하여, 계측 툴에 의해 구조체의 더 작은 피처가 분해될 수 있다. EUV 및/또는 SXR 방사선과 같은 더 짧은 파장의 방사선은 또한 패터닝된 기판과 같은 재료 안으로 더 깊이 침투할 수 있으며, 다시 말해서 기판 상에서의 더 깊은 층의 계측이 가능하다. 이러한 더 깊은 층은 더 긴 파장의 방사선으로는 접근 가능하지 않을 수 있다.
계측 툴(MT)에서, 소스 방사선은 방사선 소스로부터 방출되어 기판 상의 타겟 구조체(또는 다른 구조체) 상으로 지향될 수 있다. 소스 방사선은 EUV 및/또는 SXR 방사선을 포함할 수 있다. 타겟 구조체는 타겟 구조체 상에 입사되는 소스 방사선을 반사 및/또는 회절시킬 수 있다. 계측 툴(MT)은 회절 방사선을 검출하기 위한 하나 이상의 센서를 포함할 수 있다. 예를 들어, 계측 툴(MT)은 양(+1차) 및 음(-1차) 1차 회절 차수를 검출하기 위한 검출기를 포함할 수 있다. 계측 툴(MT)은 또한 정반사 방사선(0차 회절 방사선)을 측정할 수 있다. 예를 들어, 추가 회절 차수(예를 들어, 더 높은 회절 차수)를 측정하기 위해 추가 계측용 센서가 계측 툴(MT)에 존재할 수 있다.
제1 방사선 또는 구동 방사선(예를 들어, 100nm 내지 3000nm, 400nm 내지 3000nm 또는 800nm 내지 3000nm의 파장을 가짐)을 사용하여, 구성된 매질, 예를 들어 공간적으로 구조화된 유전체의 여기에 의해 광대역 SXR 및/또는 EUV 방사선(제2 방사선)을 생성함으로써 광대역 SXR 및/또는 EUV 방사선의 생성 매질과 함께 적어도 하나의 광학 요소를 통합하는 것이 제안된다.
기본 빔의 다수의 고조파로의 주파수 상향 변환은 최근 고체에서 시연된 바 있다. 처음에는 가시광선 및 근자외선 스펙트럼 영역에서 시연되었지만, 최근에는 고체로부터 40eV(30nm)에 이르는 극자외선 생성이 가능해졌다. 고체 내에서 이러한 프로세스의 변환 효율은 동일한 광자 에너지 범위에서 가스상(gas phase) HHG의 경우보다 낮다; 그러나 이는 상대적으로 낮은 변환 효율을 보상하게 될 공동내(intracavity) HHG 기법을 사용하여 해결할 수 있다. 예를 들어, P. M. Kraus의 Ultrafast Extreme-UV Emission from solids, EUV Source Workshop Proceedings(04.11.2020)에 개시된 바와 같이, 고체 내에서 비공선형 HHG 웨이브믹싱은 변환 효율을 높이는 또 다른 방법이다. 이러한 문헌은 https://euvlitho.com/_에서 이용가능하며, 본 명세서에 원용에 의해 포함된다.
또한 HHG 반사 및 빔 성형 시스템은 이러한 스펙트럼 범위에서 재료의 낮은 반사율 및 투과율로 인해 낮은 투과(반사) 효율을 갖는다. 생성 및 빔 성형 프로세스를 단일 요소로 통합함으로써, HHG 셋업은, 가능하다면 가스상 시스템과 동일한 플럭스를 유지하면서도 더 작고 저렴하며 유지 관리가 더 쉬워진다. 나아가, 생성 고체 요소를 구조화함으로써 HHG 빔 성형을 하면 자유 공간 광학계보다 훨씬 더 상세하고 높은 분해능의 빔 성형이 가능하다.
도 8은 공간적으로 구조화된 광을 생성하기 위해 구성된 고체 HHG 매질(800)의 제1 실시예를 예시한다. 격자 구조(G)는 용융 실리카 기판의 구조화된 측(예컨대, 두께 0.1mm 의 영역 내) 상에 임프린트되고 이러한 구조로부터 HHG가 생성된다. 도 8(a)는 구조화되지 않은 측 상에서 제1 방사선 또는 구동 방사선(805)을 받아들이도록 배향된 제1 배향의 구성된 고체 HHG 매질(800)을 나타낸 것이다. 이러한 배향에서, HHG는 구조화된 측 상에서 발생하고, 위상 격자 또는 위상 변조가 출력된 SXR/EUV 방사선(810) 상에 부과된다; 극자외 방사선의 감쇠 길이는 100nm 미만이므로 출력 표면으로부터 100nm 이상 생성된 임의의 고차 고조파는 기판 내에서 흡수될 것이다. 도 8(b)는 구조화된 측 상에서 제1 방사선 또는 구동 방사선(805)을 받아들이도록 배향된 제2 배향의 구성된 고체 HHG 매질(800)을 나타낸 것이다. 이러한 배향에서, 격자는 먼저 구동 방사선을 변조하여 변조된 구동 방사선(815)이 된다. 이러한 변조된 구동 방사선은 공간적으로 변조된 진폭으로 구조화되지 않은 측 상에서 HHG 생성(820)을 유발한다. 이러한 효과는 변환 효율을 높일 수 있다.
구조화된 광의 생성은, 격자 형상을 갖는 빔을 계측 타겟(정렬 센서, 오버레이, 레벨 센서) 상의 물리적 격자 상으로 투영하는 것에 의존하는 계측 기법을 위해, 그리고 구조화된 조명 현미경과 같은 진보된 초분해능 이미징 기법을 위해 흥미로울 수 있다.
도 9는 단일 광학 요소로 생성된 광을 생성 및 포커싱하기 위해 구성된 고체 HHG 매질의 실시예를 나타낸다. 이것은 생성 매질과 포커싱 광학계를 단일 요소로 결합하여 매우 컴팩트한 HHG 생성 및 조명 모듈이 된다.
도 9(a)는 고차 고조파 방사선을 생성하고 포커싱하는 만곡된(오목한) 외측 생성 표면을 포함하는 구성된 고체 HHG 매질(900)을 나타낸 것이며, 여기서 만곡된 표면은 고차 고조파 방사선에 대해 렌즈처럼 작용한다.
도 9(b)는 존 플레이트(zone plate)(즉, HHG 측(출력 측)에 등간격이 아닌 동심 홈(920)을 갖는 편평한 기판)를 포함하는 구성된 고체 HHG 매질(910)을 나타낸다. 그 결과 존 플레이트로부터 수렴하는 자체-포커싱 HHG 빔이 방출된다. 보다 일반적으로 출력 HHG 빔의 형상은 존 플레이트의 홈의 분포에 따라 달라진다. 수렴하는 자체-포커싱 빔이 되도록 홈을 구성하는 것은 단지 하나의 가능한 예시적인 배열이고, 원하는 빔 특성(들)에 따라 다른 홈 배열이 가능하다.
도 9(a) 및 (b)의 배열은 구성된 고체 HHG 매질이 그 위에 존 플레이트를 갖는 만곡된(오목한) 외측 생성 표면을 포함하도록 조합될 수 있다.
존 플레이트와 만곡된(오목한) 외측 생성 표면 양자 모두는 서로 다른 위치에서 서로 다른 에너지(고조파)를 포커싱할 것이고, 이는 잠재적인 이점이 될 수 있는데, 색채 초점(chromatic focus)을 통해 길이방향으로 샘플을 단순히 스캐닝함으로써 샘플 상에서 스펙트럼 세기의 변동을 가능하고 이는 샘플의 추가 스펙트럼 정보를 제공하기 하기 때문이다. 단점은 초점을 벗어난 스펙트럼의 부분이 부분적으로 샘플 외부에 놓일 수 있으므로 일반적으로 원치 않는 것으로 간주되는 환경을 탐색할 수 있다는 점이다. 그러나, 샘플을 스캐닝할 때, 환경을 탐색하고 샘플로부터의 원하는 신호와 환경으로부터의 방해 신호를 식별하고 구별할 수 있는 잠재력을 제공하는 체계적인 파장 스캔도 수행된다. 미국 특허 출원 20200201192는 색채 초점 위치 변동의 이점을 설명하고 있으며, 이러한 문헌은 원용에 의해 본원에 포함된다.
현실적인 고차 고조파 기반 계측 툴에서 자체-포커싱 고차 고조파 빔의 개념을 이용할 수 있으려면 몇 가지 전제 조건이 존중될 수 있다. 하나는 자체-포커싱 고차 고조파 빔의 초점 스폿이 계측 응용 분야에 유용할 만큼 충분히 작다는 점이다. 웨이퍼 상의 계측 타겟은 크기가 50x50μm, 선택적으로 20x20μm, 선택적으로 10x10μm 정도이거나 그보다 작을 수 있다(하지만, 위에서 언급한 바와 같이 더 큰 타겟이 측정될 수도 있음). 일 실시예에서 초점 스폿 반경 은 기껏해야 약 5μm일 수 있다. 일반적으로, 는 생성 포인트에서 초점까지의 거리 z와 생성 포인트에서의 빔의 반경 에 따라 달라진다. 충분히 작은 초점 스폿 반경 을 얻도록 필요한 빔 반경을 추정하기 위해, 고차 고조파 빔은 가우시안 빔으로 모델링될 수 있다. 그러한 경우:
이고, 는 고차 고조파 파장이다. 일반적으로, 구동 레이저를 차단하고 이것이 민감한 IC 웨이퍼를 조사하는 것을 방지하기 위해 애퍼처와 필터를 삽입할 수 있도록 생성 매질과 웨이퍼 사이에 적어도 몇 cm의 명확한 거리가 필요하다. 따라서 안전한 거리 z = 10cm, 일반적인 파장이 30nm라고 가정하면, 위의 방정식은 190μm보다 큰 w 를 산출한다. 결과적으로, 구동 레이저 빔은 고체 생성 매질의 위치에서 적어도 이러한 크기일 수 있고, 고차 고조파 방사선의 방출을 촉발시키기에 충분히 강렬할 필요가 있다. 전형적으로, 요구되는 세기는 약 1016 - 1017 W/m2 일 수 있다.
계측 툴에서 자체-포커싱 고차 고조파 빔을 적용하기 위한 두 번째 전제 조건은 구동 레이저 방사선이 민감한 웨이퍼를 조사하는 것으로부터 충분히 차단될 수 있다는 점이다. 예를 들어 박막 금속 필터를 적용하여 매우 효과적인 차단을 달성할 수 있다. 그러나, 이러한 필터는 높은 구동 레이저 출력을 견딜 수 없으므로, 고출력 응용 분야에서는 필터에 앞서 추가적인 차단 단계가 요구된다. 이러한 추가적인 차단 단계는 구동 레이저 초점으로부터 충분히 멀리 떨어진 영역에서 환형 프로파일을 갖는 레이저 빔을 사용하는 것과, 이러한 환형 빔을 애퍼처에 의해 차단하는 것을 포함할 수 있다. IR 레이저 차단을 위한 대안적인 방법은 구동 방사선을 차단하기 위해 선택적 거울 또는 메시 그리드(mesh grid)를 적용하는 것을 포함할 수 있다. 임의의 이러한 방법에서, 구동 레이저 빔에 광학 컴포넌트를 삽입할 필요가 있다. 가스상 HHG에 공통적인 레이저 세기의 경우, 플럭스(즉, 세기와 펄스 길이의 곱)가 광학 컴포넌트의 손상 임계값 미만이 되도록 구동 레이저 초점으로부터 광학 컴포넌트를 충분히 멀리 배치하기 위해 주의를 기울여야 한다. 대조적으로, 고체상 HHG에서는 구동 레이저 세기가 상당히 더 낮고, HHG 생성 고체에 상응하는 손상 임계값으로 최적화된 고출력 광학계를 만들 수 있다. 따라서 구동 레이저 초점의 근접성으로 인한 광학계 손상은 문제를 일으키지 않는다.
도 10은 구동 방사선(1010)을 필터링하는 문제를 다루는, 구성된 고체 HHG 매질(1000)의 실시예를 도시한다. 이러한 구성된 고체 HHG 매질(1000)은 고차 고조파 빔을 포커싱하는 데에도 사용될 수 있다. 구성된 고체 HHG 매질(1000)은 HHG 생성 측(출력 측)에 동심 원형 홈(1020)을 포함하는 액시콘-형상의 고체 기판(1010)을 포함한다. 동심 원형 홈(1020)은 예를 들어 도 9(b)와 같은 형태(광축을 따라 볼 때)를 가질 수 있다. 액시콘은 원추형 표면을 포함하고 레이저 광 빔을 환형 분포로 변환할 수 있다. 이러한 맥락에서, 액시콘 형상은 IR 구동 방사선(1010)을 환형 빔 분포(1030)로 굴절시키는 한편, 홈(1020)은 일정한 홈 간격을 가정할 때 고차 고조파 방사선(1040)을 광축을 따라 (실질적으로) 시준된 빔으로 회절시킨다. 다른 실시예에서, 동심 원형 홈(1020)은 광축을 따른 한 포인트에 고차 고조파 방사선(1040)을 포커싱하는 프레넬-유형(Fresnel-type) 홈 간격을 포함할 수 있다. 이러한 방식으로, 광축을 중심으로 하는 적절한 치수의 애퍼처를 사용하여 구동 방사선을 차단할 수 있다. 따라서 이러한 요소는 고차 고조파 방사선의 생성, IR 제거 및 선택적으로 포커싱을 명쾌하게 결합할 수 있다.
전술한 액시콘 배열은 HHG 방사선으로부터 구동 방사선을 분리하기 위한 배열의 일례일 뿐이다. 보다 일반적으로, 추가적인 실시예는, 고체의 형상이 구동 방사선이 축으로부터 멀리 굴절되도록 되어 있고 고조파가 축을 따라 회절되도록 보상 홈이 제공되는 임의의 구성을 포함할 수 있다. 위에 설명된 액시콘 기하구조는 구성된 고체 HHG 매질의 이러한 클래스의 그러한 예 중 하나일 뿐이다.
도 11은 플랫-탑(flat-top) 빔(탑-햇(top-hat) 빔이라고도 함)을 생성하기 위한 구성된 고체 HHG 매질(1100)의 실시예를 도시한다. 다양한 계측 응용 분야에서, 균일한 세기 프로파일로 샘플을 조명하는 것이 바람직하며, 이 경우 탑-햇 빔 프로파일, 즉 원형 디스크 내에서 거의 균일한 플루언스를 갖는 빔이 일반적으로 사용될 수 있다. 가시광선의 경우, 가우시안 빔을 탑 햇으로 변환하는 광학 요소(빔 성형기)가 쉽게 이용가능하지만, 이러한 광학 요소는 EUV 방사선에는 사용할 수 없다.
탑-햇 고차 고조파(예를 들어, EUV) 빔(1120)을 생성하는 것의 배경이 되는 아이디어는, 기본 구동 방사선 빔(1110) 상에 플랫-탑 프로파일을 임프린트하는 단일한 구성된 고체 HHG 요소(1100)를 사용하는 것에 의존한다. 그러면 이러한 프로파일은 방출된 EUV 빔(1120)에 임프린트된다. 광학 요소(1100)의 실제 형상은 Zhang 등의 Opt Express 11, 1942(2003)(원용에 의해 본원에 포함됨)에 기술된 것과 유사할 수 있다. 요소(1100)의 입력 표면은 시준된 입력 빔(1110)을 굴절시키고, 이는 이후 출력 표면에 의해 다시 시준된다. 광축 근처의 광선은 광축에서 멀리 떨어진 광선보다 더 큰 반경방향 배율을 겪게 된다. 이는 빔의 세기를 균일한 플랫 탑 분포로 재분포시킨다.
출사면에서의 생성된 고차 고조파 방사선(1120)은 적외선 구동 필드의 굴절률과는 다른 굴절률을 겪게 될 것이라는 점에 유의해야 한다. 출사면은 EUV 빔이 탑 햇 분포로 바뀌도록 성형되어야 하며, 이는 Zhang 등에 의한 설계 고려사항과는 다른 곡률을 초래할 것이다. 예를 들어, Zhang 등에 의한 설계와 비교할 때, 방출된 고차 고조파 방사선의 출사면 경계면에서의 굴절률 차이가 구동 레이저의 굴절률 차이보다 더 작으면(더 크면) 출사면의 곡률 반경은 더 작아야(더 커야) 한다. 따라서 정확한 설계는 굴절 법칙으로부터 나오게 된다.
보다 일반적으로 고차 고조파 방사선으로부터 구동 방사선을 분리하는 주제로 돌아가면, 고차 고조파 방사선과 구동 방사선은 표면에서 서로 상이한 방향으로 굴절될 것이라 예상되는데, 특히 이러한 표면이 수직 입사 이외의 각도를 가지거나 만곡되어 있을 때 그러하다. 이것은 단순히 굴절률의 차이 때문이다. 그리고 IR의 경우 n>1이지만 EUV의 경우 n<1이면, 이들은 실제로 반대 방향으로 회절될 것이다. 이것만으로도 성형이 더 필요하지 않고 IR/EUV 분리를 달성하기에 충분할 수 있다; 쐐기(wedge)로 충분할 것이다. 이어서, 추가 실시예는 위에서 설명한 바와 같이 빔(들)을 추가로 성형하고 조향할 수 있다. 이와 같이, 본 명세서에 개시된 임의의 요소의 전체 형상은 굴절률을 고려할 수 있고, 고차 고조파와 구동 방사선을 분리하기 위한 추가적인 요소로서 쐐기가 추가될 수 있다. 광자 에너지의 함수로서 굴절률의 강한 변동은 색수차를 유발할 수 있다.
본 명세서에 기술된 방법은 200nm 미만, 160nm 미만, 130nm 미만, 100nm 미만, 80nm 미만, 70nm 미만, 60nm 미만, 50nm 미만, 40nm 미만, 30nm 미만 또는 20nm 미만의 파장을 갖는 방사선을 생성할 수 있다.
언급된 바와 같이, 변환 효율은 일반적으로 HHG에 대해 낮고, 가스 상태 HHG보다 고체 상태 HHG에 대해 더 낮다. 위에서 설명된 실시예 중 일부는 이러한 문제를 적어도 부분적으로 다루지만, 변환 효율을 증가시키기 위해 하이브리드 고체 상태 HHG와 가스 상태 HHG 방법 및 배열을 이용하는 다른 실시예에 관해 이제 설명할 것이다. 이들 실시예는 개별적으로 그리고 이미 기술된 실시예와 조합되어 개시된다. 이와 같이, 일부 실시예는 가스 HHG 매질과 조합하여 구성된 고체 HHG 매질을 사용할 수 있다. 그러나, 다른 실시예는 가스 HHG 매질과 조합하여 보다 일반적인 (본 명세서의 문맥에 따른 특정한 구성 없이) 고체 HHG 매질을 사용할 수 있다.
임베딩된 타겟을 이미징하려면 주변 구조로부터의 손상 및 회절 아티팩트를 방지하기 위해 광을 작은 초점 분포로 국한시켜야 한다. HHG 빔은 일반적으로 SXR 광학계의 낮은 NA로 인해 회절 아티팩트를 초래하는 낮은 세기, 고발산 성분을 나타내며, 다음 두 가지 방식으로 초점 흐림(blur)을 유발한다.
● 매우 강렬한 IR 펄스와 가스 원자 사이의 상호 작용 동안에, 전자는 부모 이온으로부터 제거되고 레이저 전기장에 의해 자유 입자로 가속된다. 전기장의 부호가 뒤집힘에 따라, 전자는 이온의 공간적 위치로 되돌아가 재결합할 수 있다. 재결합 시에, 획득한 에너지는 광자로서 방출된다. 일반적으로 전자가 동일한 광자 에너지로 재결합하도록 유도하는 두 가지 구별되는 궤적("장형(long)" 및 "단형(short)"이라고 칭함)이 있다. 이러한 두 부류의 궤적 사이의 차이점은 이온화와 재결합 사이의 시간의 양(전파 시간이라고 함)이다. 연속체 내에서(즉, 자유 전자로서) 전파하는 동안 전자는 상이한 고유 위상을 획득하고, 이는 방출된 광자로 전달된다. 이러한 위상은 초점 평면에 걸친 세기 분포에 의해 공간적으로 변조되기 때문에, 진폭이 다르면 장형 및 단형 궤적에 대해 동위상면(phase-front) 곡률이 달라진다. 결과적으로 각 광자 에너지는 광축을 따라 변위된 두 개의 가상 소스로부터의 방출의 코히어런트 중첩이다. 생성된 방사선을 다시 포커싱하기 위해 HHG 소스 내의 특정 평면을 계측 샘플 상으로 이미징할 수 있으며 다른 평면에 위치하는 가상 소스에서 발생하는 컴포넌트들이 초점 흐림에 기여할 것이다.
● 또한 장형 궤적은 가장 고유한 위상을 획득하여, 가장 큰 발산으로 이어진다. HHG를 통해 생성된 넓은 대역폭과 이러한 스펙트럼 범위에서 재료의 낮은 투과율 및 반사율로 인하여 금속성의, 그레이징 입사 광학계를 채용할 필요가 있다. SXR 광학계는 비용이 많이 들고 일반적으로 NA가 낮기 때문에(일반적으로 수 mrad) 높은 발산 컴포넌트들이 예리한 가장자리(hard edge)에 의해 잘리게 된다(clip). 이로 인해 계측 타겟의 원치 않는 부분에 도달할 수 있는 산란된 광자가 발생한다.
이러한 이유로 장형 궤적의 양을 줄이면서 변환 효율을 최적화하는 기법이 계측 소스를 위해 바람직하다.
HHG 프로세스에 2차 고조파를 추가하면 변환 효율을 높일 수 있으면서도 장형 궤적의 상대적 기여도를 줄일 수 있는 것으로 밝혀졌다. 또한 HHG 프로세스에 기본파의 저차 고조파(대부분 3차)를 추가하면 변환 효율이 증가하고 단형 궤적이 선호되는 것으로 밝혀졌다. 이러한 기법은 시딩(seeding)이라고 알려져 있다.
일반적인 가스 HHG 소스는 1014 - 1015 W/cm2 범위의 세기가 필요한 반면, 고체 상태 HHG는 비파괴형 기법이므로 손상 임계값(1013 W/cm2) 미만의 세기를 필요로 한다. 이러한 상대적으로 낮은 세기 수준에서 고체 상태 소스는 가스 소스보다 더 높은 변환 효율을 제공한다고 제안된 바 있다.
이러한 실시예에서, 변환 효율, 빔 프로파일/품질을 개선하고/하거나 구조화된 빔 프로파일을 증폭하기 위해 가스 상태 HHG를 시딩(seeding)하도록 고체 상태 HHG를 이용할 것이 제안된다. 펄스형 구동 레이저의 초점에 또는 그 근처에 위치한 가스 타겟(가스 고차 고조파 생성 매질)에서 고조파를 생성하고, 이와 동시에 고체 매질(고체 고차 고조파 생성 매질), 선택적으로 초점 앞의 일정 거리(초점과 소스 사이)에 배치된 유전체 매질 및/또는 비선형 결정(예컨대, 베타 바륨 붕산염 BBO)에서 HHG를 수행하는 것이 제안된다. 이런 식으로 고체 상태 HHG로부터의 방사선은 가스 타겟과 상호 작용한다.
도 12은 그러한 실시예에 따라 고차 고조파 생성을 위한 조명 소스의 실시예(1200)의 단순화된 개략도를 나타낸다. 조명 소스(1200)는 챔버(1201)를 포함한다. 조명 소스(1200)는 화살표로 표시된 전파 방향을 갖는 펌프 방사선(1211)(제1 방사선)을 받아들이도록 구성된다. 여기에 도시된 펌프 방사선(1211)은 도 5에 도시된 바와 같이 펌프 방사선 소스(330)로부터의 펌프 방사선(340)의 예이다. 펌프 방사선(1211)은, 용융 실리카 또는 이에 상응하는 재료로 만들어질 수 있는 뷰포트일 수 있는 방사선 입력(1205)을 통해 챔버(1201) 내로 지향될 수 있다. 펌프 방사선(1211)은 가우시안(또는 중공, 예를 들어 환형) 횡단면 프로파일을 가질 수 있다.
펌프 방사선(1211)은 고체 HHG 매질(1214) 상에 먼저 입사될 수 있다. 고체 HHG 매질이라는 용어는 고차 고조파(2차 고조파 및 보다 낮은 고조파(예컨대 3차 고조파)를 포함)를 생성하기 위한 임의의 적합한 고체 상태 재료를 포함하며, 따라서 BBO와 같은 비선형 결정도 포함한다. 투과된(또는 구성에 따라 반사된) 펌프 방사선(1211) 및 고체 HHG 매질(1214) 내에서 생성된 고체 상태 HHG 방사선(제2 방사선)을 포함할 추가적인 펌프 방사선(1212)이 후속하여 가스 흐름(1220) 상에 입사되고 선택적으로 포커싱되며, 이러한 가스 흐름은 챔버(1201) 내에서 두 번째 화살표로 표시된 흐름 방향을 갖는다. 가스 흐름(1220)은 가스 압력이 특정 값을 넘어서는 특정 가스(예컨대, 희가스, 선택적으로 헬륨, 아르곤 또는 네온, 질소, 산소 또는 이산화탄소)의 작은 부피(예컨대, 수 입방 mm)를 포함한다. 가스 흐름(1220)은 정상(steady) 흐름일 수 있다. 금속성 플라즈마(예를 들어, 알루미늄 플라즈마)와 같은 다른 매질이 사용될 수도 있다. 가스 흐름 배열은 가능한 수많은 배열 중 하나의 예에 불과하다. 도시된 예는 가스 제트(레이저 빔에 수직인 가스 흐름)이지만, 통상적으로 사용되는 다른 기하구조는 가스 셀(레이저 빔이 통과할 애퍼처/창이 있는 가스를 함유하는 인클로저) 및 모세관(레이저 빔을 안내하는 가스로 채워진 튜브형 인클로저)을 포함한다.
펌프 방사선(1211, 1212)의 가우시안 빔의 웨이스트까지의 전파 방향을 따라, 피크 세기는 펌프 방사선(1211, 1212)의 초점 평면으로부터의 거리의 함수로서 변화한다. 초점 맞는 1015 W/cm2 타겟 세기를 가정하면, 1013 W/cm2 수준은 초점 앞의 수 레일리 범위(예컨대, 3 내지 10 레일리 범위)에 이른다. 이것은 동일한 포커싱 기하구조 내에서 가스 상태 HHG 또는 고체 상태 HHG 각각에 대해 세기가 최적인 두 개의 양호하게 분리된 영역을 정의한다. 따라서 이러한 배열은, 가스 타겟(가스 HHG 매질)(1220)이 펌프 방사선(1211)의 초점 평면에 또는 그 근처에 있도록(예를 들어, 본 명세서의 맥락에서 초점 평면 근처는 초점 평면의 3, 2 또는 1 레일리 범위 내에 있는 것을 포함할 수 있음) 그리고 고체 HHG 매질(1214)이 펌프 소스와 이러한 초점 평면 사이, 예를 들어 초점 평면으로부터 3 내지 10 레일리 범위 사이의 위치에 위치되도록 하는 것일 수 있다.
고체 내의 HHG 메커니즘은 어떠한 실질적인 추가 고유 위상도 도입하지 않으며, 따라서 펌프 방사선(1211)의 동위상면의 곡률은 고체 HHG 매질(1214)에서 생성된 고조파에 전달된다. 이러한 고조파(고체 상태 고차 고조파 생성 방사선)는 펌프 방사선(1211, 1212)과 동일한 초점 평면에 포커싱될 수 있다.
조명 소스(1200)의 가스 전달 시스템은 가스 흐름(1220)을 제공하도록 구성된다. 방출된 방사선(1213) 중 적어도 대부분이 생성되는 영역을 상호작용 영역이라고 한다. 상호작용 영역은 수십 마이크로미터(조밀하게 포커싱된 펌프 방사선의 경우)에서 수 mm 또는 cm(중간 정도로 포커싱된 펌프 방사선의 경우) 또는 심지어 몇 미터(극도로 느슨하게 포커싱된 펌프 방사선의 경우)에 이르기까지 변할 수 있다. 선택적으로, 가스 흐름(1220)은 가스 전달 시스템에 의해 배기된 또는 거의 배기된 공간 내로 제공된다.
가스 전달 시스템은 도 12에 도시된 바와 같이 가스 노즐(1209)을 포함할 수 있고, 가스 노즐은 예를 들어 가스 노즐(1209)의 출구 평면에 개구(1217)를 포함한다. 가스 흐름(1220)은 개구(1217)로부터 제공된다. 거의 모든 종래 기술에서, 가스 노즐은 균일한 원통형 내부 기하학적 형상인 절단된 튜브 기하학적 형상을 가지며, 출구 평면에 있는 개구 형상은 원형이다. 특허 출원 CN101515105B에 기술된 바와 같이 기다란 개구도 사용된 바 있다.
작동 시에 방출된 방사선(1213) 빔은 방사선 출력(1207)을 통과할 수 있고, 후속적으로 도 5의 조명 시스템(312)의 일례일 수 있는 조명 시스템(1203)에 의해 조작되어 계측 측정을 위해 검사될 웨이퍼로 지향될 수 있다. 방출된 방사선(1213)은 웨이퍼 상의 타겟에 안내, 선택적으로 포커싱될 수 있다.
고체 상태 HHG에서 결정의 대칭성은 생성된 스펙트럼을 결정한다. 반전 대칭성을 가진 재료는 2차 고조파를 포함하여 짝수 고조파를 효율적으로 생성할 수 있다. 임의의 재료는 홀수 고조파를 생성할 수 있으므로 가스-HHG를 시딩할 수 있게 된다. 이와 같이, 일 실시예에서, 고체 HHG 재료는 홀수 고조파(예를 들어, 3차 고조파 2차 방사선)에 더하여 2차 고조파(2차 고조파 2차 방사선)를 생성하기에 적합한 결정 대칭성을 가질 수 있다; 예를 들어 2차 및 3차 고조파를 모두 생성한다. 그러나 이것은 선택 사항이며 고체 HHG 재료가 하나 이상의 홀수 고조파만 생성하는 경우 현재 기술 상태에 대한 개선이 얻어질 것이다.
고체 샘플에서 시드 고조파의 효율적인 생성이, 반드시 시딩 프로세스에 대한 제어를 허여하기에 충분한 것은 아니다. 생성된 낮은 고조파와 구동 펄스의 충분히 정밀한 서브-사이클 동기화가 중요할 수도 있다. 일 실시예에서, 정밀한 타이밍은 도 13에 예시된 바와 같이 고체 HHG 샘플(1214)의 각도를 틸팅함으로써 달성될 수 있고, 이로써 펌프 전파 경로에서 고체 HHG 매질의 유효 두께 또는 경로 길이의 고정밀 제어를 제공하게 된다. 고체 HHG 매질의 회전을 구동함으로써, 경로 길이가 기하학적 인자만큼 증가하여 그룹 분산으로 인한 지연이 증가하게 된다.
고체 HHG 매질에서 생성된 고조파는 재료 내의 그룹 분산으로 인해 기본 펄스와 비교하여 일시적인 워크오프(walkoff)를 경험할 것이다. 이러한 효과는, 샘플의 두께 또는 길이가 충분히 작아서 일시적인 워크오프가 기본 펄스의 지속시간보다 작다면(예를 들어, 이산화 규소 내에서의 50 fs 펄스의 경우, 이것은 약 100 μm에 해당함), 본 명세서에서 개시된 개념의 응용가능성에 해로운 것으로 예상되지는 않는다.
고체 HHG 매질은 펌프 방사선 전파 방향에 수직인 방향을 따라 변화하는 두께, 예를 들어 웨지 형상을 포함할 수 있다. 펌프 방사선 전파 방향에 수직인 방향을 따라 이러한 쐐기형 매질의 측방향 병진 이동을 구동함으로써, 생성 매질의 유효 길이가 변경되고 따라서 구동 펄스와 시드 고조파 사이의 유효 지연이 변경된다.
시드 고조파를 생성하는 동일한 펄스가 수십에서 100 미크론의 고체 HHG 재료를 통해 전파된다는 사실은 자체-위상 변조 및 자체-포커싱/디포커싱과 같은 비선형 효과를 유발하여, 가스 타겟 내에서 고차 고조파 생성(예컨대, SXR을 생성)에 이용가능한 구동 펄스의 최적이 아닌 스펙트럼 및 시간적인 형상을 초래할 수 있다. 이를 해결하기 위해, 또 다른 실시예는 반사로 고체 상태 HHG를 수행하는 것을 제안하였다. 이것은 투과로 생성하는 것과 비교하여 유사한 스펙트럼을 생성하는 것으로 밝혀졌으며 보다 효율적인 것으로 관찰되었다.
도 14는 그러한 실시예에서 사용가능한 고체 HHG 매질(1414)을 개략적으로 예시한다. 광학적 블랭크(1414a)는 적절한 고반사(HR) 층(1414b), 예를 들어 저분산 다층 HR 스택으로 코팅될 수 있다. 이러한 HR 층(1414b) 위에는 생성 층(HHG 층)(1414c), 예를 들어 박막 코팅이 있다. 펌프 방사선(1411)은 고체 HHG 매질(1414)에 입사되고, HR 층(1414b)에 의해 반사되면서 HHG 층(1414c)에서 고차 고조파 방사선(시드 고조파)(1412)을 생성한다.
생성 층의 두께는 기본 빔(1411)(HR 층(1414b)에 의해 반사됨)과 시드 고조파(1412)의 동기화를 달성하도록 정밀하게 최적화될 수 있다. 두께 프로파일은, 펌프 방사선 빔이 샘플에 충돌하는 측방향 위치를 튜닝함으로써 동기화의 미세 조정을 제공하기 위해 표면을 가로질러 변조될 수 있다. 이와 같이, 그리고 도 13과 관련하여 설명된 예와 유사하게, 동기화의 미세 튜닝을 위한 추가적인 방법은 입사각을 변경하는 것을 포함할 수 있다. 선택적으로, 빔 경로의 정렬을 유지하면서 이를 달성하기 위해 예를 들어 역반사체 배열 내에서와 같은 추가 거울이 사용될 수 있다.
최상 층의 재료는 HR 구조 내에 통합될 수 있고(예를 들어, 이산화규소가 그러한 구조에서 종종 사용됨), 및/또는 시드 고조파의 방출을 최적화하도록 선택될 수 있다. 기본 파장에 투명하지만 낮은 고조파에는 투명하지 않도록 비교적 낮은 밴드갭 재료가 사용될 수 있다. 이 경우 최상 층에서 생성된 고조파는 재흡수되고, 펌프 펄스는 HR 코팅에 의해 반사되어 다층 스택 밖으로 나가는 도중에 고조파를 생성한다. 이러한 방식으로 기본파와 고조파 사이의 동기화가 본질적으로 이루어진다. 박막 층은 일반적으로 동일한 벌크 재료에 비해 더 높은 손상 임계값을 가지며, 이는 추가적인 이점이다.
다른 실시예는 상기 가스 상태 HHG 이전에 개별적으로 2차 고조파 및 보다 낮은 고조파(예를 들어, 3차 고조파)를 생성하는 것을 포함할 수 있다.
그러한 실시예에서, 기본파의 2차 고조파는 (예를 들어, 도 12-14와 관련하여) 앞서 설명한 캐스케이드형 고체-HHG 셋업에서 HHG를 수행하기 전에 적합한 비선형 결정 고체 HHG 매질(예를 들어, 베타 바륨 붕산염 BBO) 내에서 생성될 수 있다. 이것은 높은 변환 효율과 편광 상태 및 동기화에 대한 향상된 제어를 보장한다. 이와 같이, 제1 고체 HHG 매질(예를 들어, 비선형 결정 매질)은 펌프 방사선으로부터 2차 고조파 방사선을 생성하는 데에 사용될 수 있고, 제2 고체 HHG 매질은 2차 고조파 방사선(및 펌프 방사선)으로부터 시드 고조파(예를 들어, 3차 고조파)를 생성하는 데에 사용될 수 있다. 이러한 시드 고조파 및 투과/반사된 펌프 방사선(및 가능하다면 투과/반사된 2차 고조파 방사선)은 가스 HHG 매질을 여기시켜 출력 HHG를 생성한다. 본 발명자들은 고체 상태 HHG 프로세스에 2차 고조파 펄스를 추가하면 변환 효율이 크게 향상된다는 것을 입증했다. 이것은 가스 상태 HHG를 시드할 수 있는 낮은 고조파의 강력한 방출로 이어진다.
앞서 설명한 모든 하이브리드 실시예는 인라인 배열이므로 간섭계가 필요하지 않아 안정성이 향상된다. 그러나 시딩 방식을 구현하기 위해 간섭계 배열을 사용할 수 있으며, 여기서는 서로 다른 파장(예를 들어, 기본파, 2차 고조파, 3차 고조파)이 2개 이상의 서로 다른 빔 경로로 분리되어, 독립적인 조작, 예를 들어 개별적인 파장의 지연을 튜닝하는 것을 가능하게 하고, 그 후 파장들이 재결합된다. 기본 펄스는 2차 고조파 생성 결정을 통해 전송될 수 있다. 기본파 및 교차 편광된 2차 고조파의 타이밍은, BBO 내에서 그리고 공기를 통해 일시적인 워크오프를 보상하기 위해 복굴절 재료(예컨대, 방해석)을 도입함으로써 조정될 수 있다. 또 다른 비선형 결정이 합산 주파수, 즉 기본파의 3차 고조파를 생성하는 데에 사용될 수 있다. 그 다음에 3차 고조파는 빔 스플리터에 의해 의해 분리될 수 있다. 간섭계의 한 아암(arm)에서, 지연 라인이 ω+2ω 및 3ω 펄스 사이의 임의의 지연을 보상할 수 있으며, 이러한 펄스들은 이후 또 다른 빔 스플리터로 재결합된다. 그 후 3개의 파장은 가스 HHG 매질 상에 포커싱될 수 있다.
이미 언급한 바와 같이, 이러한 모든 하이브리드 실시예는 이전의 실시예에서 설명된 기법(예를 들어, 도 8 내지 11과 관련하여 설명된 것들)을 통합하도록 수정될 수 있다. 이런 식으로, 가스 HHG 매질로의 시딩 효과를 최적화하는 방식으로 구동 레이저 빔 및 고체 HHG 매질(들)에서 생성된 고조파를 구조화하는 것이 가능하다.
예를 들어, 동심 홈(프레넬-유형)을 포함하는, 도 10과 관련하여 설명된 것과 같은 액시콘 고체 HHG 매질에서 고조파를 생성함으로써, 3차 고조파의 초점과 액시콘 고체 HHG 매질의 초점에서 펌프 레이저를 중첩시킬 수 있다. 액시콘의 형상에 따라 포커싱 특성이 결정될 것이고, 홈의 간격은 생성된 3차 고조파의 포커싱 특성을 결정할 것이므로, 시딩이 가능해진다.
또 다른 예로서, 예를 들어 도 11 및/또는 수반되는 텍스트에 의해 예시된 바와 같은 고체 HHG 매질을 사용하여, 플랫-탑 시딩 빔을 생성함으로써 고체 상태 HHG로부터의 방출을 패턴화하는 것이 유리할 수도 있다. 결과적인 평활한 초점 맞춤(in-focus) 분포는 향상된 위상 정합을 통해 가스 타겟 내에서 HHG의 변환 효율을 증가시킬 것으로 예상된다.
일 실시예에서, 시드 고조파는 유전체 격자 고체 HHG 매질에서 생성될 수 있다. 이러한 방식으로, 생성된 방사선에 임프린트된 구조는 가스 HHG 매질에 전달될 수 있다. 가스 HHG 매질을 리소그래피 구조(예컨대, 오버레이 타겟) 상에 이미징함으로써 오버레이 계측을 수행할 수 있다.
본 실시예의 개념을 적용하는 또 다른 방법은 동일한 수율을 달성하기 위해 구동 세기를 감소시키는 것일 수 있다. 이것은 또한 변환 효율의 증가에 해당한다: 펌프 레이저가 튜닝가능한 레이저를 포함하는 경우 펄스당 에너지가 감소할 수 있고 반복률이 증가할 수 있다. 일반적으로 이러한 스케일링은 레이저의 평균 출력을 증가시킨다.
반복률이 튜닝가능한 매개변수가 아니거나 그 증가가 평균 출력을 증가시키지 않는 경우, 보다 느슨한 포커싱 기하구조를 채택함으로써 피크 세기가 여전히 감소될 수 있다. 이렇게 하면 변환 효율이 증가하지 않을 것이지만, SXR 소스의 전반적인 발산이 줄어들 것이고 변환 효율과 발산 사이에서 최상의 트레이드 오프를 찾는 것이 가능해진다.
조명 소스는 예를 들어 계측 장치(MT), 검사 장치, 리소그래피 장치(LA), 및/또는 리소그래피 셀(LC)에 제공될 수 있다.
측정을 수행하는 데 사용되는 방출된 방사선의 속성은 획득된 측정의 품질에 영향을 미칠 수 있다. 예를 들어, 방사선 빔의 횡방향 빔 프로파일(단면)의 형상과 크기, 방사선 세기, 방사선의 파워 스펙트럼 밀도 등이 방사선에 의해 수행되는 측정에 영향을 미칠 수 있다. 따라서 고품질 측정 결과를 가져오는 속성을 가진 방사선을 제공하는 소스를 갖는 것이 바람직하다.
추가의 실시예는 다음의 번호가 매겨진 조항으로 제공된다:
1. 리소그래피 프로세스에 의해 기판 상에 형성된 타겟의 측정을 위한 계측 장치로서,
제1 방사선을 제공하도록 작동가능한 방사선 소스;
구성된 고체 고차 고조파 생성 매질의 출력 표면으로부터 고차 고조파 제2 방사선을 생성하기 위해 상기 제1 방사선을 받아들이고 제1 방사선에 의해 여기되도록 구성되는, 구성된 고체 고차 고조파 생성 매질; 및
적어도 일부가 상기 타겟에 의해 산란된 상기 제2 방사선을 검출하도록 작동가능한 검출 구성을 포함하고,
상기 구성된 고체 고차 고조파 생성 매질은 상기 제2 방사선의 빔을 성형하고 및/또는 상기 제1 방사선과 상기 제2 방사선을 분리하도록 구성되는, 계측 장치.
2. 제1조항에 있어서, 상기 구성된 고체 고차 고조파 생성 매질은 상기 타겟 상에 상기 제2 방사선을 포커싱하도록 구성되는, 계측 장치.
3. 제2조항에 있어서, 상기 출력 표면은 상기 포커싱을 수행하도록 오목한 곡률을 포함하는, 계측 장치.
4. 제2조항 또는 제3조항에 있어서, 상기 출력 표면은 상기 포커싱을 수행하기 위해 동심 원형 홈을 포함하는, 계측 장치.
5. 제4조항에 있어서, 상기 동심 원형 홈은 프레넬(Fresnel) 간격 배열을 포함하는, 계측 장치.
6. 제1조항 내지 제5조항 중 어느 한 조항에 있어서, 구성된 고체 고차 고조파 생성 매질은 구성된 고체 고차 고조파 생성 매질의 광축으로부터 멀리 구동 방사선을 굴절시키는 형상을 포함하고, 상기 출력 표면은 제2 방사선이 실질적으로 광축을 따라 회절되도록 보상 홈을 포함하는, 계측 장치.
7. 제6조항에 있어서, 구성된 고체 고차 고조파 생성 매질은 상기 제1 방사선 상에 환형 프로파일을 부여하도록 작동가능한 액시콘 형상을 포함하고, 상기 제2 방사선이 상기 구성된 고체 고차 고조파 생성 매질의 상기 광축에 포커싱되고 및/또는 광축을 따라 실질적으로 전파되도록 출력 표면 상의 동심 원형 홈을 포함하는, 계측 장치.
8. 제7조항에 있어서, 상기 동심 원형 홈은 프레넬(Fresnel) 간격 배열을 포함하는, 계측 장치.
9. 제7조항에 있어서, 상기 동심 원형 홈은 등간격으로 이격되어 있는, 계측 장치.
10. 제7조항, 제8조항 또는 제9조항에 있어서, 제1 방사선을 차단하고 제2 방사선을 통과시키는 공간 필터를 더 포함하는, 계측 장치.
11. 제1조항 내지 제10조항 중 어느 한 조항에 있어서, 상기 제2 방사선 상에 위상 변조를 부과하도록 작동가능한 상기 출력 표면 상의 격자 구조를 포함하는, 계측 장치.
12. 제11조항에 있어서, 상기 구성된 고체 고차 고조파 생성 매질은, 반전(reverse)될 때, 상기 격자 구조가 상기 구성된 고체 고차 고조파 생성 매질의 입력 표면 상에 포함되고 상기 출력 방사선 상에 진폭 변조를 부과하도록 작동가능하도록 반전가능한, 계측 장치.
13. 제1조항에 있어서, 상기 제2 방사선에 진폭 변조를 부과하도록 작동가능한, 상기 구성된 고체 고차 고조파 생성 매질의 입력 표면 상의 격자 구조를 포함하는, 계측 장치.
14. 제1조항 내지 제13조항 중 어느 한 조항에 있어서, 상기 구성된 고체 고차 고조파 생성 매질은, 만곡된 입력 표면 및 만곡된 출력 표면을 갖도록, 그리고 만곡된 입력 표면에서의 제1 방사선의 굴절 및 만곡된 출력 표면에서의 제2 방사선의 굴절이 상기 제2 방사선 상에 실질적으로 플랫-탑(flat-top) 조명 프로파일을 부여하도록 구성되는, 계측 장치.
15. 제1조항 내지 제14조항 중 어느 한 조항에 있어서, 상기 제2 방사선의 적어도 일부 고조파는 100nm보다 짧은 파장, 선택적으로 70nm보다 짧은 파장을 포함하는, 계측 장치.
16. 제1조항 내지 제15조항 중 어느 한 조항에 있어서, 상기 제2 방사선의 적어도 일부 고조파는 50nm보다 짧은 파장을 포함하는, 계측 장치.
17. 제1조항 내지 제16조항 중 어느 한 조항에 있어서, 상기 제1 방사선은 펄스형 레이저 방사선인, 계측 장치.
18. 제17조항에 있어서, 각 펄스의 길이는 1fs 내지 500fs의 범위인, 계측 장치.
19. 제1조항 내지 제18조항 중 어느 한 조항에 있어서, 제1 방사선은 100 nm 내지 3000 nm 범위의 파장을 포함하는, 계측 장치.
20. 제1조항 내지 제19조항 중 어느 한 조항에 있어서, 상기 제1 방사선이 상기 기판의 제1 면에 입사하고 상기 제2 방사선이 상기 기판의 제2 면으로부터 방출되도록 구성되며, 상기 제1 면과 상기 제2 면은 상기 기판의 서로 다른 면인, 계측 장치.
21. 구성된 고체 고차 고조파 생성 매질로서, 입력 표면에서 받아들인 제1 방사선 상에 환형 프로파일을 부여하도록 작동가능한 액시콘 형상; 및 상기 제1 방사선에 의한 여기로부터 발생하는 상기 출력 표면에서 생성된 고차 고조파 제2 방사선이 상기 구성된 고체 고차 고조파 생성 매질의 광축에 포커싱되고 및/또는 실질적으로 광축을 따라 전파되도록 출력 표면 상의 동심 원형 홈을 포함하는, 구성된 고체 고차 고조파 생성 매질.
22. 제21조항에 있어서, 상기 동심 원형 홈은 제2 방사선의 공선형(co-linear) 빔을 제공하기 위해 등간격으로 이격되는, 구성된 고체 고차 고조파 생성 매질.
23. 제21조항에 있어서, 상기 동심 원형 홈은 상기 포커싱을 수행하도록 프레넬(Fresnel) 간격 배열을 포함하는, 구성된 고체 고차 고조파 생성 매질.
24. 리소그래피 프로세스에 의해 기판 상에 형성된 타겟을 측정하기 위한 계측 방법으로서,
구성된 고체 고차 고조파 생성 매질을 제1 방사선으로 여기시켜, 구성된 고체 고차 고조파 생성 매질의 출력 표면으로부터 고차 고조파 제2 방사선을 생성하고 상기 제2 방사선의 빔을 성형하고/하거나 상기 제1 방사선과 상기 제2 방사선을 분리하는 단계; 및
적어도 일부가 상기 타겟에 의해 산란된 상기 제2 방사선을 검출하는 단계를 포함하는, 계측 방법.
25. 제24조항에 있어서, 고체 고차 고조파 생성 매질을 사용하여 상기 타겟 상에 상기 제2 방사선을 포커싱하는 단계를 포함하는 계측 방법.
26. 제25조항에 있어서, 상기 출력 표면은 상기 포커싱을 수행하도록 오목한 곡률을 포함하는, 계측 방법.
27. 제25조항 또는 제26조항에 있어서, 상기 출력 표면은 상기 포커싱을 수행하기 위해 동심 원형 홈을 포함하는, 계측 방법.
28. 제27조항에 있어서, 상기 동심 원형 홈은 프레넬(Fresnel) 간격 배열을 포함하는, 계측 방법.
29. 제24조항 또는 제25조항에 있어서, 구성된 고체 고차 고조파 생성 매질을 이용하여, 구성된 고체 고차 고조파 생성 매질의 광축으로부터 멀리 구동 방사선을 굴절시키고 제2 방사선을 실질적으로 광축을 따라 회절시키는 단계를 포함하는, 계측 방법.
30. 제29조항에 있어서, 구성된 고체 고차 고조파 생성 매질은 액시콘 형상을 포함하는 계측 방법.
31. 제30조항에 있어서, 상기 동심 원형 홈은 프레넬(Fresnel) 간격 배열을 포함하는, 계측 방법.
32. 제30조항에 있어서, 상기 동심 원형 홈은 등간격으로 이격되어 있는, 계측 방법.
33. 제30조항, 제31조항 또는 제32조항에 있어서, 상기 타겟 이전에 제1 방사선을 차단하고 제2 방사선을 통과시키는 단계를 더 포함하는 계측 방법.
34. 제24조항에 있어서, 상기 출력 표면 상의 격자 구조를 사용하여 상기 제2 방사선 상에 위상 변조를 부과하는 단계를 포함하는 계측 방법.
35. 제34조항에 있어서, 상기 출력 방사선 상에 위상 변조를 부과할지 진폭 변조를 부과할지를 선택하도록 반전가능하게 상기 고차 고조파 생성 매질의 배향을 선택하는 것을 포함하는, 계측 방법.
36. 제24조항에 있어서, 상기 구성된 고체 고차 고조파 생성 매질의 입력 표면 상의 격자 구조를 사용하여 상기 제2 방사선 상에 진폭 변조를 부과하는 단계를 포함하는 계측 방법.
37. 제24조항에 있어서, 상기 고체 고차 고조파 생성 매질을 이용하여 상기 제2 방사선 상에 실질적으로 플랫-탑(flat-top) 조명 프로파일을 부여하는 단계를 포함하는 계측 방법.
38. 제24조항 내지 제37조항 중 어느 한 조항에 있어서, 상기 제2 방사선의 적어도 일부 고조파는 100nm보다 짧은 파장을 포함하는, 계측 방법.
39. 제24조항 내지 제38조항 중 어느 한 조항에 있어서, 상기 제2 방사선의 적어도 일부 고조파는 70nm보다 짧은 파장을 포함하는, 계측 방법.
40. 제24조항 내지 제39조항 중 어느 한 조항에 있어서, 상기 제2 방사선의 적어도 일부 고조파는 50nm보다 짧은 파장을 포함하는, 계측 방법.
41. 제24조항 내지 제40조항 중 어느 한 조항에 있어서, 상기 제1 방사선은 펄스형 레이저 방사선인, 계측 방법.
42. 제41조항에 있어서, 각 펄스의 길이는 1fs 내지 500fs의 범위인, 계측 방법.
43. 제24조항 내지 제42조항 중 어느 한 조항에 있어서, 제1 방사선은 100 nm 내지 3000 nm 범위의 파장을 포함하는, 계측 방법.
44. 리소그래피 프로세스에 의해 기판 상에 형성된 타겟의 측정을 위한 계측 장치로서,
제1 방사선을 제공하도록 작동가능한 방사선 소스;
고체 고차 고조파 생성 매질의 출력 표면으로부터 고차 고조파 생성 프로세스를 통해 제2 방사선을 생성하기 위해 상기 제1 방사선을 받아들이고 제1 방사선에 의해 여기되도록 구성된 적어도 하나의 고체 고차 고조파 생성 매질;
가스 고차 고조파 생성 매질을 방출하기 위한 가스 소스 - 상기 가스 고차 고조파 생성 매질은 상기 제1 방사선 및 상기 제2 방사선을 받아들여 고차 고조파 생성 프로세스를 통해 제3 방사선을 생성하도록 구성되고, 상기 적어도 하나의 고체 고차 고조파 생성 매질은 방사선 소스와 가스 고차 고조파 생성 매질 사이에 위치됨 -; 및
적어도 일부가 상기 타겟에 의해 산란된 상기 제3 방사선을 검출하도록 작동가능한 검출 구성을 포함하는, 계측 장치.
45. 제44조항에 있어서, 상기 가스 고차 고조파 생성 매질은 상기 제1 방사선의 빔의 초점 평면에 또는 그 근처에 위치되는, 계측 장치.
46. 제45조항에 있어서, 상기 가스 고차 고조파 생성 매질은 상기 초점 평면의 3 레일리 범위(Rayleigh range) 내에 위치되는, 계측 장치.
47. 제45조항 또는 제46조항에 있어서, 고체 고차 고조파 생성 매질은 상기 초점 평면 앞에 3 내지 10 레일리 범위에 위치되는, 계측 장치.
48. 제45조항, 제46조항 또는 제47조항에 있어서, 상기 제2 방사선이 상기 초점 평면에 또는 그 근처에 실질적으로 포커싱되도록 작동가능한, 계측 장치.
49. 제44조항 내지 제48조항 중 어느 한 조항에 있어서, 상기 제2 방사선은 2차 고조파 방사선을 포함하는, 계측 장치.
50. 제44조항 내지 제49조항 중 어느 한 조항에 있어서, 상기 제2 방사선은 3차 고조파 방사선을 포함하는, 계측 장치.
51. 제44조항 내지 제50조항 중 어느 한 조항에 있어서, 상기 고체 고차 고조파 생성 매질은, 제1 방사선의 전파 방향으로 고체 고차 고조파 생성 매질의 유효 두께 또는 경로 길이에 대한 제어를 제공하기 위해 틸팅가능하게 장착되는, 계측 장치.
52. 제44조항 내지 제51조항 중 어느 한 조항에 있어서, 상기 고체 고차 고조파 생성 매질은 제1 방사선의 전파 방향에 수직한 방향을 따라 변화하는 두께를 포함하고 측방향으로 병진 이동가능한, 계측 장치.
53. 제44조항 내지 제52조항 중 어느 한 조항에 있어서, 상기 고체 고차 고조파 생성 매질은 반사성 고체 고차 고조파 생성 매질을 포함하는, 계측 장치.
54. 제53조항에 있어서, 상기 반사성 고체 고차 고조파 생성 매질은 별도의 반사 층 및 고차 고조파 생성 층을 포함하는, 계측 장치.
55. 제53조항에 있어서, 상기 반사성 고체 고차 고조파 생성 매질은 반사 층에 통합된 고차 고조파 생성 재료를 갖는 반사성 고차 고조파 생성 층을 포함하는, 계측 장치.
56. 제54조항 또는 제55조항에 있어서, 고차 고조파 생성 층의 두께는 제1 방사선과 제2 방사선의 동기화를 위해 최적화되는, 계측 장치.
57. 제44조항 내지 제56조항 중 어느 한 조항에 있어서, 상기 적어도 하나의 고체 고차 고조파 생성 매질은:
상기 제1 방사선을 받아들이고 2차 고조파 제2 방사선을 생성하기 위한 제1 고체 고차 고조파 생성 매질; 및
적어도 상기 2차 고조파 제2 방사선을 받아들이고, 가스 고차 고조파 생성 매질을 여기시키기 위한 3차 고조파 제2 방사선을 생성하기 위한 제2 고체 고차 고조파 생성 매질을 포함하는, 계측 장치.
58. 제57조항에 있어서, 상기 제1 고체 고차 고조파 생성 매질은 비선형 결정 고체 고차 고조파 생성 매질을 포함하는, 계측 장치.
59. 제57조항 또는 제58조항에 있어서, 상기 제1 고체 고차 고조파 생성 매질 및 제2 고체 고차 고조파 생성 매질은 간섭계 구성 내에 포함되고, 간섭계 구성은:
상기 제1 방사선 및 2차 고조파 제2 방사선이 제1 간섭계 아암으로 지향되고 3차 고조파 제2 방사선이 제2 간섭계 아암으로 지향되도록 상기 3차 고조파 제2 방사선을 제1 방사선 및 2차 고조파 제2 방사선으로부터 분리하도록 작동 가능한 제1 빔 스플리터;
상기 제1 방사선 및 2차 고조파 제2 방사선에 대한 상기 3차 고조파 제2 방사선 사이의 임의의 지연을 보상할 수 있도록 상기 제1 및 제2 간섭계 아암 중 하나에 있는 지연 라인; 및
상기 3차 고조파 제2 방사선을 상기 제1 방사선 및 2차 고조파 제2 방사선과 재결합하기 위한 제2 빔 스플리터를 포함하는, 계측 장치.
60. 제44조항 내지 제59조항 중 어느 한 조항에 있어서, 상기 고체 HHG 재료는 2차 고조파를 생성하기에 적합한 결정 대칭성을 갖는, 계측 장치.
61. 제44조항 내지 제59조항 중 어느 한 조항에 있어서, 상기 고체 고차 고조파 생성 매질은 유전체 격자를 포함하는, 계측 장치.
62. 제44조항 내지 제61조항 중 어느 한 조항에 있어서, 상기 고체 고차 고조파 생성 매질은 상기 제2 방사선의 빔을 성형하도록 구성되는, 구성된 고체 고차 고조파 생성 매질을 포함하는, 계측 장치.
63. 제62조항에 있어서, 구성된 고체 고차 고조파 생성 매질은 액시콘 형상; 및 상기 제1 방사선의 초점과 상기 제2 방사선의 초점이 중첩되도록 상기 출력 표면 상의 동심 원형 홈을 포함하는, 계측 장치.
64. 제62조항에 있어서, 상기 동심 원형 홈은 프레넬(Fresnel) 간격 배열을 포함하는, 계측 장치.
65. 제62조항에 있어서, 상기 동심 원형 홈은 등간격으로 이격되어 있는, 계측 장치.
66. 제62조항에 있어서, 상기 구성된 고체 고차 고조파 생성 매질은, 만곡된 입력 표면 및 만곡된 출력 표면을 갖도록, 그리고 만곡된 입력 표면에서의 제1 방사선의 굴절 및 만곡된 출력 표면에서의 제2 방사선의 굴절은 상기 제2 방사선 상에 실질적으로 플랫-탑(flat-top) 조명 프로파일을 부여하도록 구성되는, 계측 장치.
67. 제44조항 내지 제66조항 중 어느 한 조항에 있어서, 상기 제2 방사선의 적어도 일부 고조파는 100nm보다 짧은 파장을 포함하는, 계측 장치.
68. 제44조항 내지 제66조항 중 어느 한 조항에 있어서, 상기 제2 방사선의 적어도 일부 고조파는 70nm보다 짧은 파장을 포함하는, 계측 장치.
69. 제44조항 내지 제66조항 중 어느 한 조항에 있어서, 상기 제2 방사선의 적어도 일부 고조파는 50nm보다 짧은 파장을 포함하는, 계측 장치.
70. 리소그래피 프로세스에 의해 기판 상에 형성된 타겟을 측정하기 위한 계측 방법으로서,
고체 고차 고조파 생성 매질의 출력 표면으로부터 고차 고조파 생성 프로세스를 통해 제2 방사선을 생성하기 위해 상기 제1 방사선으로 고체 고차 고조파 생성 매질을 여기시키는 단계;
고차 고조파 생성 프로세스를 통해 제3 방사선을 생성하기 위해 상기 제1 방사선 및 상기 제2 방사선으로 가스 고차 고조파 생성 매질을 여기시키는 단계; 및
적어도 일부가 상기 타겟에 의해 산란된 상기 제3 방사선을 검출하는 단계를 포함하는, 계측 방법.
71. 제70조항에 있어서, 가스 고차 고조파 생성 매질 상의 또는 그 근처의 초점 평면에 상기 제1 방사선의 빔을 포커싱하는 단계를 포함하는, 계측 방법.
72. 제71조항에 있어서, 상기 초점 평면은 상기 가스 고차 고조파 생성 매질의 3 레일리 범위 내에 위치되는, 계측 방법.
73. 제71조항 또는 제72조항에 있어서, 고체 고차 고조파 생성 매질은 상기 초점 평면 앞에 3 내지 10 레일리 범위에 위치되는, 계측 방법.
74. 제71조항, 제72조항 또는 제73조항에 있어서, 상기 제2 방사선을 상기 초점 평면에 또는 그 근처에 포커싱하는 단계를 포함하는, 계측 방법.
75. 제70조항 내지 제74조항 중 어느 한 조항에 있어서, 상기 제2 방사선은 2차 고조파 방사선을 포함하는, 계측 방법.
76. 제70조항 내지 제75조항 중 어느 한 조항에 있어서, 상기 제2 방사선은 3차 고조파 방사선을 포함하는, 계측 방법.
77. 제70조항 내지 제76조항 중 어느 한 조항에 있어서, 제1 방사선의 전파 방향으로 고체 고차 고조파 생성 매질의 유효 두께 또는 경로 길이를 제어하도록 상기 고체 고차 고조파 생성 매질을 틸팅시키는 단계를 포함하는, 계측 방법.
78. 제70조항 내지 제77조항 중 어느 한 조항에 있어서, 상기 고체 고차 고조파 생성 매질은 제1 방사선의 전파 방향에 수직한 방향을 따라 변화하는 두께를 포함하고,
제1 방사선의 전파 방향으로 고체 고차 고조파 생성 매질의 유효 두께 또는 경로 길이를 제어하도록 상기 고체 고차 고조파 생성 매질을 측방향으로 병진 이동시키는 단계를 포함하는, 계측 방법.
79. 제70조항 내지 제78조항 중 어느 한 조항에 있어서, 상기 고체 고차 고조파 생성 매질은 반사성 고체 고차 고조파 생성 매질을 포함하는, 계측 방법.
80. 제79조항에 있어서, 상기 반사성 고체 고차 고조파 생성 매질은 별도의 반사 층 및 고차 고조파 생성 층을 포함하는, 계측 방법.
81. 제79조항에 있어서, 상기 반사성 고체 고차 고조파 생성 매질은 반사 층에 통합된 고차 고조파 생성 재료를 갖는 반사성 고차 고조파 생성 층을 포함하는, 계측 방법.
82. 제80조항 또는 제81조항에 있어서, 제1 방사선과 제2 방사선의 동기화를 위해 고차 고조파 생성 층의 두께를 최적화하는 단계를 포함하는, 계측 방법.
83. 제70조항 내지 제82조항 중 어느 한 조항에 있어서, 상기 적어도 하나의 고체 고차 고조파 생성 매질은 제1 고체 고차 고조파 생성 매질 및 제2 고체 고차 고조파 생성 매질을 포함하고, 상기 방법은:
2차 고조파 제2 방사선을 생성하기 위해 상기 제1 방사선으로 제1 고체 고차 고조파 생성 매질을 여기시키는 단계;
3차 고조파 제2 방사선을 생성하기 위해 적어도 상기 2차 고조파 제2 방사선으로 제2 고체 고차 고조파 생성 매질을 여기시키는 단계; 및
적어도 제1 방사선 및 3차 고조파 제2 방사선으로 가스 고차 고조파 생성 매질을 여기시키는 단계를 포함하는, 계측 방법.
84. 제83조항에 있어서, 상기 제1 고체 고차 고조파 생성 매질은 비선형 결정 고체 고차 고조파 생성 매질을 포함하는, 계측 방법.
85. 제83조항 또는 제84조항에 있어서, 상기 제1 고체 고차 고조파 생성 매질 및 제2 고체 고차 고조파 생성 매질은 간섭계 구성 내에 포함되고, 방법은:
상기 제1 방사선 및 2차 고조파 제2 방사선이 제1 간섭계 아암으로 지향되고 3차 고조파 제2 방사선이 제2 간섭계 아암으로 지향되도록 상기 3차 고조파 제2 방사선을 제1 방사선 및 2차 고조파 제2 방사선으로부터 분리하는 단계;
상기 제1 방사선 및 2차 고조파 제2 방사선에 대한 상기 3차 고조파 제2 방사선 사이의 임의의 지연을 보상하도록 상기 제1 및 제2 간섭계 아암 중 하나에 지연을 부과하는 단계; 및
상기 3차 고조파 제2 방사선을 상기 제1 방사선 및 2차 고조파 제2 방사선과 재결합하는 단계를 포함하는, 계측 방법.
86. 제70조항 내지 제86조항 중 어느 한 조항에 있어서, 상기 고체 HHG 재료는 2차 고조파를 생성하기에 적합한 결정 대칭성을 갖는, 계측 방법.
87. 제70조항 내지 제86조항 중 어느 한 조항에 있어서, 상기 고체 고차 고조파 생성 매질은 유전체 격자를 포함하는, 계측 방법.
88. 제70조항 내지 제87조항 중 어느 한 조항에 있어서, 상기 고체 고차 고조파 생성 매질을 이용하여 상기 제2 방사선의 빔을 성형하는 단계를 포함하는, 계측 방법.
89. 제88조항에 있어서, 구성된 고체 고차 고조파 생성 매질은 액시콘 형상; 및 상기 제1 방사선의 초점과 상기 제2 방사선의 초점이 중첩되도록 상기 출력 표면 상의 동심 원형 홈을 포함하는, 계측 방법.
90. 제88조항에 있어서, 상기 동심 원형 홈은 프레넬(Fresnel) 간격 배열을 포함하는, 계측 방법.
91. 제88조항에 있어서, 상기 동심 원형 홈은 등간격으로 이격되어 있는, 계측 방법.
92. 제88조항에 있어서, 상기 고체 고차 고조파 생성 매질을 통해 상기 제2 방사선 상에 실질적으로 플랫-탑(flat-top) 조명 프로파일을 부여하는 단계를 포함하되, 고차 고조파 생성 매질은, 만곡된 입력 표면 및 만곡된 출력 표면을 갖도록, 그리고 만곡된 입력 표면에서의 제1 방사선의 굴절 및 만곡된 출력 표면에서의 제2 방사선의 굴절은 상기 제2 방사선 상에 실질적으로 플랫-탑(flat-top) 조명 프로파일을 부여하도록 구성되는, 계측 방법.
93. 제70조항 내지 제92조항 중 어느 한 조항에 있어서, 상기 제2 방사선의 적어도 일부 고조파는 100nm보다 짧은 파장을 포함하는, 계측 방법.
94. 제70조항 내지 제92조항 중 어느 한 조항에 있어서, 상기 제2 방사선의 적어도 일부 고조파는 70nm보다 짧은 파장을 포함하는, 계측 방법.
95. 제70조항 내지 제92조항 중 어느 한 조항에 있어서, 상기 제2 방사선의 적어도 일부 고조파는 50nm보다 짧은 파장을 포함하는, 계측 방법.
96. 구성된 고체 고차 고조파 생성 매질로서, 제1 방사선을 받아들이도록 작동가능한 입력 표면; 및 제1 방사선에 의한 여기로부터 발생하는 고차 고조파 제2 방사선을 방출하도록 작동가능한 출력 표면을 포함하고, 구성된 고체 고차 고조파 생성 매질은 제2 방사선의 빔을 성형하고 및/또는 제1 방사선과 제2 방사선을 분리하도록 구성되는, 구성된 고체 고차 고조파 생성 매질.
97. 제96조항에 있어서, 상기 구성된 고체 고차 고조파 생성 매질은 상기 구성된 고체 고차 고조파 생성 매질의 광축으로부터 멀어지는 방향으로 상기 제1 방사선을 굴절시키도록 작동가능한 형상을 갖는, 구성된 고체 고차 고조파 생성 매질.
98. 제97조항에 있어서, 상기 구성된 고체 고차 고조파 생성 매질은 실질적으로 광축을 따라 제2 방사선을 회절시키도록 작동가능한 상기 출력 표면 상의 보상 홈을 포함하는, 구성된 고체 고차 고조파 생성 매질.
99. 제96조항 또는 제97조항에 있어서, 구성된 고체 고차 고조파 생성 매질은 제2 방사선 상에 위상 변조를 부과하도록 작동가능한 출력 표면 상의 격자 구조를 포함하는, 구성된 고체 고차 고조파 생성 매질.
100. 제96조항 또는 제97조항에 있어서, 입력 표면 및 출력 표면 양자 모두 만곡되고 입력 표면에서의 제1 방사선의 굴절 및 출력 표면에서의 제2 방사선의 굴절이 제2 방사선 상에 실질적으로 플랫-탑(flat-top) 조명 프로파일을 부여하도록 구성되는, 구성된 고체 고차 고조파 생성 매질.
101. 제96조항 또는 제97조항에 있어서, 제1 방사선 상에 환형 프로파일을 부여하도록 작동가능한 액시콘 형상; 및 제2 방사선이 상기 구성된 고체 고차 고조파 생성 매질의 상기 광축에 포커싱되고 및/또는 광축을 따라 실질적으로 전파되도록 출력 표면 상의 동심 원형 홈을 포함하는, 구성된 고체 고차 고조파 생성 매질.
102. 제96조항 내지 제101조항 중 어느 한 조항에 따른 구성된 고체 고차 고조파 생성 매질을 포함하는 고차 고조파 생성 소스.
103. 제102조항에 따른 고차 고조차 생성 소스를 포함하는 계측 장치.
104. 제96조항 내지 제101조항 중 어느 한 조항에 따른 구성된 고체 고차 고조파 생성 매질을 포함하는 계측 장치.
105. 제102조항에 따른 고차 고조파 생성 소스를 포함하는 리소그래피 셀.
106. 제104조항에 따른 계측 장치를 포함하는 리소그래피 셀.
본 명세서에서는 IC의 제조에 리소그래피 장치를 사용하는 것에 대해 특별히 언급할 수 있지만, 여기에 설명된 리소그래피 장치는 다른 응용 분야를 가질 수 있음을 이해해야 할 것이다. 가능한 다른 응용 분야로는, 통합형 광학 시스템, 자기 도메인 메모리를 위한 안내 및 검출 패턴, 평판 디스플레이, 액정 디스플레이(LCD) 및 박막 자기 헤드 등의 제조가 있다.
본 명세서에서는 리소그래피 장치와 관련하여 실시예를 구체적으로 언급할 수 있지만, 실시예는 다른 장치에서도 사용될 수 있다. 실시예는 마스크 검사 장치, 계측 장치, 또는 웨이퍼(또는 기타 다른 기판) 또는 마스크(또는 기타 다른 패터닝 디바이스)와 같은 대상물을 측정 또는 처리하는 임의의 장치의 일부를 형성할 수 있다. 이들 장치는 일반적으로 리소그래피 툴이라 지칭될 수 있다. 이러한 리소그래피 툴은 진공 조건 또는 주변(비-진공) 조건을 이용할 수 있다.
본 명세서에서는 검사 또는 계측 장치와 관련하여 실시예를 구체적으로 언급할 수 있지만, 실시예는 다른 장치에서도 사용될 수 있다. 실시예는 마스크 검사 장치, 리소그래피 장치, 또는 웨이퍼(또는 기타 다른 기판) 또는 마스크(또는 기타 다른 패터닝 디바이스)와 같은 대상물을 측정 또는 처리하는 임의의 장치의 일부를 형성할 수 있다. "계측 장치"(또는 "검사 장치")라는 용어는 검사 장치 또는 검사 시스템(또는 계측 장치 또는 계측 시스템)을 지칭할 수도 있다. 예를 들어, 일 실시예를 포함하는 검사 장치는 기판의 결함 또는 기판 상의 구조체의 결함을 검출하는 데에 사용될 수 있다. 이러한 실시예에서, 기판 상의 구조체의 관심 특성은 구조체 내의 결함, 구조체의 특정 부분의 부재, 또는 기판 상의 원치 않는 구조체의 존재에 관한 것일 수 있다.
광학 리소그래피의 맥락에서 실시예를 이용하는 것에 대해 특정하게 언급하였지만, 본 발명은 문맥이 허용하는 한 광학 리소그래피로 제한되지 않으며 예를 들어 임프린트 리소그래피 등의 다른 응용 분야에서도 이용될 수 있음을 이해할 것이다.
앞서 기술한 타겟 또는 타겟 구조체(보다 일반적으로는 기판 상의 구조체)는 측정의 목적을 위해 특별히 설계되고 형성된 계측 타겟 구조체이지만, 이와 다른 실시예에서는 기판 상에 형성된 디바이스의 기능적 부분에 해당하는 하나 이상의 구조체 상에서 관심 속성이 측정될 수 있다. 많은 디바이스가 규칙적이고 격자 모양인 구조를 가지고 있다. 본 명세서에서 사용되는 구조체, 타겟 격자, 타겟 구조체라는 용어는 수행되는 측정을 위해 특별히 구조체가 제공될 것을 요하지 않는다. 또한, 계측 타겟의 피치는 스캐터로미터의 광학 시스템의 분해능 한계에 가깝거나 더 작을 수도 있지만, 타겟부(C)에서 리소그래피 프로세스에 의해 만들어진 전형적인 비-타겟 구조체, 선택적으로 제품 구조체의 치수보다 훨씬 클 수 있다. 실제로, 타겟 구조체 내의 오버레이 격자의 라인 및/또는 공간은, 비-타겟 구조체와 치수가 유사한 더 작은 구조체를 포함하도록 만들어질 수 있다.
이상에서 특정 실시예들이 설명되었지만, 본 발명은 설명된 것과 다르게 실시될 수도 있다는 것을 이해할 수 있을 것이다. 앞선 설명은 예시적인 것으로 의도되며, 제한적인 것으로 의도되지 않는다. 따라서, 이하 제시되는 청구 범위로부터 벗어남이 없이, 기술된 본 발명에 대해 수정이 이루어질 수 있음은 당업자에게 명백할 것이다.
"계측 장치/툴/시스템" 또는 "검사 장치/툴/시스템"을 구체적으로 언급하고 있지만, 이러한 용어는 동일하거나 유사한 타입의 툴, 장치 또는 시스템을 지칭할 수 있다. 예를 들어, 본 발명의 일 실시예를 포함하는 검사 또는 계측 장치는 기판 또는 웨이퍼 상의 구조체의 특성을 결정하는 데에 사용될 수 있다. 예를 들어, 본 발명의 일 실시예를 포함하는 검사 장치 또는 계측 장치는 기판의 결함 또는 기판 또는 웨이퍼 상의 구조체의 결함을 검출하는 데에 사용될 수 있다. 이러한 실시예에서, 기판 상의 구조체의 관심 특성은 구조체 내의 결함, 구조체의 특정 부분의 부재, 또는 기판 또는 웨이퍼 상의 원치 않는 구조체의 존재에 관한 것일 수 있다.
SXR 및 EUV 전자기 방서선에 대해 특별히 언급하고 있지만, 문맥이 허용하는 경우 본 발명은 전파, 마이크로파, 적외선, (가시)광, 자외선, X-선 및 감마선을 포함하는 모든 전자기 방사선과 함께 실시될 수 있음을 이해할 것이다. 광학적 계측 방법의 대안으로서, 계측 측정을 위해, 예를 들어 0.01nm 미만 또는 0.01nm 내지 10nm, 또는 선택적으로 0.01nm 내지 0.5nm, 또는 선택적으로 0.01nm 내지 0.1nm의 파장 범위의 방사선과 같은 X-선 선택적으로 경질 X-선을 사용하는 것이 고려된 바 있다.
특정 실시예에 관해 위에서 설명하였지만, 한 실시예의 특징 중 하나 이상이 다른 실시예에도 존재할 수 있고 둘 이상의 상이한 실시예의 특징들이 조합될 수도 있음을 이해할 것이다.

Claims (15)

  1. 리소그래피 프로세스에 의해 기판 상에 형성된 타겟의 측정을 위한 계측 장치로서,
    제1 방사선을 제공하도록 작동가능한 방사선 소스;
    고체 고차 고조파 생성 매질의 출력 표면으로부터 고차 고조파 생성 프로세스를 통해 제2 방사선을 생성하기 위해 상기 제1 방사선을 받아들이고 제1 방사선에 의해 여기되도록 구성된 적어도 하나의 고체 고차 고조파 생성 매질;
    가스 고차 고조파 생성 매질을 방출하기 위한 가스 소스 - 상기 가스 고차 고조파 생성 매질은 상기 제1 방사선 및 상기 제2 방사선을 받아들여 고차 고조파 생성 프로세스를 통해 제3 방사선을 생성하도록 구성되고, 상기 적어도 하나의 고체 고차 고조파 생성 매질은 방사선 소스와 가스 고차 고조파 생성 매질 사이에 위치됨 -; 및
    적어도 일부가 상기 타겟에 의해 산란된 상기 제3 방사선을 검출하도록 작동가능한 검출 구성을 포함하는, 계측 장치.
  2. 제1항에 있어서,
    상기 가스 고차 고조파 생성 매질은 상기 제1 방사선의 빔의 초점 평면에 또는 그 근처에 위치되는, 계측 장치.
  3. 제2항에 있어서,
    상기 제2 방사선이 상기 초점 평면에 또는 그 근처에 실질적으로 포커싱되도록 작동가능한, 계측 장치.
  4. 제1항 내지 제3항 중 어느 한 항에 있어서,
    상기 제2 방사선은 2차 또는 3차 고조파 방사선을 포함하는, 계측 장치.
  5. 제1항 내지 제4항 중 어느 한 항에 있어서,
    상기 고체 고차 고조파 생성 매질은, 제1 방사선의 전파 방향으로 고체 고차 고조파 생성 매질의 유효 두께 또는 경로 길이에 대한 제어를 제공하기 위해 틸팅가능하게 장착되는, 계측 장치.
  6. 제1항 내지 제5항 중 어느 한 항에 있어서,
    상기 고체 고차 고조파 생성 매질은 제1 방사선의 전파 방향에 수직한 방향을 따라 변화하는 두께를 포함하고 측방향으로 병진 이동가능한, 계측 장치.
  7. 제1항 내지 제6항 중 어느 한 항에 있어서,
    상기 고체 고차 고조파 생성 매질은 반사성 고체 고차 고조파 생성 매질을 포함하고, 선택적으로 상기 반사성 고체 고차 고조파 생성 매질은 별도의 반사 층 및 고차 고조파 생성 층을 포함하는, 계측 장치.
  8. 제1항 내지 제7항 중 어느 한 항에 있어서,
    상기 적어도 하나의 고체 고차 고조파 생성 매질은:
    상기 제1 방사선을 받아들이고 2차 고조파 제2 방사선을 생성하기 위한 제1 고체 고차 고조파 생성 매질; 및
    적어도 상기 2차 고조파 제2 방사선을 받아들이고, 가스 고차 고조파 생성 매질을 여기시키기 위한 3차 고조파 제2 방사선을 생성하기 위한 제2 고체 고차 고조파 생성 매질을 포함하는, 계측 장치.
  9. 제1항 내지 제8항 중 어느 한 항에 있어서,
    상기 고체 고차 고조파 생성 매질은 유전체 격자를 포함하는, 계측 장치.
  10. 제1항 내지 제9항 중 어느 한 항에 있어서,
    상기 고체 고차 고조파 생성 매질은 상기 제2 방사선의 빔을 성형하도록 구성되는, 구성된 고체 고차 고조파 생성 매질을 포함하는, 계측 장치.
  11. 리소그래피 프로세스에 의해 기판 상에 형성된 타겟을 측정하기 위한 계측 방법으로서,
    고체 고차 고조파 생성 매질의 출력 표면으로부터 고차 고조파 생성 프로세스를 통해 제2 방사선을 생성하기 위해 제1 방사선으로 고체 고차 고조파 생성 매질을 여기시키는 단계;
    고차 고조파 생성 프로세스를 통해 제3 방사선을 생성하기 위해 상기 제1 방사선 및 상기 제2 방사선으로 가스 고차 고조파 생성 매질을 여기시키는 단계; 및
    적어도 일부가 상기 타겟에 의해 산란된 상기 제3 방사선을 검출하는 단계를 포함하는, 계측 방법.
  12. 제11항에 있어서,
    가스 고차 고조파 생성 매질 상의 또는 그 근처의 초점 평면에 상기 제1 방사선의 빔을 포커싱하는 단계를 포함하는, 계측 방법.
  13. 제11항 또는 제12항에 있어서,
    상기 제2 방사선을 상기 초점 평면에 또는 그 근처에 포커싱하는 단계를 포함하는, 계측 방법.
  14. 제11항 내지 제13항 중 어느 한 항에 있어서,
    제1 방사선의 전파 방향으로 고체 고차 고조파 생성 매질의 유효 두께 또는 경로 길이를 제어하도록 상기 고체 고차 고조파 생성 매질을 틸팅시키는 단계를 포함하는, 계측 방법.
  15. 제11항 내지 제14항 중 어느 한 항에 있어서,
    상기 고체 고차 고조파 생성 매질을 이용하여 상기 제2 방사선의 빔을 성형하는 단계를 포함하는, 계측 방법.
KR1020237017252A 2020-11-30 2021-10-28 고차 고조파 생성에 기반한 계측 장치 및 관련 방법 KR20230110738A (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
EP20210697.7 2020-11-30
EP20210697.7A EP4006641A1 (en) 2020-11-30 2020-11-30 Metrology apparatus based on high harmonic generation and associated method
EP21165644 2021-03-29
EP21165644.2 2021-03-29
PCT/EP2021/079956 WO2022111935A1 (en) 2020-11-30 2021-10-28 Metrology apparatus based on high harmonic generation and associated method

Publications (1)

Publication Number Publication Date
KR20230110738A true KR20230110738A (ko) 2023-07-25

Family

ID=78483309

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020237017252A KR20230110738A (ko) 2020-11-30 2021-10-28 고차 고조파 생성에 기반한 계측 장치 및 관련 방법

Country Status (5)

Country Link
US (1) US20240004312A1 (ko)
EP (1) EP4252071A1 (ko)
KR (1) KR20230110738A (ko)
TW (1) TW202236026A (ko)
WO (1) WO2022111935A1 (ko)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP4321933A1 (en) * 2022-08-09 2024-02-14 ASML Netherlands B.V. A radiation source

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
SG121818A1 (en) 2002-11-12 2006-05-26 Asml Netherlands Bv Lithographic apparatus and device manufacturing method
US7791727B2 (en) 2004-08-16 2010-09-07 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US7481579B2 (en) 2006-03-27 2009-01-27 Jordan Valley Applied Radiation Ltd. Overlay metrology using X-rays
NL1036245A1 (nl) 2007-12-17 2009-06-18 Asml Netherlands Bv Diffraction based overlay metrology tool and method of diffraction based overlay metrology.
NL1036734A1 (nl) 2008-04-09 2009-10-12 Asml Netherlands Bv A method of assessing a model, an inspection apparatus and a lithographic apparatus.
NL1036857A1 (nl) 2008-04-21 2009-10-22 Asml Netherlands Bv Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method.
KR101295203B1 (ko) 2008-10-06 2013-08-09 에이에스엠엘 네델란즈 비.브이. 2차원 타겟을 이용한 리소그래피 포커스 및 조사량 측정
CN101515105B (zh) 2009-03-26 2010-07-21 上海交通大学 基于超声波调制的准相位匹配高次谐波装置
JP5545782B2 (ja) 2009-07-31 2014-07-09 エーエスエムエル ネザーランズ ビー.ブイ. リソグラフィ装置の焦点測定方法、散乱計、リソグラフィシステム、およびリソグラフィセル
NL2007176A (en) 2010-08-18 2012-02-21 Asml Netherlands Bv Substrate for use in metrology, metrology method and device manufacturing method.
US10801975B2 (en) 2012-05-08 2020-10-13 Kla-Tencor Corporation Metrology tool with combined X-ray and optical scatterometers
US10013518B2 (en) 2012-07-10 2018-07-03 Kla-Tencor Corporation Model building and analysis engine for combined X-ray and optical metrology
KR102109059B1 (ko) 2014-11-26 2020-05-12 에이에스엠엘 네델란즈 비.브이. 계측 방법, 컴퓨터 제품 및 시스템
CN107430352B (zh) 2015-03-25 2020-01-21 Asml荷兰有限公司 量测方法、量测设备和器件制造方法
CN107924137B (zh) 2015-06-17 2021-03-05 Asml荷兰有限公司 基于配置方案间的一致性的配置方案选择
NL2017943A (en) 2015-12-23 2017-06-28 Asml Netherlands Bv Metrology methods, metrology apparatus and device manufacturing method
US20190049861A1 (en) * 2017-08-11 2019-02-14 Asml Netherlands B.V. Methods and Apparatus for Determining the Position of a Spot of Radiation, Inspection Apparatus, Device Manufacturing Method
WO2020126248A1 (en) 2018-12-21 2020-06-25 Asml Netherlands B.V. Methods and apparatus for metrology

Also Published As

Publication number Publication date
TW202236026A (zh) 2022-09-16
US20240004312A1 (en) 2024-01-04
WO2022111935A1 (en) 2022-06-02
EP4252071A1 (en) 2023-10-04

Similar Documents

Publication Publication Date Title
KR102507137B1 (ko) 검사 장치용 조명 소스, 검사 장치 및 검사 방법
KR20210044289A (ko) 광학 시스템, 계측 장치 및 관련 방법
KR20230041761A (ko) 노광된 패턴을 측정하기 위한 계측 방법 및 관련 계측 장치
US20240004312A1 (en) Metrology apparatus based on high harmonic generation and associated method
NL2024462A (en) An illumination source and associated metrology apparatus
KR20220035963A (ko) 광대역 방사선을 시준하기 위한 어셈블리
EP4006641A1 (en) Metrology apparatus based on high harmonic generation and associated method
EP4321933A1 (en) A radiation source
US20240003809A1 (en) Metrology apparatus and metrology methods based on high harmonic generation from a diffractive structure
CN116670577A (zh) 基于高次谐波产生的量测设备及相关方法
TWI814356B (zh) 光學總成、輻射源、用於光學元件之清潔方法、及相關的非暫時性電腦程式產品
EP4250010A1 (en) Apparatus and methods for filtering measurement radiation
TWI755098B (zh) 照明源及相關聯度量衡設備
EP4303655A1 (en) A membrane and associated method and apparatus
KR20240007276A (ko) 조명 소스 및 연관된 방법, 장치
EP3839621A1 (en) An illumination source and associated metrology apparatus
KR20230146536A (ko) 원거리 필드에서 방사선을 분리하기 위한 어셈블리
WO2023165783A1 (en) Apparatus and methods for filtering measurement radiation
TW202411772A (zh) 薄膜及相關方法及設備
CN117501175A (zh) 照射源和相关联的方法设备