TWI814356B - 光學總成、輻射源、用於光學元件之清潔方法、及相關的非暫時性電腦程式產品 - Google Patents

光學總成、輻射源、用於光學元件之清潔方法、及相關的非暫時性電腦程式產品 Download PDF

Info

Publication number
TWI814356B
TWI814356B TW111115347A TW111115347A TWI814356B TW I814356 B TWI814356 B TW I814356B TW 111115347 A TW111115347 A TW 111115347A TW 111115347 A TW111115347 A TW 111115347A TW I814356 B TWI814356 B TW I814356B
Authority
TW
Taiwan
Prior art keywords
radiation
gas
medium
optical assembly
optionally
Prior art date
Application number
TW111115347A
Other languages
English (en)
Other versions
TW202309632A (zh
Inventor
比特斯 威爾赫瑪斯 史莫倫伯格
史蒂芬 愛德華
史喬德 尼可拉斯 蘭伯特 唐德斯
安卓那斯 裘漢斯 漢德利克斯 謝萊肯思
大衛 奧德懷爾
安得列 倪祺佩洛
弗瑞斯 高斯 查爾斯 德
Original Assignee
荷蘭商Asml荷蘭公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from EP21204494.5A external-priority patent/EP4170421A1/en
Application filed by 荷蘭商Asml荷蘭公司 filed Critical 荷蘭商Asml荷蘭公司
Publication of TW202309632A publication Critical patent/TW202309632A/zh
Application granted granted Critical
Publication of TWI814356B publication Critical patent/TWI814356B/zh

Links

Classifications

    • GPHYSICS
    • G02OPTICS
    • G02FOPTICAL DEVICES OR ARRANGEMENTS FOR THE CONTROL OF LIGHT BY MODIFICATION OF THE OPTICAL PROPERTIES OF THE MEDIA OF THE ELEMENTS INVOLVED THEREIN; NON-LINEAR OPTICS; FREQUENCY-CHANGING OF LIGHT; OPTICAL LOGIC ELEMENTS; OPTICAL ANALOGUE/DIGITAL CONVERTERS
    • G02F1/00Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics
    • G02F1/35Non-linear optics
    • G02F1/3501Constructional details or arrangements of non-linear optical devices, e.g. shape of non-linear crystals
    • G02F1/3505Coatings; Housings; Supports
    • GPHYSICS
    • G02OPTICS
    • G02FOPTICAL DEVICES OR ARRANGEMENTS FOR THE CONTROL OF LIGHT BY MODIFICATION OF THE OPTICAL PROPERTIES OF THE MEDIA OF THE ELEMENTS INVOLVED THEREIN; NON-LINEAR OPTICS; FREQUENCY-CHANGING OF LIGHT; OPTICAL LOGIC ELEMENTS; OPTICAL ANALOGUE/DIGITAL CONVERTERS
    • G02F1/00Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics
    • G02F1/35Non-linear optics
    • G02F1/3501Constructional details or arrangements of non-linear optical devices, e.g. shape of non-linear crystals
    • GPHYSICS
    • G02OPTICS
    • G02FOPTICAL DEVICES OR ARRANGEMENTS FOR THE CONTROL OF LIGHT BY MODIFICATION OF THE OPTICAL PROPERTIES OF THE MEDIA OF THE ELEMENTS INVOLVED THEREIN; NON-LINEAR OPTICS; FREQUENCY-CHANGING OF LIGHT; OPTICAL LOGIC ELEMENTS; OPTICAL ANALOGUE/DIGITAL CONVERTERS
    • G02F1/00Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics
    • G02F1/35Non-linear optics
    • G02F1/353Frequency conversion, i.e. wherein a light beam is generated with frequency components different from those of the incident light beams
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70008Production of exposure light, i.e. light sources
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70908Hygiene, e.g. preventing apparatus pollution, mitigating effect of pollution or removing pollutants from apparatus
    • G03F7/70925Cleaning, i.e. actively freeing apparatus from pollutants, e.g. using plasma cleaning

Landscapes

  • Physics & Mathematics (AREA)
  • Nonlinear Science (AREA)
  • General Physics & Mathematics (AREA)
  • Optics & Photonics (AREA)
  • Health & Medical Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Atmospheric Sciences (AREA)
  • Environmental & Geological Engineering (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Cleaning In General (AREA)
  • Led Devices (AREA)

Abstract

本發明提供一種總成,其包含經組態用於置放一介質以接收一第一輻射以用於產生一第二輻射的一空間。在操作中,該第二輻射在該介質之後與該第一輻射同軸地傳播。該總成進一步包含在該介質之後的用於運用一表面區域透射或反射該第一輻射之一光學元件。該總成經組態以使得在操作中一清潔氣體與該表面區域接觸。一反應性介質由該第二輻射自該清潔氣體之至少一部分產生,以用於自該表面區域移除一污染物。

Description

光學總成、輻射源、用於光學元件之清潔方法、及相關的非暫時性電腦程式產品
本發明係關於清潔方法及相關聯照明源度量衡設備。
微影設備為經建構以將所要之圖案施加至基板上之機器。微影設備可用於(例如)積體電路(IC)之製造中。微影設備可例如將圖案化裝置(例如光罩)處之圖案(亦常常稱為「設計佈局」或「設計」)投影至提供於基板(例如晶圓)上之輻射敏感材料(抗蝕劑)層上。
為了將圖案投影於基板上,微影設備可使用電磁輻射。此輻射之波長判定可形成於基板上之特徵的最小大小。當前在使用中之典型波長為365nm(i線)、248nm、193nm及13.5nm。相比於使用例如具有193nm之波長之輻射的微影設備,使用具有在4nm至20nm之範圍內之波長(例如6.7nm或13.5nm)之極紫外線(EUV)輻射的微影設備可用於在基板上形成較小特徵。
低k1微影可用於處理尺寸小於微影設備之經典解析度極限的特徵。在此程序中,可將解析度公式表達為CD=k1×λ/NA,其中λ為所使用輻射之波長,NA為微影設備中之投影光學件之數值孔徑,CD為「臨界尺寸」(通常為經印刷之最小特徵大小,但在此情況下為半間距)且k1為 經驗解析度因數。一般而言,k1愈小,則在基板上再生類似於由電路設計者規劃之形狀及尺寸以便達成特定電功能性及效能的圖案變得愈困難。為了克服此等困難,可將複雜微調步驟應用於微影投影設備及/或設計佈局。此等步驟包括(例如)但不限於NA之最佳化、定製照明方案、使用相移圖案化裝置、諸如設計佈局中之光學近接校正(OPC,有時亦稱為「光學及程序校正」)之設計佈局的各種最佳化,或通常經定義為「解析度增強技術」(RET)之其他方法。或者,用於控制微影設備之穩定性之嚴格控制迴路可用以改良在低k1下之圖案之再生。
在微影程序中,需要頻繁地對所產生結構進行量測(例如)以用於程序控制及驗證。用於進行此等量測之各種工具為吾人所知,包括常常用以量測臨界尺寸(CD)之掃描電子顯微鏡,及用以量測疊對(裝置中兩個層之對準準確度)之特殊化工具。近來,已開發供微影領域中使用之各種形式之散射計。
已知散射計之實例通常依賴於專用度量衡目標之佈建。舉例而言,方法可需要呈簡單光柵之形式的目標,該光柵足夠大以使得量測光束產生小於光柵之光點(亦即,光柵填充不足)。在所謂重建構方法中,可藉由模擬經散射輻射與目標結構之數學模型的互動來計算光柵之性質。調整該模型之參數直至經模擬互動產生類似於自真實目標觀測之繞射圖案的繞射圖案為止。
除了藉由重建構進行特徵形狀之量測以外,亦可使用此類設備來量測基於繞射之疊對,如已公開專利申請案US2006066855A1中所描述。使用繞射階之暗場成像進行的基於繞射之疊對度量衡使得能夠對較小目標進行疊對量測。此等目標可小於照明光點且可由晶圓上之產品結構 圍繞。可在諸如US2011102753A1及US20120044470A之眾多公開專利申請案中發現暗場成像度量衡之實例。可使用複合光柵目標而在一個影像中量測多個光柵。已知散射計趨向於使用在可見或近紅外線(IR)波範圍內之光,此要求光柵之間距比性質實際上受到關注之實際產品結構粗略得多。可使用具有短得多之波長之深紫外線(DUV)、極紫外線(EUV)或X射線輻射來界定此類產品特徵。令人遺憾地,此等波長通常不可用於或不能用於度量衡。
另一方面,現代產品結構之尺寸如此小使得其無法藉由光學度量衡技術而成像。小特徵包括(例如)藉由多重圖案化程序及/或間距倍增形成之特徵。因此,用於大容量度量衡之目標通常使用比疊對誤差或臨界尺寸為所關注性質之產品大得多的特徵。量測結果僅與真實產品結構之尺寸間接地相關,且可能不準確,此係因為度量衡目標不遭受微影設備中之光學投影下的相同失真,及/或製造程序之其他步驟中之不同處理。雖然掃描電子顯微法(SEM)能夠直接地解析此等現代產品結構,但SEM比光學量測耗時多得多。此外,電子不能夠穿透厚程序層,此使得電子較不適合於度量衡應用。諸如使用接觸墊量測電性質之其他技術亦為吾人所知,但其僅提供真實產品結構之間接跡象。
藉由減低在度量衡期間所使用之輻射之波長,有可能解析較小結構,以增加對結構之結構變化之敏感度及/或進一步穿透至產品結構中。產生適當高頻率輻射(例如硬X射線、軟X射線及/或EUV輻射)之一種此類方法可使用泵輻射(例如紅外線IR輻射)以激勵產生介質,藉此產生發射輻射,視情況包含高頻率輻射之高階諧波產生。
在本發明的第一態樣中,提供一種總成,該總成包含經組態用於置放一介質以接收一第一輻射以用於產生第二輻射的空間。在操作中,該第二輻射在該介質之後與該第一輻射同軸地傳播。該總成進一步包含在該介質之後的用於運用一表面區域透射或反射該第一輻射之一光學元件。該總成經組態以使得在操作中一清潔氣體與該表面區域接觸。一反應性介質係由該第二輻射自該清潔氣體之至少一部分產生以用於自該表面區域移除一污染物。
在本發明的第二態樣中,提供一種包含如上文所描述之總成的輻射源。
在本發明的第三態樣中,提供一種包含如上文所描述之輻射源的微影設備。
在本發明的第四態樣中,提供一種包含如上文所描述之輻射源的度量衡設備。
在本發明的第五態樣中,提供一種包含如上文所描述之輻射源的微影單元。
在本發明之第六態樣中,提供一種包含以下各者之方法:輸入一第一輻射至一介質中以產生一第二輻射,使得該第二輻射在該介質之後與該第一輻射同軸地傳播且入射至在該介質之後的光學元件之一表面區域上,其中該光學元件之該表面區域透射或反射該第一輻射;提供與該表面區域接觸的一清潔氣體;及由該第二輻射自該清潔氣體的至少一部分產生一反應性介質以用於自該表面區域移除一污染物。
在本發明的第七態樣中,提供一種其中包含機器可讀指令之非暫時性電腦程式產品,該等指令在由電腦系統執行時經組態以使得該 電腦系統至少使得執行如上文所描述之方法。
2:輻射投影儀
4:光譜儀偵測器
5:輻射
6:光譜
8:剖面
10:輻射
11:透射輻射
302:度量衡設備
310:照明源
312:照明系統
314:參考偵測器
315:信號
316:基板支撐件
318:偵測系統/偵測器
320:度量衡處理單元(MPU)/處理器/度量衡處理器
330:泵輻射源
332:氣體遞送系統
334:氣體供應件
336:電源
340:第一泵輻射
342:經濾光光束/發射輻射
344:濾光裝置
350:檢測腔室
352:真空泵
356:經聚焦光束
360:反射輻射
372:位置控制器
374:感測器
397:繞射輻射/繞射光
398:偵測系統
399:信號
600:照明源
601:腔室
603:照明系統
605:輻射輸入
607:輻射輸出
609:氣體噴嘴
611:泵輻射
613:發射輻射
615:氣流
617:開口
800:多程單元/MPC
801:經加壓腔室
802:MPC氣體
804:輻射輸出
806:輻射光束輸入
808:光學件
820:反射鏡面
822:反射鏡面
830:輸入輻射光束
900:諧波產生總成
901:第一晶體
902:輸入輻射光束
903:第二晶體
905:第三晶體
907:第四晶體
912:第二諧波輻射
924:第三諧波輻射
1000:諧波產生總成
1001:第一晶體
1002:輸入輻射光束
1003:第二晶體
1012:第二諧波輻射
1024:第四諧波輻射
1100:總成
1104:氣體捕獲器
1109:氣體噴嘴
1115:氣流
1120:反射鏡面
1122:反射鏡面
1130:輸入輻射光束
B:輻射光束
BD:光束遞送系統
BK:烘烤板
C:目標部分
CD:臨界尺寸
CH:冷卻板
CL:電腦系統
IF:位置感測器
IL:照明系統
I/O1:輸入/輸出埠
I/O2:輸入/輸出埠
LA:微影設備
LACU:微影控制單元
LB:裝載匣
LC:微影單元
M1:光罩對準標記
M2:光罩對準標記
MA:圖案化裝置
MT:度量衡工具/度量衡設備/散射計
OV:疊對
P1:基板對準標記
P2:基板對準標記
PM:第一定位器
PS:投影系統
PU:處理單元
PW:第二定位器
RO:機器人
S:光點
SC:旋塗器
SC1:第一標度
SC2:第二標度
SC3:第三標度
SCS:監督控制系統
SM1:
SO:輻射源
T:光罩支撐件
Ta:目標
TCU:塗佈顯影系統控制單元
W:基板
WT:基板支撐件
現在將參看隨附示意圖作為實例來描述實施例,在該等示意圖中:圖1描繪微影設備之示意圖綜述;圖2描繪微影單元之示意圖綜述;圖3描繪整體微影之示意性表示,其表示用以最佳化半導體製造之三種關鍵技術之間的合作;圖4示意性說明散射量測設備;圖5示意性說明透射散射量測設備;圖6描繪其中使用EUV及/或SXR輻射的度量衡設備之示意性表示;圖7描繪照明源之簡化示意圖;圖8描繪多程單元之至少部分的實例之示意圖。
圖9描繪產生諧波輻射之晶體之組態的實例之示意圖。
圖10描繪產生諧波輻射之晶體之組態的實例之示意圖。
圖11描繪具有氣體噴嘴之總成的實例之示意圖。
在本發明文件中,術語「輻射」及「光束」用於涵蓋所有類型之電磁輻射及粒子輻射,包括紫外輻射(例如,波長為365、248、193、157或126nm)、極紫外輻射(EUV,例如具有在約5至100nm的範圍內之波長)、X射線輻射、電子束輻射及其他粒子輻射。
如本文中所採用之術語「倍縮光罩」、「光罩」或「圖案化裝置」可廣泛地解釋為係指可用以向入射輻射光束賦予圖案化橫截面之 通用圖案化裝置,該圖案化橫截面對應於待在基板之目標部分中產生之圖案。在此上下文中,亦可使用術語「光閥」。除典型光罩(透射式或反射式,二元、相移、混合式等)以外,其他此類圖案化裝置之實例包括可程式化鏡面陣列及可程式化LCD陣列。
圖1示意性地描繪微影設備LA。微影設備LA包括經組態以調節輻射光束B(例如紫外線UV輻射、DUV輻射、EUV輻射或X射線輻射)的照明系統(亦稱作照明器)IL、經建構以支撐圖案化裝置(例如光罩)MA並連接至經組態以根據某些參數準確地定位圖案化裝置MA之第一定位器PM的光罩支撐件(例如光罩台)T、經建構以固持基板(例如抗蝕劑塗佈晶圓)W並連接至經組態以根據某些參數準確地定位基板支撐件之第二定位器PW的基板支撐件(例如晶圓台)WT,及經組態以由圖案化裝置MA將經賦予至輻射光束B之圖案投影至基板W之目標部分C(例如包含一或多個晶粒)上的投影系統(例如折射投影透鏡系統)PS。
在操作中,照射系統IL例如經由光束遞送系統BD自輻射源SO接收輻射光束。照射系統IL可包括各種類型的光學組件,諸如折射、反射、繞射、磁性、電磁、靜電及/或其他類型的光學組件或其任何組合以引導、塑形及/或控制輻射。照明器IL可用以調節輻射光束B,以在圖案化裝置MA之平面處在其橫截面中具有所要空間及角強度分佈。
本文所使用之術語「投影系統」PS應被廣泛地解譯為涵蓋適於所使用之曝光輻射及/或適於諸如浸潤液體之使用或真空之使用之其他因素的各種類型之投影系統,包括折射、反射、反射折射、合成、磁性、電磁及/或靜電光學系統,或其任何組合。可認為本文中對術語「投影透鏡」之任何使用與更一般之術語「投影系統」PS同義。
微影設備LA可屬於一種類型,其中基板的至少一部分可由具有相對高折射率之例如水之液體覆蓋,以便填充投影系統PS與基板W之間的空間--此亦稱為浸潤微影。全文係以引用方式併入本文中之US6952253中給出關於浸潤技術之更多資訊。
微影設備LA亦可屬於具有兩個或更多個基板支撐件WT(又名「雙載物台」)之類型。在此「多載物台」機器中,可並行地使用基板支撐件WT,及/或可對位於基板支撐件WT中之一者上的基板W進行準備基板W之後續曝光的步驟,同時將另一基板支撐件WT上之另一基板W用於在另一基板W上曝光圖案。
除了基板支撐件WT以外,微影設備LA亦可包含一量測載物台。量測載物台經配置以固持感測器及/或清潔裝置。感測器可經配置以量測投影系統PS之性質或輻射光束B之性質。量測載物台可固持多個傳感器。清潔裝置可經配置以清潔微影設備之部分,例如,投影系統PS之部分或提供浸浸液體之系統之部分。量測載物台可在基板支撐件WT遠離投影系統PS時在投影系統PS之下移動。
在操作中,輻射光束B入射至固持在光罩支撐件T上的圖案化裝置MA(例如光罩),且由呈現於圖案化裝置MA上的圖案(設計佈局)進行圖案化。在已橫穿光罩MA的情況下,輻射光束B傳遞通過投影系統PS,該投影系統PS將該光束聚焦至基板W之目標部分C上。憑藉第二定位器PW及位置量測系統IF,可準確地移動基板支撐件WT,例如以便使不同目標部分C在輻射光束B之路徑中定位於經聚焦且對準之位置處。類似地,第一定位器PM及可能另一位置感測器(其未在圖1中明確地描繪)可用以相對於輻射光束B之路徑來準確地定位圖案化裝置MA。可使用光罩對 準標記M1、M2及基板對準標記P1、P2來對準圖案化裝置MA與基板W。儘管如所說明之基板對準標記P1、P2佔據專用目標部分,但其可位於目標部分之間的空間中在基板對準標記P1、P2位於目標部分C之間時,此等基板對準標記稱為切割道對準標記。
如圖2中所展示,微影設備LA可形成微影單元LC之部分,有時亦稱為微影製造單元(lithocell)或(微影製造單元(litho))叢集,該微影單元常常亦包括用以對基板W執行曝光前程序及曝光後程序之設備。常規地,此等設備包括用以沈積抗蝕劑層之旋塗器SC、用以顯影經曝光之抗蝕劑的顯影器DE、例如用於調節基板W之溫度(例如,用於調節抗蝕劑層中之溶劑)的冷卻板CH及烘烤板BK。基板處置器或機器人RO自輸入/輸出埠I/O1、I/O2拾取基板W、在不同程序設備之間移動基板W且將基板W遞送至微影設備LA之裝載匣LB。微影製造單元中常常亦統稱為塗佈顯影系統之裝置可處於塗佈顯影系統控制單元TCU之控制下,該塗佈顯影系統控制單元自身可由監督控制系統SCS控制,該監督控制系統亦可例如經由微影控制單元LACU控制微影設備LA。
在微影程序中,需要頻繁地對所創建之結構進行量測,例如,用於程序控制及驗證。用以進行此量測之工具可被稱為度量衡工具MT。用於進行此類量測之不同類型的度量衡工具MT為吾人所知,包括掃描電子顯微鏡或各種形式之散射計度量衡工具MT。散射計為多功能器具,其允許藉由在光瞳或與散射計之物鏡之光瞳共軛的平面中或附近設置感測器來量測微影程序之參數,量測通常稱為以光瞳為基礎之量測,或藉由在影像平面或與影像平面共軛之平面中或附近設置感測器來量測微影程序之參數,在此情況下量測通常稱為以影像或場為基礎之量測。以全文引 用之方式併入本文中之專利申請案US20100328655、US2011102753A1、US20120044470A、US20110249244、US20110026032或EP1,628,164A中另外描述此類散射計及相關量測技術。前述散射計可使用來自硬X射線(HXR)、軟X射線(SXR)、極紫外線(EUV)、可見光至近紅外(IR)及IR波長範圍之光來量測光柵。在輻射為硬X射線或軟X射線之情況下,前述散射計可視情況為小角度X射線散射度量衡工具。
為了正確且一致地曝光由微影設備LA曝光之基板W,需要檢測基板以量測經圖案化結構之性質,諸如後續層之間的疊對誤差、線厚度、臨界尺寸(CD)、結構之形狀等。為了此目的,可在微影製造單元LC中包括檢測工具及/或度量衡工具(圖中未示)。若偵測到誤差,則可對後續基板之曝光或對待對基板W執行之其他處理步驟進行例如調整,在同一批量或批次之其他基板W仍待曝光或處理之前進行檢測的情況下尤其如此。
亦可稱為度量衡設備之檢測設備用以判定基板W之性質,且詳言之,判定不同基板W之性質如何變化或與同一基板W之不同層相關聯之性質在層與層間如何變化。檢測設備可替代地經建構以識別基板W上之缺陷,且可例如為微影製造單元LC之一部分,或可整合至微影設備LA中,或可甚至為單機裝置。檢測設備可量測潛影(在曝光之後在抗蝕劑層中之影像)上之性質,或半潛影(在曝光後烘烤步驟PEB之後在抗蝕劑層中之影像)上之性質,或經顯影抗蝕劑影像(其中抗蝕劑之曝光部分或未曝光部分已被移除)上之性質,或甚至經蝕刻影像(在諸如蝕刻之圖案轉印步驟之後)上之性質。
在第一實施例中,散射計MT係角度解析散射計。在此散 射計中,重建構方法可應用於經量測信號以重建構或計算光柵之性質。此重建構可例如由模擬散射輻射與目標結構之數學模型之相互作用且比較模擬結果與量測之結果引起。調整數學模型之參數直至經模擬相互作用產生類似於自真實目標觀測到之繞射圖案的繞射圖案為止。
在第二實施例中,散射計MT係光譜散射計MT。在此光譜散射計MT中,由輻射源發射之輻射經引導至目標上且來自目標之反射、透射或散射輻射經引導至光譜儀偵測器,該光譜儀偵測器量測鏡面反射輻射之光譜(亦即隨波長而變之強度之量測)。自此資料,可例如藉由嚴密耦合波分析及非線性回歸或藉由與經模擬光譜庫比較來重建構產生偵測到之光譜的目標之結構或剖面。
在一第三實施例中,散射計MT為橢圓量測散射計。橢圓量測散射計允許藉由量測針對每一偏振狀態之散射或透射輻射來判定微影程序之參數。此度量衡設備藉由在度量衡設備之照明區段中使用例如適當偏振濾光器來發射偏振光(諸如線性、環狀或橢圓)。適合於度量衡設備之源亦可提供偏振輻射。以全文引用之方式併入本文中之美國專利申請案11/451,599、11/708,678、12/256,780、12/486,449、12/920,968、12/922,587、13/000,229、13/033,135、13/533,110及13/891,410中描述現有橢圓量測散射計之各種實施例。
在散射計MT之一個實施例中,散射計MT適用於藉由量測反射光譜及/或偵測組態中之不對稱性(該不對稱性與疊對之範圍有關)來量測兩個未對準光柵或週期性結構之疊對。可將兩個(可重疊)光柵結構施加於兩個不同層(未必為連續層)中,且該兩個光柵結構可形成為處於晶圓上實質上相同的位置。散射計可具有如例如共同擁有之專利申請案 EP1,628,164A中所描述之對稱偵測組態,使得可明確區分任何不對稱性。此提供用以量測光柵中之未對準之直接方式。可在以全文引用之方式併入本文中之PCT專利申請公開案第WO 2011/012624號或美國專利申請案第US 20160161863號中找到關於含有作為目標之週期性結構之兩個層之間的疊對誤差經由該等週期性結構之不對稱性予以量測的另外實例。
其他所關注參數可為焦點及劑量。可藉由如以全文引用之方式併入本文中之美國專利申請案US2011-0249244中所描述之散射量測(或替代地藉由掃描電子顯微法)同時判定焦點及劑量。可使用具有針對焦點能量矩陣(FEM--亦稱為焦點曝光矩陣)中之每一點之臨界尺寸及側壁角量測之獨特組合的單一結構。若可得到臨界尺寸及側壁角之此等獨特組合,則可根據此等量測獨特地判定焦點及劑量值。
度量衡目標可為藉由微影程序主要在抗蝕劑中形成且亦在例如蝕刻程序之後形成的複合光柵之總體。光柵中之結構之間距及線寬可在很大程度上取決於量測光學件(特定言之,光學件之NA)以能夠俘獲來自度量衡目標之繞射階。如較早所指示,繞射信號可用以判定兩個層之間的移位(亦稱為「疊對」),或可用以重建構如藉由微影程序所產生的原始光柵之至少一部分。此重建構可用於提供微影程序之品質指導,且可用於控制微影程序之至少部分。目標可具有經組態以模仿目標中之設計佈局的功能性部分之尺寸的較小子分段。歸因於此子分段,目標將表現得更類似於設計佈局之功能性部分,使得總體程序參數量測更佳地類似於設計佈局之功能性部分。可在填充不足模式下或在填充過度模式下量測目標。在填充不足模式下,量測光束產生小於總體目標之光點。在填充過度模式下,量測光束產生大於總體目標之光點。在此填充過度模式中,亦有可能同時 量測不同目標,因此同時判定不同處理參數。
使用特定目標進行之微影參數之總體量測品質至少部分藉由用以量測此微影參數之量測配方予以判定。術語「基板量測配方」可包括量測自身之一或多個參數、經量測之一或多個圖案的一或多個參數,或兩者。舉例而言,若用於基板量測配方中之量測為以繞射為基礎之光學量測,則量測之參數中之一或多者可包括輻射之波長、輻射之偏振、輻射相對於基板之入射角、輻射相對於基板上之圖案之定向,等。用以選擇量測配方之準則中之一者可例如為量測參數中之一者對於處理變化之敏感度。以全文引用之方式併入本文中之美國專利申請案US2016-0161863及美國專利公開申請案US 2016/0370717A1中描述更多實例。
微影設備LA中之圖案化程序可為在處理中之最具決定性步驟中的一者,其需要基板W上之結構之尺寸標定及置放之高準確度。為了確保此高準確度,可將三個系統組合於所謂的「整體」控制環境中,如圖3示意性地所描繪。此等系統中之一者係微影設備LA,其(實際上)連接至度量衡工具MT(第二系統)且連接至電腦系統CL(第三系統)。此「整體」環境之關鍵在於最佳化此等三個系統之間的合作以增強總體程序窗且提供嚴格控制迴路,從而確保由微影設備LA執行之圖案化保持在程序窗內。程序窗界定程序參數(例如劑量、焦點、疊對)之範圍,在該範圍內特定製造程序得到經界定結果(例如功能半導體裝置)-可能在微影程序或圖案化程序中的程序參數允許在該範圍內變化。
電腦系統CL可使用待圖案化之設計佈局(之部分)以預測使用哪些解析度增強技術且執行計算微影模擬及計算以判定哪種光罩佈局及微影設備設定達成圖案化程序之最大總體程序窗(由第一標度SC1中之雙 箭頭在圖3中描繪)。解析度增強技術可經配置以匹配微影設備LA之圖案化可能性。電腦系統CL亦可用於偵測程序窗內何處之微影設備LA當前正在操作(例如,使用來自度量衡工具MT之輸入)以預測缺陷是否歸因於例如次佳處理而可存在(在圖3中由第二標度SC2中的指向「0」之箭頭描繪)。
度量衡工具MT可將輸入提供至電腦系統CL以致能準確模擬及預測,且可將回饋提供至微影設備LA以識別例如微影設備LA之校準狀態中之可能漂移(在圖3中由第三標度SC3中之多個箭頭描繪)。
可提供用於量測使用微影圖案化設備產生之結構的許多不同形式之度量衡工具MT。度量衡工具MT可使用電磁輻射來詢問結構。輻射之性質(例如,波長、頻寬、功率)可影響工具之不同量測特性,其中較短波長通常允許增加之解析度。輻射波長對度量衡工具可達成之解析度有影響。因此,為了能夠運用具有小尺寸之特徵來量測結構,具有短波長輻射源之度量衡工具MT係較佳的。
輻射波長可影響量測特性之另一方式為穿透深度,及待檢測材料在輻射波長下之透明度/不透明度。取決於不透明度及/或穿透深度,輻射可用於透射或反射之量測。量測之類型可影響是否獲得關於結構/基板之表面及/或塊體內部之資訊。因此,當選擇用於度量衡工具之輻射波長時,穿透深度及不透明度為待考慮之另一要素。
為了達成經微影圖案化之結構之量測的較高解析度,具有短波長之度量衡工具MT係較佳的。此可包括短於可見波長之波長,例如,在電磁波譜之UV、EUV及X射線部分中。諸如透射小角度X射線散射(TSAXS)之硬X射線方法利用高解析度及高穿透深度之硬X射線,且可因 此在透射中操作。另一方面,軟X射線及EUV並不穿透目標,而是可誘發待探測之材料中的豐富光學回應。此可歸因於許多半導體材料的光學性質,且歸因於結構的大小與探測波長相當。因此,EUV及/或軟X射線度量衡工具MT可在反射中操作,例如藉由成像或藉由分析來自經微影圖案化之結構之繞射圖案。
對於硬X射線、軟X射線及EUV輻射,可歸因於在所需波長下不具有可用高亮度輻射源而限制高量製造(HVM)應用中之應用。在硬X射線之情況下,工業應用中常用之源包括X射線管。包括進階X射線管(例如,基於液態金屬陽極或旋轉陽極)之X射線管可相對負擔得起且緊密,但可能缺乏HVM應用所要的亮度。當前存在諸如同步加速器光源(SLS)及X射線自由電子雷射(XFEL)之高亮度X射線源,但其大小(>100m)及高成本(多於1億歐元)使得其對於度量衡應用而言為過分的大的且昂貴的。類似地,缺少足夠明亮的EUV及軟X射線輻射源之可用性。
圖4中描繪度量衡設備之一個實例,諸如散射計。該度量衡設備可包含將輻射5投影至基板W上之寬頻(例如白光)輻射投影儀2。將經反射或經散射輻射10傳遞至光譜儀偵測器4,該光譜儀偵測器量測鏡面反射輻射之光譜6(亦即,依據波長λ變化的之強度I之量測)。根據此資料,可藉由處理單元PU例如藉由嚴密耦合波分析及非線性回歸或藉由與如在圖4之底部處所展示的經模擬光譜庫之比較來重建構產生偵測到之光譜的結構或剖面8。一般而言,對於重建構,結構之一般形式係已知的,且自供製造結構之程序之知識來假定一些參數,從而僅留下結構之幾個參數以自散射量測資料予以判定。此散射計可組態為正入射散射計或斜入射散射計。
圖5中描繪度量衡設備之實例之透射版本,諸如圖4中所展示之散射計。透射輻射11傳遞至光譜儀偵測器4,該光譜儀偵測器量測如針對圖4所論述之光譜6。此散射計可組態為正入射散射計或斜入射散射計。視情況,使用波長<1nm,視情況<0.1nm、視情況<0.01nm之硬X射線輻射之透射版本。
作為對光學度量衡方法之替代方案,亦已考慮使用硬X射線、軟X射線或EUV輻射,例如具有以下波長範圍中之至少一者的輻射:<0.01nm、<0.1nm、<1nm、在0.01nm與100nm之間、在0.01nm與50nm之間、在1nm與50nm之間、在1nm與20nm之間、在5nm與20nm之間及在10nm與20nm之間。度量衡工具在上文所呈現之波長範圍中之一者中起作用的一個實例為透射小角度X射線散射(如US 2007224518A中之T-SAXS,該文獻之內容以全文引用之方式併入本文中)。Lemaillet等人在「Intercomparison between optical and X-ray scatterometry measurements of FinFET structures」(Proc.of SPIE,2013年,8681)中論述使用T-SAXS之剖面(CD)量測。應注意,雷射產生電漿(LPP)x射線源之使用描述於以全文引用之方式併入本文中的美國專利公開案第2019/003988A1號及美國專利公開案第2019/215940A1號中。在掠入射下使用X射線(GI-XRS)及極紫外線(EUV)輻射之反射量測術可用於量測基板上之膜及層堆疊之性質。在一般反射量測術領域內,可應用測角及/或光譜技術。在測角術中,可量測在不同入射角下之反射光束之變化。另一方面,光譜反射量測術量測在給定角度下反射之波長的光譜(使用寬頻帶輻射)。舉例而言,EUV反射量測術已在製造用於EUV微影中之倍縮光罩(圖案化裝置)之前用於光罩基底之檢測。
適用範圍有可能使例如硬X射線、軟X射線或EUV域中之波長之使用係不足夠的。已公開專利申請案US 20130304424A1及US2014019097A1(Bakeman等人/KLA)描述混合度量衡技術,其中將使用x射線進行之量測及運用在120nm與2000nm之範圍內之波長的光學量測組合在一起以獲得諸如CD之參數之量測。藉由經由一或多個共同部分將x射線數學模型及光學數學模型耦合來獲得CD量測。所列舉美國專利申請案之內容以全文引用之方式併入本文中。
圖6描繪其中前述輻射可用以量測基板上之結構之參數的度量衡設備302之示意性表示。圖6中所呈現之度量衡設備302可適用於硬X射線、軟X射線及/或EUV域。
圖6說明包含視情況在掠入射中使用硬X射線、軟X射線及/或EUV輻射之光譜散射計的度量衡設備302之示意性實體配置,其純粹作為實例。檢測設備之替代形式可以角度解析散射計之形式提供,該角度解析散射計與在較長波長下操作之習知散射計相似可使用在正入射或近正入射下之輻射,且其亦可使用具有與平行於基板之方向所成角度大於1°或2°之方向的輻射。檢測設備之替代形式可能以透射散射計之形式提供,圖5中之組態應用至該透射散射計。
檢測設備302包含輻射源或稱為照明源310、照明系統312、基板支撐件316、偵測系統318、398及度量衡處理單元(MPU)320。
此實例中之照明源310係用於產生EUV、硬X射線或軟X射線輻射。照明源310可基於如圖6中所展示之高階諧波產生(HHG)技術,且其亦可為其他類型之照明源,例如液體金屬射流源、逆康普頓散射(ICS) 源、電漿通道源、磁性波盪器源、自由電子雷射(FEL)源、緊密儲存環源、放電產生電漿源、軟X射線雷射源、旋轉陽極源、固體陽極源、粒子加速器源、微焦源或雷射產生電漿源。
HHG源可為氣體射流/噴嘴源、毛細管/光纖源或氣體單元源。
對於HHG源之實例,如圖6中所展示,輻射源之主要組件為可操作以發射泵輻射之泵輻射源330以及一氣體遞送系統332。視情況,泵輻射源330為一雷射,視情況,泵輻射源330為一脈衝式高功率紅外線或光學雷射。泵輻射源330可例如為具有光學放大器之以光纖為基礎之雷射,從而產生每脈衝可持續例如小於1ns(1奈秒)的紅外線輻射之脈衝,其中脈衝重複率視需要達至數兆赫茲。紅外線輻射之波長可為例如大約1μm(1微米)。視情況,雷射脈衝係作為第一泵輻射340遞送至氣體遞送系統332,其中與成發射輻射342之第一泵輻射相比,在氣體中輻射之一部分轉換成較高頻率。氣體供應件334將合適氣體供應至氣體遞送系統332,其中該合適氣體視情況由電源336離子化。氣體遞送系統332可為切斷管。
由氣體遞送系統332提供之氣體界定氣體目標,其可為一氣流或一靜態體積。該氣體可為例如一稀有氣體,視情況包含氖氣(Ne)、氦氣(He)、氬氣(Ar)、氮氣(N2)、氧氣(O2)、氪氣(Kr)及氙氣(Xe)中之一或多者。此等氣體可為同一設備內可選擇的選項。發射輻射可含有多個波長。若發射輻射為單色的,則可簡化量測計算(例如重建構),但更容易產生具有若干波長之輻射。發射輻射之發射發散角可為波長相依的。不同波長將例如在使不同材料之結構成像時提供不同等級之對比度。舉例而言, 為了檢測金屬結構或矽結構,可將不同波長選擇為用於成像(碳基)抗蝕劑之特徵或用於偵測此等不同材料之污染的波長。可提供一或多個濾光裝置344。舉例而言,諸如鋁(Al)或鋯(Zr)薄膜之濾光器可用以切斷基諧IR輻射以免進一步傳遞至檢驗設備中。可提供光柵(圖中未示)以自產生之波長當中選擇一或多個特定波長。視情況,照明源包含經組態以待抽空的空間且氣體遞送系統經組態以在該空間中提供一氣體目標。視情況,在真空環境內可含有光束路徑中之一些或全部,應記住,SXR及/或EUV輻射在空氣中行進時會被吸收。照明源310及照明系統312之各種組件可為可調整的以在同一設備內實施不同度量衡「配方」。舉例而言,可使不同波長及/或偏振為可選擇的。
取決於在檢測中之結構之材料,不同波長可提供至下部層中之所要程度之穿透。為了解析最小裝置特徵以及最小裝置特徵當中之缺陷,短波長很可能為較佳的。舉例而言,可選擇介於0.01至20nm之範圍內或視情況介於1至10nm之範圍內或視情況介於10至20nm之範圍內的一或多個波長。短於5nm之波長可在自半導體製造中之所關注材料反射時遭受極低臨界角。因此,選擇大於5nm之波長可在較高入射角下提供較強信號。另一方面,若檢測任務係用於偵測某一材料之存在例如以偵測污染,則高達50nm之波長可為有用的。
自照明源310,經濾光光束342可進入檢測腔室350,其中包括所關注結構之基板W係由基板支撐件316固持以供在量測位置處檢測。所關注結構被標記為T。視情況,檢測腔室350內之氛圍可由真空泵352維持為接近真空,使得SXR及/或EUV輻射可在無不當衰減之情況下傳遞通過該氛圍。照明系統312具有將輻射聚焦至經聚焦光束356中之功能, 且可包含例如二維曲面鏡面或一系列一維曲面鏡面,如上文所提及的已公開美國專利申請案US2017/0184981A1(其內容以全文引用之方式併入本文中)中所描述。執行聚焦以在投影至所關注結構上時達成直徑低於10μm之圓形或橢圓形光點S。基板支撐件316包含例如X-Y平移載物台及旋轉載物台,藉由X-Y平移載物台及旋轉載物台,可使基板W之任何部分在所要定向上到達光束之焦點。因此,輻射光點S形成於所關注結構上。替代地或另外,基板支撐件316包含例如傾斜載物台,其可使基板W以某一角度傾斜來控制所關注結構T上之經聚焦光束的入射角。
視情況,照明系統312將參考輻射光束提供至參考偵測器314,該參考偵測器可經組態以量測經濾光光束342中之不同波長的光譜及/或強度。參考偵測器314可經組態以產生經提供至處理器320之信號315,且濾光器可包含關於經濾光光束342之光譜及/或在經濾光光束中之不同波長之強度的資訊。
反射輻射360係由偵測器318俘獲且光譜經提供至處理器320以用於計算目標結構T之性質。照明系統312及偵測系統318因此形成檢測設備。此檢測設備可包含屬於內容之全文係以引用方式併入本文中之US2016282282A1中所描述之種類的硬X射線、軟X射線及/或EUV光譜反射計。
若目標Ta具有某一週期性,則經聚焦光束356之輻射亦可經部分地繞射。繞射輻射397相對於入射角接著相對於反射輻射360以明確界定之角度遵循另一路徑。在圖6中,經繪製繞射輻射397以示意性方式經繪製,且繞射輻射397可遵循除經繪製路徑以外之許多其他路徑。檢測設備302亦可包含偵測經繞射輻射397之至少一部分及/或對經繞射輻射 397之至少一部分進行成像的其他偵測系統398。在圖6中,繪製了單個其他偵測系統398,但檢測設備302之實施例亦可包含多於一個的其他偵測系統398,該偵測系統經配置於不同位置處以在複數個繞射方向上對經繞射輻射397進行偵測及/或成像。換言之,入射於目標Ta上之經聚焦輻射光束的(較高)繞射階由一或多個另外偵測系統398偵測及/或成像。一或多個偵測系統398產生提供至度量衡處理器320之信號399。信號399可包括繞射光397之資訊及/或可包括自繞射光397獲得之影像。
為了輔助光點S與所要產品結構之對準及聚焦,檢測設備302亦可提供在度量衡處理器320之控制下使用輔助輻射之輔助光學件。度量衡處理器320亦可與位置控制器372通信,該位置控制器操作平移載物台、旋轉載物台及/或傾斜載物台。處理器320經由感測器接收關於基板之位置及定向的高度準確之回饋。感測器374可包括例如干涉計,其可給出大約數皮米之準確度。在檢測設備302之操作中,由偵測系統318俘獲之光譜資料382經遞送至度量衡處理單元320。
如所提及,檢測設備之替代形式使用視情況處於正入射或近正入射之硬X射線、軟X射線及/或EUV輻射,例如以執行以繞射為基礎之不對稱性量測。檢測設備之另一替代形式使用具有與平行於基板之方向所成角度大於1°或2°之方向的硬X射線、軟X射線及/或EUV輻射。兩種類型之檢測設備皆可經提供在混合度量衡系統中。待量測之效能參數可包括疊對(OVL)、臨界尺寸(CD)、當微影設備印刷目標結構時微影設備之焦點、相干繞射成像(CDI)及依解析度疊對(ARO)度量衡。硬X射線、軟X射線及/或EUV輻射可例如具有小於100nm之波長,例如使用介於5至30nm之範圍內,視情況介於10nm至20nm之範圍內的輻射。該輻射在特性上 可係窄頻帶或寬頻帶。該輻射可在特定波長帶中具有離散峰值或可具有更連續的特性。
類似於用於當今生產設施中之光學散射計,檢測設備302可用以量測在微影單元內處理之抗蝕劑材料內之結構(顯影後檢測或ADI),及/或用以在結構已以較硬材料形成之後量測該等結構(蝕刻後檢測或AEI)。舉例而言,在基板已由顯影設備、蝕刻設備、退火設備及/或其他設備處理之後,可使用檢測設備302來檢測基板。
包括但不限於上文所提及之散射計之度量衡工具MT可使用來自輻射源之輻射以執行量測。由度量衡工具MT使用之輻射可為電磁輻射。輻射可為光輻射,例如電磁光譜之紅外線部分、可見光部分及/或紫外線部分中的輻射。度量衡工具MT可使用輻射以量測或檢測基板之性質及態樣,例如半導體基板上之經微影曝光圖案。量測之類型及品質可取決於由度量衡工具MT使用之輻射之若干性質。舉例而言,電磁量測之解析度可取決於輻射之波長,其中較小波長能夠例如歸因於繞射限制而量測較小特徵。為了量測具有小尺寸之特徵,可較佳使用具有短波長之輻射,例如EUV、硬X射線(HXR)及/或軟X射線(SXR)輻射,以執行量測。為了在特定波長或波長範圍下執行度量衡,度量衡工具MT需要存取提供在彼/彼等波長下之輻射的源。存在用於提供不同波長之輻射的不同類型之源。取決於由源提供之波長,可使用不同類型之輻射產生方法。對於極紫外線(EUV)輻射(例如1nm至100nm),及/或軟X射線(SXR)輻射(例如0.1nm至10nm),源可使用高階諧波產生(HHG)或逆康普頓散射(ICS)以獲得在所要波長下之輻射。
圖7展示照明源310之實施例的簡化示意圖,該照明源 可為用於高階諧波產生(HHG)之照明源。關於圖6所描述之度量衡工具中之照明源之特徵中的一或多者亦可在適當時存在於照明源600中。照明源600包含腔室601且經組態以接收具有由箭頭指示之傳播方向的泵輻射611。此處展示之泵輻射611為來自泵輻射源330之泵輻射340的實例,如圖6中所展示。泵輻射611可經由輻射輸入605引導至腔室601中,輻射輸入605可視情況由熔融矽石或可相當材料製成之檢視區。泵輻射611可具有高斯或中空(例如環形)橫向橫截面剖面且可入射(視情況聚焦)於腔室601內之氣流615上,該氣流具有藉由第二箭頭指示的流動方向。氣流615包含其中氣體壓力高於特定值的稱作特定氣體(例如稀有氣體、視情況氦氣、氬氣、氙氣或氖氣、氮氣、氧氣或二氧化碳)之氣體體積或氣體目標之小體積(例如若干立方mm)。氣流615可為穩定流。亦可使用諸如金屬電漿(例如鋁電漿)之其他介質。
照明源600之氣體遞送系統經組態以提供氣流615。照明源600經組態以在氣流615中提供泵輻射611以驅動發射輻射613之產生。其中產生發射輻射613之至少一大部分的區稱作相互作用區。該相互作用區可自幾十微米(用於緊密聚焦泵輻射)變化至幾mm或cm(用於適度聚焦泵輻射)或甚至高達幾公尺(用於極其鬆散聚焦泵輻射)。氣體遞送系統經組態以提供氣體目標以用於在氣體目標之相互作用區處產生發射輻射,且視情況,照明源經組態以接收泵輻射並在相互作用區處提供泵輻射。視情況,氣流615係由氣體遞送系統提供至抽空或幾乎抽空之空間中。氣體遞送系統可包含氣體噴嘴609,如圖6中所展示,該氣體噴嘴包含在氣體噴嘴609之出口平面中之開口617。氣流615係自開口617提供。在幾乎所有先前技術中,氣體噴嘴具有切斷管幾何結構形狀,其為均勻圓柱體內部幾 何結構形狀,且出口平面中之開口的形狀為圓形。如專利申請案CN101515105B中所描述,亦已使用細長開口。
氣體噴嘴609之尺寸可想像地亦可用於範圍介於微米大小噴嘴至公尺大小噴嘴的按比例增大或按比例縮小之版本中。此廣泛範圍之尺寸標定來自如下事實:可按比例調整設置使得氣流處之泵輻射之強度最終處於可對發射輻射有益的特定範圍內,此需要針對可為脈衝雷射之不同泵輻射能量之不同尺寸標定,且脈衝能量可在數十微焦耳至數焦耳之間變化。視情況,氣體噴嘴609具有較厚壁以減少由可由例如攝影機偵測到之熱膨脹效應引起的噴嘴變形。具有較厚壁之氣體噴嘴可產生變化減少的穩定氣體體積。視情況,照明源包含接近於氣體噴嘴以維持腔室601之壓力的氣體捕獲器。
歸因於泵輻射611與氣流615之氣體原子的相互作用,氣流615將使泵輻射611之部分轉換成發射輻射613,該發射輻射613可為圖6中所展示之發射輻射342的實例。發射輻射613之中心軸線可與入射泵輻射611之中心軸線共線。發射輻射613可具有在X射線或EUV範圍中之波長,其中波長係在0.01nm至100nm、視情況0.1nm至100nm、視情況1nm至100nm、視情況1nm至50nm或視情況10nm至20nm的範圍內。
在操作中,發射輻射613光束可傳遞通過輻射輸出607,且可隨後藉由照明系統603操控及引導至待檢測以用於度量衡量測之基板,照明系統603可為圖6中之照明系統312的實例。發射輻射613可經導引(視情況聚焦)至基板上的結構。
因為空氣(及實際上任何氣體)很大程度上吸收SXR或EUV輻射,所以氣流615與待檢測晶圓之間的體積可經抽空或幾乎抽空。由於 發射輻射613之中心軸線可與入射泵輻射611之中心軸線共線,因此泵輻射611可需要被阻擋以防止其傳遞通過輻射輸出607及進入照明系統603。此可藉由將圖6中所展示之濾光裝置344併入至輻射輸出607中而進行,該輻射輸出置放於所發射射束路徑中且對於泵輻射不透明或幾乎不透明(例如對紅外線或可見光不透明或幾乎不透明)但對發射輻射光束至少部分透明。可使用在多個層中組合之鋯或多種材料來製造濾光器。當泵輻射611具有中空(視情況環形)橫向橫截面剖面時,濾光器可為中空(視情況環形)塊體。視情況,濾光器不垂直且不平行於發射輻射光束之傳播方向,以具有高效泵輻射濾光。視情況,濾光裝置344包含中空塊體及諸如鋁(Al)或鋯(Zr)膜濾光器之薄膜濾光器。視情況,濾光裝置344亦可包含有效反射發射輻射但不良反射泵輻射的鏡面,或包含有效透射發射輻射但不良透射泵輻射之金屬絲網。
本文中描述用以獲得視情況在泵輻射之高階諧波頻率下之發射輻射的方法、設備及總成。經由程序(視情況使用非線性效應以產生在所提供泵輻射之諧波頻率下之輻射的HHG)產生的輻射可作為輻射提供於度量衡工具MT中以用於基板之檢測及/或量測。若泵輻射包含短脈衝(亦即,少數循環),則所產生輻射不必準確在泵輻射頻率之諧波處。基板可為經微影圖案化之基板。經由程序獲得的輻射亦可經提供於微影設備LA及/或微影製造單元LC中。
泵輻射611可包含具有高於發射輻射之一或多個波長的一或多個波長之輻射。泵輻射可包含紅外線輻射。泵輻射可包含具有介於500nm至1500nm之範圍內之波長的輻射。泵輻射可包含具有介於800nm至1300nm之範圍內之波長的輻射。泵浦輻射可包含具有介於900nm至 1300nm之範圍內之波長的輻射。泵輻射可為脈衝式輻射,其可在短時間叢發內提供高峰值強度。脈衝式泵輻射可包含具有在皮秒或飛秒範圍中之持續時間的脈衝。
對於一些實施例,發射輻射(視情況高階諧波輻射)可包含具有泵輻射波長之一或多個諧波。發射輻射可包含在極紫外線、軟X射線及/或硬X射線部分電磁光譜中之波長。發射輻射613可包含在以下範圍中之一或多者中的波長:小於1nm、小於0.1nm、小於0.01nm、0.01nm至100nm、0.1nm至100nm、0.1nm至50nm、1nm至50nm及10nm至20nm。
諸如以上所描述之高階諧波輻射之輻射可經提供為度量衡工具MT中之源輻射。度量衡工具MT可使用源輻射以對由微影裝置曝光之基板執行量測。該等量測可用於判定基板上之結構之一或多個參數。相比於使用較長波長(例如可見光輻射、紅外線輻射),使用在較短波長下(例如在如上文所描述之波長範圍內所包含的EUV、SXR及/或HXR波長下)之輻射可允許藉由度量衡工具解析結構之較小特徵。具有較短波長之輻射,諸如EUV、SXR及/或HXR輻射,亦可更深地穿透至諸如經圖案化基板之材料中,此意謂基板上之較深層之度量衡係可能的。此等較深層可能不可藉由具有較長波長之輻射到達。
在度量衡工具MT中,源輻射可自輻射源發射且經引導至基板上之目標結構(或其他結構)上。源輻射可包含EUV、SXR及/或HXR輻射。目標結構可反射、透射及/或繞射入射於目標結構上之源輻射。度量衡工具MT可包含用於偵測繞射輻射之一或多個感測器。舉例而言,度量衡工具MT可包含用於偵測正一(+1)及負一(-1)繞射階之偵測器。度量衡 工具MT亦可量測鏡面反射或透射輻射(0階繞射輻射)。用於度量衡之其他感測器可存在於度量衡工具MT中以例如量測其他繞射階(例如,較高階繞射)。
用以執行量測之發射輻射之性質可影響所獲得量測之品質。舉例而言,輻射光束之橫向光束剖面(橫截面)的形狀及大小、輻射之強度、輻射之功率譜密度等可影響藉由輻射執行之量測。因此,具有提供具有引起高品質量測之性質之輻射的源係有益的。
輻射源之實施例可包含圖7中之照明源600、泵輻射源及視情況可為脈衝壓縮系統之總成。泵輻射源可包含高功率雷射源,其可產生具有高於100W(視情況,高於1000W)之功率之輻射脈衝。脈衝壓縮系統可置放於泵輻射源與HHG源之間,且其在長度上壓縮藉由泵輻射源產生的輻射脈衝。
有效HHG需要輻射脈衝為視情況具有小於一百或幾十飛秒(fs)之持續時間的超短波,此係因為較長脈衝之應用導致過多電漿形成,其對於達成氣體目標中之適當相速(稱作相位匹配)及保留泵輻射之有益空間形狀係有害的。因此,對於HHG源以及許多其他類型輻射源,輸入輻射可需要藉由脈衝壓縮系統準備。舉例而言,在1030nm下操作之鏡雷射(其為展示最可能用於快速按比例增大平均功率的雷射中之一者)通常產生約0.5皮秒(PS)持續時間之輻射脈衝。因此,脈衝壓縮系統為將輻射脈衝壓縮視情況至少一因數10所必需。
若干類型脈衝壓縮系統存在以相同原理操作:1)雷射光束經傳播通過具有非線性光學性質的非線性介質,此導致雷射光束之頻譜加寬但亦導致色散;2)色散藉由施加頻率相依延遲至雷射光束而消除以使得雷 射光束之全部頻率分量及時重疊。視情況,啁啾鏡面在步驟2)中用於頻率相依延遲。
步驟1)之架構的實例可為充氣毛細管、固體目標,或多程單元(MPC)。MPC(其之至少部分在圖8中經展示為800)歸因於其高透射率(視情況,>90%)為一良好候選者以用於按比例增大至HVM系統,高透射率意謂僅僅相對較小量之雷射光束能量損失並在脈衝壓縮系統中經轉換成熱。為了熱負載及穩固性之原因,若脈衝壓縮系統將在其中泵輻射之功率按比例增大至kW層級或更高的情形中經受住,則此可為必要性質。
在圖8中所示之實施例中,MPC包含以具有非線性光學性質之MPC氣體802填充的經加壓腔室801、輻射光束輸入806、輻射輸出804及光學件(例如:808、820及822)。視情況,光學件可包含多個對準光學件808,及兩個對置反射鏡面820及822,在其之間輸入輻射光束830循環多次。視情況,光學件可進一步包含未在圖8中展示之透射光學件及/或反射鏡面及/或透鏡以用於透射及或反射輸入輻射光束830。應注意,存在在圖8中之兩個對置反射鏡面820與822之間出現的僅僅幾個反射,其僅僅為了說明之目的而實際上反射之數目可超過40次。視情況,輸入輻射光束830具有在IR區內之波長。在一個實例中,光學件(尤其是兩個對置反射鏡面820及822)被組態使得輸入輻射光束830每次傳遞在兩個對置反射鏡面820及822之中心附近聚焦,以使得高強度在為兩個對置反射鏡面820及822之中心聚焦區的中心附近建立。在一個實例中,兩個對置反射鏡面820及822可空腔鏡面,在其之間輸入輻射光束傳遞多次。在每次傳遞時,MPC氣體802之非線性性質導致少量頻譜加寬,以使得全部傳遞之組合效應導致用於脈衝壓縮之足夠頻譜加寬。MPC氣體可為具有其不容易電離的性 質,以使得電漿之有害形成受到限制的稀有氣體。MPC氣體可為例如稀有氣體,視情況包含氦氣(He)、氖氣(Ne)、氬氣(Ar)、氪氣(Kr)及氙氣(Xe)中之一或多者。
使用MPC之缺點係在操作中,光學件(且詳言之兩個對置反射鏡面820及822)經曝光於具有高強度之輸入輻射光束。相關量可為通量,其定義為每單位表面區域之脈衝能量。通常,通量之值介於J/cm2範圍內,其常常小於低於光學件之損害臨限的數量級。原則上,兩個對置反射鏡面820及822上之高通量可藉由遠離高強度置放其而減小,其可為中心聚焦區,但此可使脈衝壓縮系統不太緊湊且亦需要使用大直徑鏡面,兩者皆抬高MPC之成本。緊湊型及競爭性設計因此可導致相對高通量。在高通量下在光學件之表面處出現的問題係雷射誘發之污染物(LIC)。在一個實例中,存在於經加壓腔室801中之揮發性烴可沈積在光學件上,從而形成一層(其視情況為碳層)。該層比好品質塗層有更多吸收性,從而導致反射率隨時間穩定減小。因為MPC可涉及許多鏡面反射,因此此導致輻射光束之高強度的穩定減小。通常,光束功率之損失當運用100W數量級之功率的輸入輻射光束運行時係在每週一百分比中,此為降級之不可接受的大速率。除光束功率之損失之外,所吸收功率在輸入輻射光束光點之位置處在本端加熱光學件係有問題的,潛在地引起光學件損害及輸入輻射光束不穩定性。此等嚴重限制輸入輻射光束之可用性,即使光束功率之損失不大於百分之幾。
若干技術已經發展以減輕LIC或自LIC恢復。一第一參考文件,應用光學件Vol.60,第3,533期(2021年)(其以全文引用的方式併入本文中)探索用以自鏡面清潔碳沈積的技術,包括將來自水銀燈之UV輻射施 加至以大氣壓空氣填充的腔室中之鏡面。該機制為UV輻射具有充分高光子能以自周圍環境中之氧氣產生臭氧。所產生之臭氧為高度反應性分子,其可與碳沈積反應以進一步產生揮發性氧化物,且該進一步產生揮發性氧化物可經泵離。然而,第一參考文件中提及之方法不能在本端清潔鏡面且UV輻射可照明在腔室之其他部分上並使得材料降級。
特此吾人報告總成實施例,其可用於在本端清潔光學元件之表面區域。介質(其可為固體或氣體)經置放在一空間中,該空間可在經加壓腔室801之內部或外部。在操作中,固體或氣體介質接收一第一輻射以用於視情況經由HHG程序產生第二輻射。視情況,該第二輻射具有比該第一輻射短的波長。視情況,第二輻射在介質之後與第一輻射同軸地傳播以照射於光學元件上,該光學元件置放在該介質之後。視情況,光學元件用於運用表面區域透射或反射第一輻射。視情況,在操作中,清潔氣體與表面區域接觸,且反應性介質係藉由第二輻射自清潔氣體之一部分產生以用於自表面區域移除污染物。
在圖9中說明諧波產生總成900,其可用以產生第二輻射,例如以藉助於固體介質將輸入輻射光束902之小部分轉換成其第三諧波輻射924。在此實施例中,輸入輻射光束902之一部分在一第一晶體901中首先經轉換成其第二諧波輻射912,接著第二諧波輻射912及輸入輻射光束902藉助於第二晶體903及第三晶體905重疊。隨後,第三諧波輻射924係在第四晶體907中產生。第二晶體903之功能係在彼此之頂部上重疊輸入輻射光束902及第二諧波輻射912並共享相同光束路徑。第二晶體903可為延遲補償板。輸入輻射光束902及第二諧波輻射912可在第二晶體903內部得到不同傳播速度且可之後及時重疊。在一個實施例中,第三晶體905將 旋轉第二諧波輻射912之偏振且可不產生任何輻射。視情況,晶體901及907為β硼酸鋇(BBO)。諧波產生總成900之實施例之優點為第三諧波產生(THG)之轉換效率相對較高,例如高於第四諧波產生(FHG)。
在一個實施例中,第三諧波輻射924係在100nm至260nm之波長範圍內,使得其可不由MPC氣體吸收且可用於產生反應性介質(例如臭氧)。視情況,第三諧波輻射924可具有在UV範圍中之波長,且視情況,轉換效率在0.1%至10%之範圍中。對於100W雷射,其意謂可產生具有在0.1W至10W範圍中之功率的UV光束,該功率與用於清潔之可市面上購得之UV燈(例如上文所提及之水銀燈)的功率(幾瓦)相當。視情況,所提及之第二輻射可具有0.01W至10W(視情況,0.01W至1W,及視情況,0.01W至0.1W)內之功率。視情況,第三諧波轉換可在發送輸入輻射光束902至經加壓腔室801中之前進行。結果為除輸入輻射光束902外,UV光束(其可具有較低強度,且在諧波產生總成900之後與輸入輻射光束902同軸地傳播)亦發送至經加壓腔室801。當一定量清潔氣體(例如氧氣)存在於經加壓腔室801中並與鏡面接觸時,UV光束可與清潔氣體反應以產生反應性介質(例如臭氧)以用於清潔鏡面,同時輸入輻射光束902之脈衝可如預期藉由MPC壓縮。此實施例相比於上文所提及之現有技術(例如UV燈)之益處包含:
1)運用圖9之實施例產生的UV光束係以輸入輻射光束902之類似形狀提供,且因此被集中。另外,UV光束與輸入輻射光束902共同傳播,使得其可自動地、準確地且獨佔地輻照輸入輻射光束902擊中光學元件所在的表面區域。藉此,具有集中強度之UV輻射經準確地提供,其中光學元件需要清潔。與使用UV燈相比較,此報告中之實施例使得能夠在本端對光 學元件進行清潔且可能不使MPC材料降級,例如經加壓腔室801之內壁。
2)輸入輻射光束902之清潔程序及脈衝壓縮可潛在地同時執行,此意謂此方法適合作為直線方法。相比之下,當使用UV燈時,吾人可能需要停止輸入輻射光束,打開經加壓腔室801,並使UV燈接近於表面區域以獲得足夠高的清潔速率。
在圖10中說明諧波產生總成1000,其可用以藉助於固體介質將輸入輻射光束1002之一小部分轉換成其第四諧波輻射1024。在適當時,關於圖9所描述之諧波產生總成900之實施例之特徵中之一或多者亦可存在於實施例1000中。在此實施例1000中,輸入輻射光束1002之一部分在第一晶體1001中首先轉換成其第二諧波輻射1012,接著在第二晶體1003中,第二諧波輻射1012之部分轉換成輸入輻射光束1002之第四諧波輻射1024,其可為UV輻射。
產生第四諧波之益處為其具有比第三諧波短的波長,且更大能量光子在產生反應性介質時更高效。根據實施,考慮到所涉及晶體之數目,實施例1000可能更容易。當使用200μm厚BBO晶體時,諧波產生程序之典型效率可在5%至30%之間,其可在表面區域處產生足夠UV輻射以清潔LIC,視情況在存在氧氣下之烴。BBO優於其他晶體之優點係其大相位匹配頻寬(其促進較短脈衝),但可原則上使用全部晶體。不同晶體之各種組合亦可係可能的。
圖9及圖10中之晶體可為任何非線性晶體,其可在相位匹配時產生輸入輻射光束之諧波。此處為晶體之清單,其可用以產生低階諧波:
●β硼酸鋇-BBO
●磷酸二氘鉀及其同晶型物-KDP、KD*P(DKDP)晶體
●三硼酸鋰-LBO
●磷酸鈦氧鉀-KTP晶體
●硼酸銫鋰-CLBO晶體
每一晶體可以特定角度切割以相位匹配輸入輻射光束及其第二、第三、第四或第五諧波輻射。亦可使用晶體之不同組合。舉例而言,當輸入輻射光束具有1030nm之波長時,LBO可用以產生515nm輻射且接著BBO可用於藉由將515nm輻射頻率加倍而產生256nm輻射。舉例而言,當輸入輻射光束具有1030nm之波長時,第一晶體可將1030nm輻射部分轉換成515nm輻射且第二晶體可產生343nm輻射。343nm輻射及515nm輻射可組合於第三晶體上以產生205nm輻射。
當在MPC上游產生UV輻射時,UL輻射透射可由諸如熔融矽石或可相當材料之材料製成的輻射光束輸入806可係困難的。UV輻射透射諧波產生與需要被清潔之表面區域之間的任何其他光學件亦係困難的。一個替代解決方案係使用其中用於THG或FHG之諧波產生總成置放於經加壓腔室801內部的實施例。在一個實施例中,諧波產生總成置放在輸入輻射光束之光束路徑中及在其中其不顯著影響MPC之頻譜加寬操作的位置處。此位置可在輻射光束輸入806附近以使得UV輻射在待清潔之光學件上游產生。在另一實施例中,諧波產生總成置放在一平移載物台上,該平移載物台可在清潔循環期間移動至輸入輻射光束之光束路徑中,並在頻譜加寬操作期間移出光束路徑。視情況,光束路徑中之位置可係在兩個對置反射鏡面820與822之間,因此存在許多傳遞通過諧波產生總成。因此,可產生大量UV輻射,且在兩個對置反射鏡面820及822上之每一彈跳可經 提供有個別地產生之UV輻射脈衝。
另一實施例為一總成,其中一氣體介質與清潔氣體混合以在清潔循環期間替換經加壓腔室801中之MPC氣體。氣體介質可藉由與在MPC之中心聚焦區處的合適氣體物質之非線性相互作用將輸入輻射光束之一部分轉換成其第三或第五或任何其他諧波。氣體介質可為例如稀有氣體,視情況包含氖氣(Ne)、氦氣(He)、氬氣(Ar)、氮氣(N2)、氧氣(O2)、氪氣(Kr)及氙氣(Xe)中之一或多者。
一些氣體當施加至充分高強度之輻射時發射第三諧波輻射。使用氣體介質之益處係其可在不另外添加光學元件的情況下實施於MPC中。在第二參考文件,應用光學件45,748(2006年),R.A.Ganeev等人之「THG in air by use of femtosecond radiation in tight-focusing conditions」(其以全文引用的方式併入本文中)中,已依據實驗參數研究藉由將雷射光束聚焦至空氣中THG的效率。可推斷THG轉換效率(CE)大致按比例調整為CE
Figure 111115347-A0305-02-0035-4
p 2 I 4
其中p為氣體壓力,且I為輸入輻射光束強度。第二參考文件主張在2e14W/cm2之輸入輻射光束強度下的1e-3之CE。在MPC中,焦點區中之強度較低,典型地介於幾倍的1e13W/cm2範圍內。給定上述等式中之按比例調整,當在類似於第二參考文件中之條件下使用空氣時,MPC中之THG的CE將為大約1e-7。應注意,THG係僅僅為了說明而提及,同時機構工作亦用於諧波產生之其他階。存在為何MPC中具有氣體介質之THG可實質上更高效的兩個原因。第一,第二參考文件建議所提及之大多數量測係在102至104Pa之間的壓力下進行,而MPC中之壓力典型地為多個巴。給定 上述等式中之壓力按比例調整,當在類似於第二參考文件中之條件下使用空氣時,MPC中之CE可為至少一個數量級但更可能高若干個數量級。第二,空氣並非為用於THG之最佳介質。舉例而言,氙氣因其有利非線性性質而已知。除在上述等式中之比例外,THG之CE根據Opt.Comm.56,67(1985年),H.J.Lehmeier等人之「Nonresonant third order hyperpolarizability of rare gases and N2 determined by THG」的第三參考文件隨原子特性按比例調整,該第三參考文件以全文引用的方式併入本文中:
Figure 111115347-A0305-02-0036-3
其中γ (3)為氣體之三階超極化率,且η 1η 3分別為用於泵輻射及第三諧波輻射的氣體之折射率。此等式中之分子考慮原子轉換泵輻射所藉以的效率。分母大致考慮歸因於泵輻射之相速高於所產生第三諧波輻射之相速的總效率之減小。使用用於上述等式之右手側之量的第三參考文件中之量測值,THG效率用於氙氣比用於空氣高約5倍。此效率差已由第三參考文件中之量測確認。因此,可合理地預期約1e-5至1e-4之THG效率可當在多巴壓力下操作於合適氣體中時在MPC中獲得。類似於具有固體介質之實施例,此可導致UV光束與輸入輻射光束同軸地傳播。當適合量之清潔氣體(例如氧氣)與氣體介質混合時,此可導致藉由所產生反應性介質(例如臭氧)正好在輸入輻射光束命中光學元件所在的表面區域處清潔光學元件。
除了氧氣以外,呈氣相之其他材料亦可作為清潔氣體工作。舉例而言,清潔氣體可包含H2O、NO2、CO2、H2O2及H2中之一或多者。在反應程序期間,NO2、CO2可放棄O以變成氣體狀的NO及CO。H2O2與H2O相比可甚至更容易放棄O,具有更高露點,與在經加壓腔室 801之內壁上吸收相比花費更多時間在氣相中,並有助於在其沈積之前氧化CxHy。H2可產生足夠量H*以用於移除LIC。氧氣為了報告中之說明目的僅僅用作實例。MPC氣體中之清潔氣體以莫耳分率計小於10%、視情況小於5%、視情況小於1%及視情況小於0.1%。
與氣體介質之實施例相比較,固體介質可受損於具有高功率之輸入輻射光束,造成不需要之反射,並使得光束變形。固體介質實施例之優點為可產生具有較高功率之UV輻射。氣體介質實施例之缺點為其可需要獨立清潔循環,在獨立清潔循環期間經加壓腔室801充滿氣體介質及氧氣混合物,且MPC之正常操作可被中斷。在固體介質實施例中,MPC操作及清潔循環兩者可潛在地同時執行。
另一實施例為其中氣體介質與MPC氣體802混合或以氣體介質替換MPC氣體的一總成,。在此實施例中,不存在在正常操作(頻譜加寬)期間之氣體與在清潔循環(THG)期間與清潔氣體混合之氣體介質之間的氣體改變,但經加壓腔室801係以一折衷混合物填充,該折衷混合物導致足夠頻譜加寬同時亦導致低但穩定層級THG用於LIC清潔。視情況,大部分折衷混合物可為MPC氣體,且折衷混合物包含具有足夠低濃度之少量清潔氣體以免干擾頻譜加寬操作。因此,清潔氣體之含量應足夠高以產生充分高清潔速率,但亦應足夠低以免不利地影響輸入輻射光束之頻譜加寬操作,其為MPC之主要功能。過多清潔氣體可蝕刻MPC之組件且清潔氣體之部分壓力可能需要在某一區內以實施足夠清潔同時避免不需要的蝕刻。舉例而言,經加壓腔室內部之折衷混合物可具有100毫巴至數十巴之壓力且氣體混合物中之氧氣小於10%、視情況小於5%、視情況,小於1%及視情況小於0.1%。此實施例之益處係清潔在頻譜加寬操作期間不斷地 (視情況,以低速率)發生。效應可為防止表面區域上的LIC積聚且光學元件保持清潔。
另一實施例包含如圖11中展示之總成1100。總成1100可用於用於LIC清潔的諧波產生,視情況輸入輻射光束1130之第三或第五諧波。輸入輻射光束1130可為圖8中之輸入輻射光束830的一個實例。總成1100包含兩個對置反射鏡面1122及1120,輸入輻射光束1130在兩個對置反射鏡面之間循環許多次。兩個對置反射鏡面1122及1120可為圖8中之兩個對置反射鏡面820及822的一個實例。總成1100可進一步包含可為圖7中之氣體噴嘴609之一個實例的氣體噴嘴1109。氣體噴嘴可接近於如上文所提及之中心聚焦區而置放且可遞送一氣流1115,該氣流可為圖7中所展示之氣流615的一個實例。視情況,氣流具有藉由箭頭指示之流動方向。視情況,氣體捕獲器1104係在流動方向上且接近於氣體噴嘴1109以吸取氣體分子。氣體捕獲器1104係可選的且不具有氣體捕獲器1104之實施例存在。氣體噴嘴1109可提供具有高壓之氣流,低階諧波(例如第三或第五諧波)自該高壓產生。諧波產生程序之效率可介於10-5至10-2範圍內。在MPC中,藉由4巴Ar產生的UV輻射之強度可足以在存在清潔氣體(可選氧氣)下清潔光學件。此實施例能夠使得產生具有比具有氣體介質之上文所提及之實施例甚至更高之強度的UV輻射且能夠使得自氣體介質(亦即氣流1115)產生UV輻射,該氣體介質可為與MPC氣體不同的氣體。此實施例1100可實施於MPC(或MPC之部分)800或適合於諧波產生或具有兩個對置反射鏡面的任何其他總成中。在一個實施例中,總成係在以單元氣體填充的一單元內部,且氣流具有與單元氣體相同之氣體。在一個實施例中,總成係在以單元氣體填充的一單元內部,且氣流具有與單元氣體不同之氣體。
上文所提及之實施例依賴於藉由輸入輻射光束自身的諧波產生,藉此避免引入外部清潔裝備(諸如UV燈或電漿產生器)至MPC中並僅在輸入輻射光束命中光學元件所在的表面區域處在本端以LIC為目標。此等實施例因此可比現有技術更便宜且更高效。
儘管第三、第四及第五諧波產生已在上述文字中提及,但應理解所描述之諧波階僅係說明。將瞭解本發明不限於任何特定諧波階。
在一實施例中,為防止LIC(視情況,烴沈積),冷凝管置放於氣體單元內部。冷凝管之操作原理係真空單元內部之分子可在擊中冷凝管之表面時黏著至冷凝管之表面,以使得分子係在冷凝管之表面上且真空單元內部之真空改良。當氣體單元之壓力較低時,分子可同時擊中冷凝管之表面,而當壓力較高時,流動可經產生以引導分子至表面並被吸附。在氣體單元中,冷凝管可為具有比氣體單元之內壁更低之溫度或具有比氣體單元內部之氣體更低之溫度的一件材料。在此實施例中,目標並不係移除分子,而係在污染物沈積在光學元件上之前可自氣體單元內部的氣體移除引起LIC的污染物,同時使氣體不受影響。視情況,氣體單元為經加壓腔室801且氣體單元內部之氣體為MPC氣體802。
表面上的分子之滯留時間隨冷凝管之表面的溫度降低而增加。較長滯留時間可降低氣體單元中之分子的部分壓力。除了滯留時間以外,決定冷凝管工作如何的另一態樣係某一氣體之分子是否黏著至冷凝管,其藉由其蒸汽壓之材料性質控制。每一材料具有溫度相關蒸汽壓。舉例而言,對於水,當溫度為100度時此壓力為1巴,因此在正常情形下水在該溫度下沸騰。然而,當環境壓力降低時,水分子可較容易自液體逃逸,且水在較低溫度下沸騰。在20度下水蒸氣壓力已下降至24毫巴,且 在125K下差不多下降至零。類似情況適用於鋼上之污染物(例如烴)。當鋼之溫度較低時,黏著於鋼之表面上的烴可不具有足夠能量來克服吸附能量。因此,一旦分子或污染物黏著於冷凝管之表面上,其可不逃逸。
一些氣體(例如一些輕稀有氣體及氫氣)甚至在低溫下具有高蒸氣壓力。因此,當冷凝管被置放於具有高壓輕稀有氣體或氫氣之氣體單元中時,輕稀有氣體或氫氣仍然可保持處於高壓但其他分子(例如污染物)可藉由冷凝管收集。舉例而言,對於氬氣,當溫度高於約-175度時蒸汽壓高於3巴。換言之,在以3巴氬氣填充的MPC中,冷凝管可在低至-175度之溫度下操作而不產生任何不需要的氬氣冷凝。類似地,冷凝管可在降至低溫溫度下在大多數稀有氣體中在幾巴壓力下操作。
上文所提及之冷凝管可單獨地或與其他實施例(例如用於自具有氣體介質或固體介質之光學元件之表面區域移除污染物的實施例)組合實施。
上文所提及之實施例可用於與毛細管整合之MPC、超連續光譜光源及視情況使用EUV輻射之微影設備。上文所提及之實施例亦可用於清潔光學元件(例如毛細管結構(視情況,用於HHG之毛細管)中之窗)。上文所提及之實施例亦可用於視情況使用EUV輻射源的超連續光譜光源光學件清潔及微影設備之光學元件清潔。上文所提及之實施例可用於在操作中包含光學元件及輻射光束之任何設備或系統。
照明源可經提供於例如度量衡設備MT、檢測設備、微影設備LA及/或微影單元LC中。
上文所提及之實施例全部可在用於移除形成光學元件之表面區域的污染物的方法中使用。第一步驟輸入一第一輻射(例如輸入輻射 光束)至一介質中以視情況經由HHG產生一第二輻射。視情況,第二輻射與第一輻射同軸地傳播併入射至在介質之後的光學元件之表面區域上。視情況,光學元件之表面區域透射或反射第一輻射。第二步驟為提供與表面區域接觸之清潔氣體且第三步驟為藉由第二輻射自清潔氣體的至少一部分產生反應性介質以用於自表面區域移除污染物。方法可包含若適用在上述實施例中之任一者中描述的特徵中之任一者。
在另一實施例中,存在其中包含機器可讀指令之非暫時性電腦程式產品。指令在藉由電腦系統執行時經組態以使得電腦系統至少使得執行如上文所提及之實施例中任一者之方法。
在後續經編號條項中揭示另外實施例:
1.一種總成,其包含一空間,其經組態用於置放一介質以接收一第一輻射以用於產生一第二輻射,使得在操作中該第二輻射在該介質之後與該第一輻射同軸地傳播;及一光學元件,其在該介質之後以用於運用一表面區域透射或反射該第一輻射,其中該總成經組態以使得在操作中一清潔氣體與該表面區域接觸,且其中一反應性介質係藉由該第二輻射自該清潔氣體之至少一部分產生以用於自該表面區域移除一污染物。
2.如條項1之總成,其中該介質為一氣體介質。
3.如條項2之總成,其中該氣體介質包含氖氣、氦氣、氬氣、氮氣、氧氣、氪氣及氙氣中之一或多者。
4.如條項1之總成,其中該介質為一固體介質。
5.如條項4之總成,其中該固體介質包含一非線性晶體。
6.如前述條項中之任一項的總成,其中該清潔氣體包含O2、H2O、NO2、CO2、H2O2及H2中之一或多者,且視情況,該氣體介質與該清潔氣體混合物中之該清潔氣體以莫耳分率計小於10%、視情況小於5%、視情況小於1%及視情況小於0.1%。
7.如前述條項中任一項之總成,其中該第一輻射具有在紅外線範圍內之一第一波長。
8.如前述條項中任一項之總成,其中該第二輻射具有在100nm至260nm範圍內之一第二波長。
9.如前述條項中任一項之總成,其中該第二輻射具有在0.01W至10W範圍內之一功率。
10.如前述條項中任一項之總成,其中該總成經組態以壓縮該第一輻射之一脈衝。
11.如前述條項中任一項之總成,其中該污染物為一雷射誘發之污染物,視情況一碳層。
12.如前述條項中任一項之總成,其中該第二輻射係經由一第三、第四或第五諧波產生程序產生。
13.如前述條項中任一項之總成,其中該總成為一多程單元。
14.如前述條項中任一項之總成,其中該光學元件為一鏡面及/或透鏡。
15.如前述條項中任一項之總成,其進一步包含一冷凝管。
16.如條項2至15中任一項之總成,進一步包含用以將一氣流作為該 氣體介質遞送的一氣體噴嘴。
17.如條項16之總成,其中該氣體噴嘴接近於兩個對置反射鏡面之一中心聚焦區而置放。
18.如條項16或17之總成,其進一步包含用以吸取該氣流之氣體分子的一氣體捕獲器。
19.如條項16至18中任一項之總成,其中該總成係在以一單元氣體填充的一單元內部且其中該氣流具有與該單元氣體不同的一氣體。
20.一種輻射源,其包含一泵輻射源,如條項1至19中任一項之總成,及一高階諧波產生源,其中該總成經置放於該泵輻射源與該高階諧波產生源之間以壓縮藉由該泵輻射源產生的該第一輻射之一脈衝。
21.如條項20之輻射源,其中該泵輻射源為視情況在1030nm下操作的一鏡雷射。
22.一種度量衡設備,其包含如條項1至19中任一項之總成或如條項20或21之輻射源。
23.一種微影設備,其包含如條項1至19中任一項之總成或如條項20或21之輻射源。
24.一種微影單元,其包含如條項1至19中任一項之總成或如條項20或21之輻射源。
25.一種方法,其包含輸入一第一輻射至一介質中以產生一第二輻射,使得該第二輻射在 該介質之後與該第一輻射同軸地傳播併入射至在該介質之後的一光學元件之一表面區域上,其中該光學元件之該表面區域透射或反射該第一輻射,提供與該表面區域接觸之一清潔氣體,及藉由該第二輻射自該清潔氣體之至少一部分產生一反應性介質以用於自該表面區域移除一污染物。
26.如條項25之方法,其中該介質為一氣體介質。
27.如條項26之方法,其中該氣體介質包含氖氣、氦氣、氬氣、氮氣、氧氣、氪氣及氙氣中之一或多者。
28.如條項25之方法,其中該介質為一固體介質。
29.如條項28之方法,其中該固體材料包含一非線性晶體。
30.如條項25至29中任一項之方法,其中該清潔氣體包含O2、H2O、NO2、CO2、H2O2及H2中之一或多者,且視情況,該氣體介質與該清潔氣體混合物中之該清潔氣體以莫耳分率計小於10%、視情況小於5%、視情況小於1%及視情況小於0.1%。
31.如條項25至30中任一項之方法,其中該第一輻射具有在紅外線範圍內之一第一波長。
32.如條項25至31中任一項之方法,其中該第二輻射具有在100nm至260nm範圍內之一第二波長。
33.如條項25至32中任一項之方法,其中該第二輻射具有在0.01W至10W範圍內之一功率。
34.如條項25至33中任一項之方法,其進一步包含壓縮該第一輻射之一脈衝。
35.如條項25至34中任一項之方法,其中該污染物為一雷射誘發之污染物,視情況一碳層。
36.如條項25至35中任一項之方法,其中該第二輻射係經由一第三、第四或第五諧波產生程序產生。
37.如條項25至36中任一項之方法,其中該總成為一多程單元。
38.如條項25至37中任一項之方法,其中該光學元件為一鏡面及/或透鏡。
39.一種非暫時性電腦程式產品,其中包含機器可讀指令,該等指令在由一電腦系統執行時經組態以使得該電腦系統至少使得執行如條項25至38中任一項之方法。
儘管可在本文中特定地參考在IC製造中微影設備之使用,但應理解,本文中所描述之微影設備可具有其他應用。可能其他應用包括製造整合式光學系統、用於磁疇記憶體之導引及偵測圖案、平板顯示器、液晶顯示器(LCD)、薄膜磁頭,等等。
儘管可在本文中特定地參考在微影設備之內容背景中之實施例,但實施例可用於其他設備中。實施例可形成光罩檢測設備、度量衡設備或量測或處理諸如晶圓(或其他基板)或光罩(或其他圖案化裝置)之物件之任何設備之部分。此等設備通常可稱作微影工具。此微影工具可使用真空條件或周圍(非真空)條件。
儘管可在本文中特定地參考在檢測或度量衡設備之內容背景中之實施例,但實施例可用於其他設備中。實施例可形成光罩檢測設備、微影設備或量測或處理諸如晶圓(或其他基板)或光罩(或其他圖案化裝置)之物件的任何設備之部分。術語「度量衡設備」(或「檢測設備」) 亦可指檢測設備或檢測系統(或度量衡設備或度量衡系統)。例如包含一實施例之檢測設備可用於偵測基板之缺陷或基板上之結構之缺陷。在此實施例中,基板上之結構之所關注特性可能與結構中之缺陷、結構之特定部分之不存在或基板上之非所需的結構之存在相關。
儘管上文可特定地參考在光學微影之內容背景下對實施例之使用,但應瞭解,本發明在內容背景允許之情況下不限於光學微影且可用於其他應用(例如,壓印微影)中。
雖然上文所描述之目標或目標結構(更一般而言,基板上之結構)為量測之目的而特定設計及形成的度量衡目標結構,但在其他實施例中,可對作為在基板上形成之裝置之功能性部分的一或多個結構量測所關注性質。許多裝置具有規則的類光柵結構。如本文中所使用之術語結構、目標光柵及目標結構不要求已特定針對正執行之量測來提供結構。另外,度量衡目標之間距可接近於散射計之光學系統的解析度極限或可能更小,但可能比目標部分C中之藉由微影程序製得的典型非目標結構(視情況產品結構)之尺寸大得多。實務上,可使目標結構內之疊對光柵之線及/或空間包括在尺寸上相似於非目標結構之較小結構。
雖然上文已描述特定實施例,但將瞭解,可以與所描述之方式不同的其他方式來實踐本發明。上方描述意欲為說明性,而非限制性的。由此,對於熟習此項技術者將顯而易見,可在不脫離下文所闡述之申請專利範圍之範疇的情況下對所描述之本發明進行修改。
儘管特別提及「度量衡設備/工具/系統」或「檢測設備/工具/系統」,但此等術語可指相同或相似類型之工具、設備或系統。例如,包含本發明之實施例的檢驗或度量衡設備可用於判定在基板上或在晶 圓上的結構之特性。例如,包含本發明之實施例的檢查設備或度量衡設備可用於偵測在基板上或在晶圓上的基板之缺陷或結構之缺陷。在此類實施例中,基板上之結構的所關注之特性可能關於結構中之缺陷、結構之特定部分之不存在或基板上或晶圓上之非所需的結構之存在。
儘管特定地參考HXR、SXR及EUV電磁輻射,但應瞭解,本發明在內容背景允許之情況下可藉由所有電磁輻射來實踐,該等電磁輻射包括無線電波、微波、紅外線、(可見)光、紫外線、X射線及γ射線。
雖然上文已描述特定實施例,但應瞭解,一個實施例中之特徵中之一或多者亦可存在於不同實施例中,且亦可組合兩個或多於兩個不同實施例中之特徵。
800:多程單元/MPC
801:經加壓腔室
802:MPC氣體
804:輻射輸出
806:輻射光束輸入
808:光學件
820:反射鏡面
822:反射鏡面
830:輸入輻射光束

Claims (15)

  1. 一種光學總成,其包含一空間,其經組態用於置放一介質以接收一第一輻射以用於產生一第二輻射,使得在操作中,該第二輻射在該介質之後與該第一輻射同軸地(coaxially)傳播;及一光學元件,其在該介質之後以用於運用一表面區域透射或反射該第一輻射,其中該光學總成經組態以使得在操作中,一清潔氣體與該表面區域接觸,且其中一反應性介質(reactive medium)藉由該第二輻射自該清潔氣體之至少一部分產生,以用於自該表面區域移除一污染物。
  2. 如請求項1之光學總成,其中該介質為一氣體介質。
  3. 如請求項2之光學總成,其中該氣體介質包含氖氣、氦氣、氬氣、氮氣、氧氣、氪氣及氙氣中之一或多者。
  4. 如請求項1之光學總成,其中該介質為一固體介質。
  5. 如請求項4之光學總成,其中該固體介質包含一非線性晶體(nonlinear crystal)。
  6. 請求項1至5中任一項之光學總成,其中該清潔氣體包含O2、H2O、NO2、CO2、H2O2及H2中之一或多者。
  7. 如請求項6之光學總成,其中一或該氣體介質與該清潔氣體混合物中之該清潔氣體以莫耳分率計小於10%、視情況小於5%、視情況小於1%,且視情況小於0.1%。
  8. 如請求項1至5中任一項之光學總成,其中該第二輻射具有在100nm至260nm範圍內之一第二波長。
  9. 如請求項1至5中任一項之光學總成,其中該光學總成經組態以壓縮該第一輻射之一脈衝。
  10. 如請求項1至5中任一項之光學總成,其中該污染物為一雷射誘發之污染物,視情況為一碳層。
  11. 如請求項1至5中任一項之光學總成,其中該第二輻射係經由一第三、第四或第五諧波產生程序產生。
  12. 如請求項1至5中任一項之光學總成,其進一步包含一冷凝管(cold finger)。
  13. 一種輻射源,其包含 一泵輻射源,如請求項1至12中任一項之光學總成,及一高階諧波產生源,其中該光學總成置放於該泵輻射源與該高階諧波產生源之間,以壓縮由該泵輻射源產生的該第一輻射之一脈衝。
  14. 一種用於一光學元件之清潔方法,其包含輸入一第一輻射至一介質中以產生一第二輻射,使得該第二輻射在該介質之後與該第一輻射同軸地傳播,且入射至在該介質之後的該光學元件之一表面區域上,其中該光學元件之該表面區域透射或反射該第一輻射,提供與該表面區域接觸之一清潔氣體,及藉由該第二輻射自該清潔氣體之至少一部分產生一反應性介質,以用於自該表面區域移除一污染物。
  15. 一種非暫時性電腦程式產品,其中包含機器可讀指令,該等指令在由一電腦系統執行時經組態以使得該電腦系統至少造成執行如請求項14之清潔方法。
TW111115347A 2021-04-26 2022-04-22 光學總成、輻射源、用於光學元件之清潔方法、及相關的非暫時性電腦程式產品 TWI814356B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
EP21170472.1 2021-04-26
EP21170472 2021-04-26
EP21204494.5 2021-10-25
EP21204494.5A EP4170421A1 (en) 2021-10-25 2021-10-25 A cleaning method and associated illumination source metrology apparatus

Publications (2)

Publication Number Publication Date
TW202309632A TW202309632A (zh) 2023-03-01
TWI814356B true TWI814356B (zh) 2023-09-01

Family

ID=81328415

Family Applications (1)

Application Number Title Priority Date Filing Date
TW111115347A TWI814356B (zh) 2021-04-26 2022-04-22 光學總成、輻射源、用於光學元件之清潔方法、及相關的非暫時性電腦程式產品

Country Status (5)

Country Link
EP (1) EP4330768A1 (zh)
KR (1) KR20230171945A (zh)
IL (1) IL307270A (zh)
TW (1) TWI814356B (zh)
WO (1) WO2022228820A1 (zh)

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200831182A (en) * 2006-10-10 2008-08-01 Asml Netherlands Bv Cleaning method, apparatus and cleaning system
US20210033836A1 (en) * 2015-07-01 2021-02-04 Rafael Yuste System, method and computer-accessible medium for multi-plane imaging of neural circuits

Family Cites Families (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO1998057213A1 (fr) * 1997-06-10 1998-12-17 Nikon Corporation Dispositif optique, son procede de nettoyage, dispositif d'alignement de projection et son procede de fabrication
DE10211611A1 (de) * 2002-03-12 2003-09-25 Zeiss Carl Smt Ag Verfahren und Vorrichtung zur Dekontamination optischer Oberflächen
KR100585476B1 (ko) 2002-11-12 2006-06-07 에이에스엠엘 네델란즈 비.브이. 리소그래피 장치 및 디바이스 제조방법
EP1447718A3 (en) * 2003-02-14 2008-10-29 Canon Kabushiki Kaisha Exposure apparatus and method
US8075732B2 (en) * 2004-11-01 2011-12-13 Cymer, Inc. EUV collector debris management
JP4622720B2 (ja) * 2004-07-21 2011-02-02 日亜化学工業株式会社 窒化物半導体ウエハ又は窒化物半導体素子の製造方法
US7791727B2 (en) 2004-08-16 2010-09-07 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
JP5008849B2 (ja) * 2005-09-08 2012-08-22 ソニーモバイルディスプレイ株式会社 レーザ加工方法及び透明樹脂層を有する表示装置の製造方法
JP4911494B2 (ja) * 2006-03-18 2012-04-04 国立大学法人大阪大学 波長変換光学素子、波長変換光学素子の製造方法、波長変換装置、紫外線レーザ照射装置およびレーザ加工装置
US7481579B2 (en) 2006-03-27 2009-01-27 Jordan Valley Applied Radiation Ltd. Overlay metrology using X-rays
NL1036245A1 (nl) 2007-12-17 2009-06-18 Asml Netherlands Bv Diffraction based overlay metrology tool and method of diffraction based overlay metrology.
NL1036734A1 (nl) 2008-04-09 2009-10-12 Asml Netherlands Bv A method of assessing a model, an inspection apparatus and a lithographic apparatus.
NL1036857A1 (nl) 2008-04-21 2009-10-22 Asml Netherlands Bv Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method.
CN102171618B (zh) 2008-10-06 2014-03-19 Asml荷兰有限公司 使用二维目标的光刻聚焦和剂量测量
US20100192973A1 (en) * 2009-01-19 2010-08-05 Yoshifumi Ueno Extreme ultraviolet light source apparatus and cleaning method
CN101515105B (zh) 2009-03-26 2010-07-21 上海交通大学 基于超声波调制的准相位匹配高次谐波装置
NL2005162A (en) 2009-07-31 2011-02-02 Asml Netherlands Bv Methods and scatterometers, lithographic systems, and lithographic processing cells.
WO2012022584A1 (en) 2010-08-18 2012-02-23 Asml Netherlands B.V. Substrate for use in metrology, metrology method and device manufacturing method
US20120049151A1 (en) * 2010-08-30 2012-03-01 Invenlux Corporation Light-emitting devices with two-dimensional composition-fluctuation active-region and method for fabricating the same
US8633459B2 (en) * 2011-03-02 2014-01-21 Cymer, Llc Systems and methods for optics cleaning in an EUV light source
US10801975B2 (en) 2012-05-08 2020-10-13 Kla-Tencor Corporation Metrology tool with combined X-ray and optical scatterometers
US10013518B2 (en) 2012-07-10 2018-07-03 Kla-Tencor Corporation Model building and analysis engine for combined X-ray and optical metrology
US9116445B2 (en) * 2012-11-29 2015-08-25 Kla-Tencor Corporation Resonant cavity conditioning for improved nonlinear crystal performance
KR102355347B1 (ko) 2014-11-26 2022-01-24 에이에스엠엘 네델란즈 비.브이. 계측 방법, 컴퓨터 제품 및 시스템
NL2016472A (en) 2015-03-25 2016-09-30 Asml Netherlands Bv Metrology Methods, Metrology Apparatus and Device Manufacturing Method.
KR102162234B1 (ko) 2015-06-17 2020-10-07 에이에스엠엘 네델란즈 비.브이. 레시피간 일치도에 기초한 레시피 선택
NL2017943A (en) 2015-12-23 2017-06-28 Asml Netherlands Bv Metrology methods, metrology apparatus and device manufacturing method
US11035804B2 (en) 2017-06-28 2021-06-15 Kla Corporation System and method for x-ray imaging and classification of volume defects
US10959318B2 (en) 2018-01-10 2021-03-23 Kla-Tencor Corporation X-ray metrology system with broadband laser produced plasma illuminator

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200831182A (en) * 2006-10-10 2008-08-01 Asml Netherlands Bv Cleaning method, apparatus and cleaning system
US20210033836A1 (en) * 2015-07-01 2021-02-04 Rafael Yuste System, method and computer-accessible medium for multi-plane imaging of neural circuits

Also Published As

Publication number Publication date
EP4330768A1 (en) 2024-03-06
KR20230171945A (ko) 2023-12-21
IL307270A (en) 2023-11-01
TW202309632A (zh) 2023-03-01
WO2022228820A1 (en) 2022-11-03

Similar Documents

Publication Publication Date Title
US20230366815A1 (en) Metrology method for measuring an exposed pattern and associated metrology apparatus
NL2024462A (en) An illumination source and associated metrology apparatus
US20240004312A1 (en) Metrology apparatus based on high harmonic generation and associated method
US20230100123A1 (en) Metrology measurement method and apparatus
TWI814356B (zh) 光學總成、輻射源、用於光學元件之清潔方法、及相關的非暫時性電腦程式產品
EP4170421A1 (en) A cleaning method and associated illumination source metrology apparatus
TWI812269B (zh) 照明源及相關的方法裝置
TWI755098B (zh) 照明源及相關聯度量衡設備
TWI842595B (zh) 照明源及相關的方法裝置
US20240003809A1 (en) Metrology apparatus and metrology methods based on high harmonic generation from a diffractive structure
EP4250010A1 (en) Apparatus and methods for filtering measurement radiation
US20220326152A1 (en) An improved high harmonic generation apparatus
WO2024033025A1 (en) A radiation source
EP3962241A1 (en) An illumination source and associated metrology apparatus
EP3839621A1 (en) An illumination source and associated metrology apparatus
TW202401138A (zh) 用於過濾量測輻射之設備及方法
EP3790364A1 (en) An improved high harmonic generation apparatus
TW202411772A (zh) 薄膜及相關方法及設備
CN117501175A (zh) 照射源和相关联的方法设备
CN116670577A (zh) 基于高次谐波产生的量测设备及相关方法
NL2025095A (en) Metrology measurement method and apparatus