CN110520715A - 基于光学散射测量的工艺稳健叠加计量 - Google Patents

基于光学散射测量的工艺稳健叠加计量 Download PDF

Info

Publication number
CN110520715A
CN110520715A CN201880025696.XA CN201880025696A CN110520715A CN 110520715 A CN110520715 A CN 110520715A CN 201880025696 A CN201880025696 A CN 201880025696A CN 110520715 A CN110520715 A CN 110520715A
Authority
CN
China
Prior art keywords
superposition
target
metering
scatterometry
measurement
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201880025696.XA
Other languages
English (en)
Inventor
S·潘戴夫
陆伟
A·舒杰葛洛夫
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
KLA Corp
Original Assignee
KLA Tencor Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by KLA Tencor Corp filed Critical KLA Tencor Corp
Publication of CN110520715A publication Critical patent/CN110520715A/zh
Pending legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/8851Scan or image signal processing specially adapted therefor, e.g. for scan signal adjustment, for detecting different kinds of defects, for compensating for structures, markings, edges
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/14Measuring arrangements characterised by the use of optical techniques for measuring distance or clearance between spaced objects or spaced apertures
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/17Systems in which incident light is modified in accordance with the properties of the material investigated
    • G01N21/47Scattering, i.e. diffuse reflection
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F7/00Methods or arrangements for processing data by operating upon the order or content of the data handled
    • G06F7/38Methods or arrangements for performing computations using exclusively denominational number representation, e.g. using binary, ternary, decimal representation
    • G06F7/48Methods or arrangements for performing computations using exclusively denominational number representation, e.g. using binary, ternary, decimal representation using non-contact-making devices, e.g. tube, solid state device; using unspecified devices
    • G06F7/4806Computations with complex numbers
    • G06F7/4812Complex multiplication
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T7/00Image analysis
    • G06T7/0002Inspection of images, e.g. flaw detection
    • G06T7/0004Industrial image inspection
    • G06T7/001Industrial image inspection using an image reference approach
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T7/00Image analysis
    • G06T7/60Analysis of geometric attributes
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/9501Semiconductor wafers
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2207/00Indexing scheme relating to methods or arrangements for processing data by operating upon the order or content of the data handled
    • G06F2207/38Indexing scheme relating to groups G06F7/38 - G06F7/575
    • G06F2207/48Indexing scheme relating to groups G06F7/48 - G06F7/575
    • G06F2207/4802Special implementations
    • G06F2207/4818Threshold devices
    • G06F2207/4824Neural networks
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2207/00Indexing scheme for image analysis or image enhancement
    • G06T2207/10Image acquisition modality
    • G06T2207/10056Microscopic image
    • G06T2207/10061Microscopic image from scanning electron microscope
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2207/00Indexing scheme for image analysis or image enhancement
    • G06T2207/20Special algorithmic details
    • G06T2207/20081Training; Learning
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2207/00Indexing scheme for image analysis or image enhancement
    • G06T2207/20Special algorithmic details
    • G06T2207/20084Artificial neural networks [ANN]
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2207/00Indexing scheme for image analysis or image enhancement
    • G06T2207/30Subject of image; Context of image processing
    • G06T2207/30108Industrial image inspection
    • G06T2207/30148Semiconductor; IC; Wafer

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Theoretical Computer Science (AREA)
  • Computer Vision & Pattern Recognition (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Immunology (AREA)
  • General Health & Medical Sciences (AREA)
  • Biochemistry (AREA)
  • Analytical Chemistry (AREA)
  • Chemical & Material Sciences (AREA)
  • Health & Medical Sciences (AREA)
  • Pathology (AREA)
  • Pure & Applied Mathematics (AREA)
  • Mathematical Optimization (AREA)
  • Mathematical Analysis (AREA)
  • Computing Systems (AREA)
  • Computational Mathematics (AREA)
  • Quality & Reliability (AREA)
  • Signal Processing (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Length Measuring Devices By Optical Means (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)

Abstract

本发明描述用于基于训练测量模型的稳固叠加误差测量的方法及系统。从由基于散射测量的叠加计量系统从实验设计DOE晶片收集的原始散射测量数据训练所述测量模型。每一测量位点包含以编程叠加变化及已知工艺变化制造的一或多个计量目标。以已知计量系统变化测量每一测量位点。以此方式,所述测量模型经训练以使实际叠加与影响叠加测量的工艺变化及计量系统变化分离。因此,由所述训练测量模型估计实际叠加对工艺变化及计量系统变化具稳固性。基于从用于执行测量的相同计量系统收集的散射测量数据来训练所述测量模型。因此,所述测量模型对系统误差、不对称性等等不敏感。

Description

基于光学散射测量的工艺稳健叠加计量
相关申请案的交叉参考
本专利申请案根据35 U.S.C.§119规定主张2017年3月1日申请的标题为“使用光学散射测量的工艺稳健叠加计量的方法及系统(Method and System for Process-RobustOverlay Metrology Using Optical Scatterometry)”的第62/465,163号美国临时专利申请案的优先权,所述案的标的物以全文引用的方式并入本文中。
技术领域
所描述的实施例涉及计量系统及方法,且更特定来说,所描述的实施例涉及改进叠加测量的方法及系统。
背景技术
通常通过应用于样品的一系列处理步骤来制造例如逻辑及存储器装置的半导体装置。通过这些处理步骤来形成半导体装置的各种特征及多个结构层级。例如,其中的光刻是涉及在半导体晶片上产生图案的一个半导体制造工艺。半导体制造工艺的额外实例包含(但不限于)化学机械抛光、蚀刻、沉积及离子植入。可在单个半导体晶片上制造多个半导体装置且接着将多个半导体装置分离成个别半导体装置。
在半导体制造过程期间的各种步骤中使用计量过程来检测晶片上的缺陷以促成较高良率。光学计量技术提供高处理量及无样本破坏风险的可能性。通常使用大量基于光学计量的技术(其包含散射测量及反射测量实施方案及相关联的分析算法)来将纳米级结构的临界尺寸、膜厚度、组成、叠加及其它参数特性化。
通常通过将一系列层沉积于衬底上来制造半导体装置。一些或所有层包含各种图案化结构。特定层内及层之间的结构的相对位置对完成电子装置的性能至关重要。叠加是指晶片的相同或不同层上的上覆或交错结构的相对位置。叠加误差是指从上覆或交错结构的标称(即,所要)相对位置的偏差。叠加误差越大,结构错位越多。如果叠加误差过大,那么所制造的电子装置的性能会受损。
通常基于由光刻工具形成于晶片上的各种位置处的特定目标结构的测量来评估叠加误差。通常采用光学计量技术来执行叠加测量。在一些实例中,采用基于图像的叠加(IBO)计量技术。IBO测量涉及基于反射光来使特定目标成像。目标结构可呈许多形式,例如盒中盒结构或杆中杆结构。在一个实例中,盒产生于晶片的一个层上且第二较小盒产生于另一层上。通过比较两个盒的中心之间的对准来测量局部叠加误差。在其中目标结构可用的晶片的位置处进行此类测量。通过处理每一图像以从测量图像估计印刷于不同层上的目标特征之间的距离来测量叠加。
不幸地,这些特定目标结构通常不符合用于产生电子装置的特定半导体制造过程的设计规则。此导致估计与根据适用设计规则所制造的实际装置结构相关联的叠加误差时的误差。例如,IMO计量通常需要图案包含具有远超过设计规则临界尺寸的临界尺寸的粗线来由光学显微镜成功分辨。
在一些其它实例中,采用从叠加目标散射或衍射的光来估计叠加。基于散射测量的叠加计量技术(通常称为散射测量叠加(SCOL)或基于衍射的叠加(DBO))主要基于对应于来自两个不同目标(其各自具有编程叠加偏移)的衍射的光学信号的差分测量。基于这些差分测量来提取未知叠加误差。
基于散射测量的大多数现存方法基于对结构的不对称性敏感的度量来将叠加误差特性化。例如,现存角分辨散射测量叠加(SCOL)基于+1衍射级与-1衍射级之间的测量不对称性来将叠加特性化。然而,仅依靠不对称性作为叠加误差的指标是有问题的,因为工艺诱发的变化(其包含对称变化及不对称变化两者)显著影响叠加测量。例如,例如侧壁角不对称性、谱线轮廓不对称性或光束照明不对称性的不对称工艺变化耦合到测量信号的叠加产生不对称性中。此导致叠加误差的不准确测量。在其它实例中,例如膜厚度变化的对称工艺变化耦合到测量信号的叠加产生不对称性中。
通常,通过选择对工艺变化不敏感的特定照明波长且优化目标设计以降低对工艺变化的敏感度来解决对SCOL及DBO的工艺变化(即,影响测量不对称性的非叠加参数的变化)缺乏稳健性。不幸地,这两种方法受限于其有效性。例如,选择特定照明波长可导致小叠加测量误差,但仅在全工艺窗的小范围内。此使测量不可靠及不一致,从而需要频繁重新评估照明波长。目标设计优化非常耗时且需要结构、材料分散及光学系统的准确模型。验证模型的准确度也非常有挑战性,因为在开发配方时,掩模及目标通常不可用。另外,目标优化会降低对工艺变化的测量敏感度,但无法完全解决对全工艺变化窗的稳健性。
图1A到1C说明与在三个不同照明波长处执行的SCOL测量相关联的一个测量衍射级的光瞳图像。图1A描绘523纳米的照明波长处的测量衍射级的图像10。图1B描绘579纳米的照明波长处的测量衍射级的图像11。图1C描绘668纳米的照明波长处的测量衍射级的图像12。
如图1B中所说明,测量图像11归因于所述波长处的谐振而通过穿过图像的中间的弧失真。测量衍射级的总强度受谐振弧及所得叠加估计影响。图像10及12未展现此失真且与这些照明波长相关联的所得叠加估计更准确。
图2描绘波长范围内的通过SCOL系统的叠加测量的不准确度的绘图13。标绘线14描绘其中叠加不准确度飙升到不可接受程度的三个不同波长子范围。点15到17分别与图1A到1C中所说明的照明波长对应。图2通常称为不准确度态势(landscape)。此绘图用于分析叠加不准确度及对工艺变化的稳健性。
当前针对特定叠加测量应用的配方开发的方法要避免其中不准确度飙升的波长子区域。然而,对称及不对称工艺变化的存在引起不准确度态势的移位,其使适合照明波长的选择变复杂。
图3描绘各自与谱线轮廓不对称性的不同值相关联的若干不准确度态势的绘图20。标绘线21描绘不具有谱线轮廓不对称性的不准确度。标绘线22描绘具有2纳米的谱线轮廓不对称性的不准确度。标绘线23描绘具有4纳米的谱线轮廓不对称性的不准确度。标绘线24描绘具有8纳米的谱线轮廓不对称性的不准确度。如图3中所说明,随着结构不对称性增加(例如,归因于印刷误差),叠加测量的诱发不准确度的振幅增大。在此实例中,不准确度的增大与谱线轮廓不对称性的振幅成线性比例。
图4描绘各自与叠加结构的高度变化(对称工艺变化)的不同值相关联的若干不准确度态势的绘图30。标绘线31描绘不具有高度变化的不准确度。标绘线32描绘具有+6纳米的高度变化的不准确度。标绘线33描绘具有-6纳米的高度变化的不准确度。如图4中所说明,随着对称工艺变化改变,不准确度态势的波长移位。
图3及4说明叠加不准确度取决于对称及不对称变化。叠加误差由不对称工艺变化放大且因对称工艺变化而波长移位。如果存在对称工艺变化,那么叠加不准确度的峰值不固定于特定波长处。因此,基于特定不准确度态势来选择适合照明波长无法充分证明存在不对称及对称工艺变化。可试图通过依据涵盖对称及不对称工艺变化的范围的若干不准确度态势选择照明波长来缓解此风险,但在一些实例中,变化过大,使得不存在将导致足够准确叠加测量的照明波长。因此,在一些情况中,无法基于照明波长的选择来产生叠加测量方案。
不准确度态势的额外描述由布林霍兹(Bringholz)、巴拉克(Barak)等人的以下文献中呈现:“光学叠加计量中的准确度(Accuracy in optical overlay metrology)”,国际光学工程学会学报(Proc.of SPIE),第9778卷,9778H-1-19,2016年3月24日出版,其全文以引用的方式并入本文中。
常规SCOL及DBO技术需要四个不同目标(例如具有四个不同单元的计量目标)以在两个方向上测量叠加(即,两个单元与每一不同方向相关联)。此增加移动-获取-测量(MAM)时间及晶片上的目标面积。
另外,常规SCOL及DBO技术的叠加准确度受光学系统变化及像差显著影响。此使准确叠加测量及足够准确工具匹配难以实现。
未来叠加计量应用归因于越来越小分辨率要求及越来越高晶片面积值而使计量面临挑战。因此,期望用于改进叠加测量的方法及系统。
发明内容
本文描述用于基于训练测量模型的稳健叠加误差测量的方法及系统。从由基于散射测量的叠加计量系统从实验设计(DOE)晶片收集的原始散射测量数据训练所述测量模型。每一测量位点包含以编程叠加变化及已知工艺变化制造的一或多个计量目标。以已知计量系统变化测量每一测量位点。以此方式,所述测量模型经训练以使实际叠加与影响叠加测量的工艺变化及计量系统变化分离。因此,由所述训练测量模型估计实际叠加对工艺变化及计量系统变化具稳健性。
通过仅使用原始散射测量数据产生测量模型来减小与基于传统模型的计量方法相关联的误差及近似值。另外,测量模型对系统误差、不对称性等等不敏感,因为测量模型基于从特定计量系统收集的散射测量数据来训练且用于执行基于从相同计量系统收集的散射测量数据的测量。
在一个方面中,制造依据一或多个DOE晶片上的位置而变化的一组最佳编程叠加变化。在一些实例中,还制造依据DOE晶片上的位置而变化的一或多个工艺参数的跨晶片变化及一或多个结构参数的跨晶片变化(其诱发叠加测量的变化)。
在另一方面中,DOE包含与用于测量DOE计量目标以产生训练数据的(若干)散射测量系统相关联的一或多个系统参数值的不同值的范围。
在另一方面中,基于每一制造计量目标的一系列测量来估计与每一制造计量目标相关联的实际叠加的值,其中散射测量叠加测量系统本身采用零误差叠加(ZEO)估计法。ZEO法用于从在一些不同照明波长处执行的SCOL叠加测量更准确地估计叠加。
在另一方面中,每一测量位点包含具有光栅结构阵列的单个单元计量目标,所述光栅结构阵列在至少一个方向上具有周期性。因此,单个单元计量目标的散射测量对至少一个方向上的叠加敏感。在一些实施例中,单个单元计量目标包含在至少两个方向上具有周期性的光栅结构阵列。因此,单个单元目标的测量对两个方向上的叠加敏感。一般来说,经测量的叠加结构可定位于衬底的相同层或不同层上。
在另一方面中,单个单元计量目标包含在至少一个方向上具有两个或两个以上不同周期性的光栅结构阵列。例如,顶层的光栅及底层的光栅可由较小节距光栅或类装置结构分段。
在另一方面中,训练测量模型用作为用于测量具有未知叠加值的其它目标的测量模型。
在另一方面中,本文所描述的方法及系统不仅限于测量叠加误差。一般来说,本文所描述的基于散射测量的测量技术可应用于其它工艺、结构、分散参数或这些参数的任何组合的测量。通过非限制性实例,可测量轮廓几何形状参数(例如临界尺寸)、工艺参数(例如焦距及剂量)、分散参数、节距游动或参数的任何组合。必须提供每一关注参数的具有编程变化的一组训练目标。接着,基于在测量位点上收集的散射测量数据(其包含每一关注参数的编程变化的范围)来训练测量模型。
在又另一方面中,用于训练测量模型的方法及系统包含优化算法来使达成训练测量模型所需的任何或所有元件自动化。
在又另一方面中,收集来自多个不同目标的散射测量数据用于模型建构、训练及测量。使用与具有不同结构但由相同工艺条件及编程叠加值形成的多个目标相关联测量数据增加嵌入模型中的信息且减少与工艺或其它参数变化的叠加相关性。
在又另一方面中,收集从一或多个测量系统参数的多个值处执行的测量导出的测量数据用于模型建构、训练及测量。通过非限制性实例,在多个照明波长、偏振等等处执行的测量用于训练测量模型且使用本文所描述的训练测量模型执行测量。
在又另一方面中,收集从由多个不同测量技术的组合执行的测量导出的测量数据用于模型建构、训练及测量。使用与多个不同测量技术相关联的测量数据增加组合信号组中的信息内容且减少与工艺或其它参数变化的叠加相关性。
在另一方面中,可使用本文所描述的测量模型结果来将主动反馈提供到工艺工具(例如光刻工具、蚀刻工具、沉积工具等等)。例如,可将使用本文所描述的方法所确定的叠加误差的值传送到光刻工具以调整光刻系统来实现所要输出。以类似方式,可将蚀刻参数(例如蚀刻时间、扩散率等等)或沉积参数(例如时间、浓度等等)包含于测量模型中以将主动反馈分别提供到蚀刻工具或沉积工具。
上述内容是概述且因此必然会简化、一般化及省略细节;因此,所属领域的技术人员应了解,概述仅供说明且决不具限制性。将在本文所陈述的非限制性详细描述中明白本文所描述的装置及/或过程的其它方面、发明特征及优点。
附图说明
图1A到1C说明与在三个不同照明波长处执行的SCOL测量相关联的一个测量衍射级的光瞳图像。
图2描绘波长范围内的通过SCOL系统的叠加测量的不准确度的绘图。
图3描绘各自与谱线轮廓不对称性的不同值相关联的若干不准确度态势的绘图。
图4描绘各自与对称工艺变化的不同值相关联的若干不准确度态势的绘图。
图5说明用于根据本文所呈现的示范性方法测量叠加误差的系统100。
图6说明适合于由本发明的计量系统(例如图5中所说明的计量系统100)实施的方法。
图7说明适合于由本发明的计量系统(例如图5中所说明的计量系统100)实施的方法。
图8描绘一个实施例中的单个单元叠加计量目标的说明。
图9描绘另一实施例中的单个单元叠加计量目标的说明。
图10描绘包含依据波长而变化的若干叠加误差测量的绘图。
图11说明包含依据波长而变化的内插R曲线的绘图。
图12说明依据波长而变化的若干R曲线的绘图。
图13描绘说明与在不同波长处由SCOL计量系统执行的一系列测量相关联的叠加估计曲线及R曲线的绘图。
图14说明各自与在与峰值位置相隔不同距离处评估的测量叠加的晶片内变化相关联的一组点的绘图。
具体实施方式
现将详细参考背景实例及本发明的一些实施例,本发明的实例说明于附图中。
本文描述用于基于训练测量模型的稳健叠加误差测量的方法及系统。从由基于散射测量的叠加计量系统从实验设计(DOE)晶片收集的原始散射测量数据训练测量模型。每一测量位点包含以编程叠加变化及已知工艺变化制造的一或多个计量目标。以基于散射测量的叠加计量系统对其敏感的已知计量系统变化(即,测量系统参数值)测量每一测量位点。以此方式,测量模型经训练以使实际叠加与影响叠加测量的工艺变化及计量系统变化分离。因此,由训练测量模型估计实际叠加对工艺变化及计量系统变化具稳健性。因此,实际叠加的估计在整个照明波长范围内是一致及准确的且无需目标设计优化。
通过仅使用原始散射测量数据产生本文所描述的测量模型来减小与基于传统模型的计量方法相关联的误差及近似值。另外,测量模型对系统误差、不对称性等等不敏感,因为测量模型基于从特定计量系统收集的散射测量数据来训练且用于执行基于从相同计量系统收集的散射测量数据的测量。
图5说明用于根据本文所呈现的示范性方法测量叠加误差的系统100。如图5中所展示,系统100可用于执行样品107的一或多个结构的散射测量叠加(SCOL)测量。在此方面中,系统100可包含配备有照明器101及成像检测器111的散射计。系统100的照明器101经配置以产生及导引所选择波长或波长范围(例如100nm到2500nm)的照明到安置于样品107的表面上的结构。成像检测器111又经配置以接收从样品107的表面散射的照明。在一些实施例中,照明器101包含至少一个激光器。在一些这些实施例中,激光器能够基于从计算系统130接收的命令信号来选择照明波长。
在图5所描绘的实施例中,系统100在照明路径中包含波长选择装置102、光束整形装置103及偏振控制装置104。波长选择装置102包含经配置以选择性地使所要照明波长或波长范围通过且拒绝其它照明波长的一或多个光学元件(例如光学滤波器等等)。在一些实施例中,波长选择装置102由计算系统130控制。在这些实施例中,计算系统130经配置以将指示所要波长或波长范围的控制命令传送到波长选择装置102。作为响应,波长选择装置102选择性地使所要波长或波长范围通过。光束整形装置103包含经配置以整形提供到样品107的表面的照明光束的一或多个光学元件。在一些实施例中,光束整形装置103由计算系统130控制。在这些实施例中,计算系统130经配置以将指示所要光束形状的控制命令传送到光束整形装置103。作为响应,光束整形装置103选择性地重新整形照明光束以实现提供到样品107的表面的所要照明光束形状。在一些实施例中,从照明器101射出的照明光由偏振控制装置104偏振以产生提供到样品107的偏振照明光束。在一些实施例中,偏振控制装置104由计算系统130控制。在这些实施例中,计算系统130经配置以将指示所要偏振的控制命令传送到偏振控制装置104。作为响应,偏振控制装置104选择性地偏振照明光以实现所要偏振状态。将照明光束112导引到分束器元件105,分束器元件105将照明光束112导引向物镜106且导引到样品107的表面上。由安置于样品107上的受测量计量目标108散射的辐射由物镜106收集且通过分束器元件105。在一些实施例中,收集光113由偏振分析器装置109分析以产生提供到成像检测器111的分析收集光束。在一些实施例中,偏振分析器装置109由计算系统130控制。将收集光113导引到聚焦光学器件110,聚焦光学器件110使收集光113成像到成像检测器111上。成像检测器111定位于与样品107的表面结合的光瞳平面中。将测量光瞳图像120传送到计算系统130以分析受测量计量目标。
在另一实施例中,系统100可包含一或多个计算系统130,其用于执行基于根据本文所描述的方法所开发的训练测量模型的叠加测量。一或多个计算系统130可通信地耦合到成像检测器111。在一个方面中,一或多个计算系统130经配置以接收与安置于样品107上的计量目标的测量相关联的测量数据120。
应认识到,本发明中所描述的各种步骤可由单个计算机系统130或替代地,多个计算机系统130实施。此外,系统100的不同子系统(例如成像检测器111)可包含适合于实施本文所描述的步骤的至少一部分的计算机系统。因此,以上描述不应被解译为对本发明的限制,而是仅为说明。此外,一或多个计算系统130可经配置以执行本文所描述的任何方法实施例的任何其它(若干)步骤。
另外,计算机系统130可以所属领域中已知的任何方式通信地耦合到成像检测器111。例如,一或多个计算系统130可耦合到与成像检测器111相关联的计算系统。在另一实例中,成像检测器111可直接由耦合到计算机系统130的单个计算机系统控制。
叠加计量系统100的计算机系统130可经配置以通过可包含有线及/或无线部分的传输介质从系统的子系统(例如成像检测器111及类似物)接收及/或获取数据或信息。以此方式,传输介质可充当计算机系统130与系统100的其它子系统之间的数据链路。
叠加计量系统100的计算机系统130可经配置以通过可包含有线及/或无线部分的传输介质从其它系统接收及/或获取数据或信息(例如测量结果、建模输入、建模结果等等)。以此方式,传输介质可充当计算机系统130与其它系统(例如计量系统100板上存储器、外部存储器、参考测量源或其它外部系统)之间的数据链路。例如,计算系统130可经配置以经由数据链路从存储媒体(即,存储器132或外部存储器)接收测量数据。例如,使用成像检测器111所获得的测量结果可存储于永久或半永久存储器装置(例如存储器132或外部存储器)中。在此方面,测量结果可从板上存储器或外部存储器系统导入。此外,计算机系统130可经由传输介质将数据发送到其它系统。例如,由计算机系统130确定的参数模型或叠加参数121可传送及存储于外部存储器中。在此方面,测量结果可导出到另一系统。
计算系统130可包含(但不限于)个人计算机系统、主计算机系统、工作站、图像计算机、并行处理器或所属领域中已知的任何其它装置。一般来说,术语“计算系统”可经广义定义以涵盖具有一或多个处理器(其执行来自存储器媒体的指令)的任何装置。
实施例如本文所描述的方法的方法的程序指令134可通过例如导线、电缆或无线传输链路的传输介质传输。例如,如图5中所说明,存储于存储器132中的程序指令134通过总线133传输到处理器131。程序指令134存储于计算机可读媒体(例如存储器132)中。示范性计算机可读媒体包含只读存储器、随机存取存储器、磁盘或光盘或磁带。
图6说明适合于由本发明的计量系统(例如图5中所说明的计量系统100)实施的方法200。应认识到,在一个方面中,方法200的数据处理块可经由计算系统130或任何其它通用计算系统的一或多个处理器执行的预编程算法实施。本文中应认识到,计量系统100的特定结构方面不表示限制,而是仅应被解译为说明。
在框201中,使用由基于散射测量的叠加计量系统的光学照明源(例如照明器101)产生的照明光照射多个叠加计量目标中的每一者。以不同的已知编程叠加值及至少一个制造工艺变量的不同已知值制造多个计量目标。
一般来说,将用于训练的计量目标制造于一或多个实验设计(DOE)晶片上。以已知编程叠加暴露每一DOE晶片。编程叠加可具有DOE晶片的域内、DOE晶片的不同域之间或不同DOE晶片之间的不同值。通常,将编程叠加变化的范围设计成相同于或大于叠加的预期变化(即,叠加工艺窗)。
在一些实施例中,将叠加的变化组织成半导体晶片(例如DOE晶片)的表面上的实验设计(DOE)图案。以此方式,测量位点询问与不同叠加值对应的晶片表面上的不同位置。
在一些实施例中,编程叠加变化经设计以最小化与预期工艺变化及结构参数变化中的任何者的相关性。另外,编程叠加变化经设计以最小化与其它叠加结构(例如其它方向上的叠加、较深层的叠加等等)的变化的相关性。
在一个方面中,一组最佳编程叠加变化及其在一或多个DOE晶片上的位置由(例如)计算系统130确定。在一个实例中,计算系统130经配置以界定跨越叠加变化的预期范围的一组编程叠加值。例如,一组编程叠加值可包含在x方向上从-10纳米到10纳米且具有1纳米的分辨率的编程叠加值范围(即,编程叠加X={-10nm,-9nm,…,0nm…,9nm,10nm})及在y方向上从-10纳米到10纳米且具有1纳米的分辨率的编程叠加值范围(即,编程叠加Y={-10nm,-9nm,…,0nm…,9nm,10nm})。
在此实例中,计算系统130经进一步配置以确定一或多个工艺参数的跨晶片变化及一或多个结构参数的跨晶片变化(其诱发叠加测量的变化)。以此方式,将工艺变化及结构参数变化确定依据DOE晶片上的位置(例如{x,y}坐标)而变化。
计算系统130经进一步配置以将编程叠加值中的每一者赋予位置(例如,将{编程叠加X,编程叠加Y}的值映射到不同{x,y}晶片坐标)。位置的赋值经优化使得编程叠加变化与工艺变化之间的相关性最小化。
计算系统130经进一步配置以将编程叠加值及其赋值晶片坐标作为叠加DOE(即,扫描仪配方)传送到光刻工具。接着,光刻工具将编程叠加值印刷到(若干)DOE晶片上的所要位置处。
一般来说,以至少一个制造工艺变量的已知值制造DOE晶片组。在一些实施例中,以光刻焦距及剂量的编程值制造包括计量目标的晶片的一或多个层。然而,通过以较大数量制造工艺变量的已知值制造DOE晶片组来提高训练测量模型的稳健性。理想地,以跨越所有工艺及结构参数的工艺窗的已知值制造DOE晶片组。然而,制造时间及测量时间的实际限制对将在DOE组内考虑的工艺及结构变量的数目施加限制。
在一个实例中,DOE晶片组包含底部光栅结构的临界尺寸(CD)的编程值的大范围。在用于形成底部光栅图案的光刻步骤中以不同光刻剂量值重复制造底部光栅结构。
在另一实例中,DOE晶片组包含光栅叠加结构的焦距、剂量、x方向上的叠加及y方向上的叠加的编程值的范围及前一光栅层的焦距及剂量的编程值的范围。
在另一实例中,DOE晶片组包含每一工艺步骤(例如沉积、蚀刻、化学机械抛光等等)中的工艺参数值的范围。
在另一方面中,DOE包含与散射测量系统或用于测量DOE计量目标以产生训练数据的系统相关联的一或多个系统参数值的不同值的范围。因此,训练测量模型对测量系统变化(例如光学系统变化)具稳健性。在一个实例中,与每一计量目标的测量相关联的光学散射测量信号包含在多个不同系统条件下收集的信号。例如,所收集的光学信号可包含来自多个波长及多个偏振的信号。
在框202中,由(例如)图5中所描绘的散射测量叠加测量系统100的集光器件响应于照明光而收集从多个叠加计量目标中的每一者散射的光量。
在框203中,由基于散射测量的计量系统的光学检测器的多个像素检测从多个叠加目标中的每一者收集的光量的图像。与在每一不同测量系统配置处测量的DOE晶片组的计量目标中的每一者的测量相关联的检测光学信号包括训练数据量。
在图5所描绘的实施例中,成像检测器111的光学敏感区域定位于基于散射测量的计量系统100的光瞳图像平面处或其附近。因此,系统100收集从每一测量计量目标衍射的光的光瞳图像。在一些实施例中,系统100从每一测量计量目标收集以+1/-1衍射级衍射的光的光瞳图像。
在框204中,估计与多个叠加计量目标中的每一者相关联的实际叠加的值。
在一些实例中,将与每一计量目标相关联的实际叠加的值估计为与每一计量目标相关联的已知编程叠加值。
在一些其它实例中,通过使用可信任参考计量系统测量每一计量目标来估计与每一计量目标相关联的实际叠加的值。例如,临界尺寸-扫描电子显微镜(CD-SEM)、X射线增强SEM、光学临界尺寸工具、穿透式电子显微镜(TEM)及小角度X射线散射测量(SAXS)工具中的任何者可用作为参考计量系统。在一个实例中,通过在多个方位角处执行SCOL或IBO测量且减去工具诱发移位(TIS)误差来估计实际叠加的值。
在另一方面中,基于每一制造计量目标的一系列测量来估计与每一制造计量目标相关联的实际叠加的值,其中散射测量叠加测量系统本身采用本文所描述的零误差叠加(ZEO)估计法。
ZEO法用于从在一些不同照明波长处执行的SCOL叠加测量更准确地估计叠加。物镜用于获得参考SCOL叠加测量曲线的峰值的零误差叠加位置(即,与峰值相隔特定距离)。零误差叠加位置与SCOL叠加曲线的峰值的距离保持非常近似,不管工艺及结构参数值的变化如何显著。如上文所描述,SCOL叠加曲线通常取决于工艺及结构参数值而缩放、移位或两者。因此,SCOL叠加估计曲线在波长上的形状大致保持不变(不管工艺及结构参数值的变化如何),且零误差叠加位置到峰值的距离保持近乎恒定。特定来说,不对称变化引起形状缩放,对称变化(例如工艺变化)引起波长方向(即,水平方向)上的形状移位,且编程叠加的变化引起估计叠加的方向(即,垂直轴)移位。然而,不管这些变化如何,零误差叠加到峰值的距离保持几乎恒定。
图10描绘包含依据波长而变化的若干叠加误差测量的绘图150。每一标绘线表示以一或多个工艺变量及不对称结构变量的不同值制造的计量目标的测量。如图10中所说明,SCOL叠加估计曲线的形状保持完整,但曲线相对于彼此缩放及移位。
在一个实例中,采用散射测量叠加测量系统来测量若干不同照明波长处的每一计量目标的光学响应。就此来说,散射测量叠加测量系统以若干不同照明波长照射叠加计量目标中的每一者,响应于+1/-1衍射级处的每一不同照明波长而收集从多个叠加计量目标中的每一者散射的光量,且检测从每一不同照明波长处的每一叠加计量目标收集的光量的图像。
计算系统(例如计算系统130)基于每一不同照明波长的+1衍射级与-1衍射级之间的差异来估计实际叠加的候选值。
在一些实施例中,将候选值内插于波长上以实现较微小波长间隔。此提供平滑曲线、更准确峰值且能够更准确估计零误差叠加位置。在一些实例中,采用线性、多项式或样条内插法来实现高平滑度及弹性度。在一个实例中,采用三次样条内插。
在一些实施例中,采用依据波长而变化的叠加估计来进行ZEO分析。然而,在一些其它实施例中,采用依据波长而变化的R值来进行ZEO分析。在一些实施例中,R曲线因其大体上较平滑而为有利的。使用方程式(1)计算R曲线,其中括号(<>)表示掩模中的像素上的内积,Io表示用作为成像检测器的电荷耦合装置(CCD)相机中的变迹函数,且G是表示测量不对称性的SCOL差异信号。
图11说明包含依据波长而变化的内插R曲线的绘图160。类似地,图12说明包含依据波长而变化的若干R曲线的绘图170。每一标绘线表示以一或多个工艺变量的不同值制造的计量目标的测量。如图12中所说明,R曲线的形状保持完整,但曲线相对于彼此移位。
计算系统经进一步配置以从候选值确定实际叠加的峰值。在照明波长的整个范围内,存在多个ZEO点,然而,并非所有ZEO点均容易被检测到。叠加估计曲线或R曲线的峰值较易于检测。一旦检测到峰值,就在所选择峰值周围的关注区域内进行ZEO位置搜索。
图13描绘说明与由SCOL计量系统在不同波长处执行的一系列测量相关联的叠加估计曲线182及R曲线181的绘图180。如图13中所说明,叠加估计曲线或R曲线中通常存在两个或三个峰值,且每一峰值位于特定波长范围内。在一些实例中,将照明波长范围细分为若干不重叠间隔,每一间隔仅与一个峰值相关联。在每一间隔内识别曲线的最大点及相关联照明波长。如图13中所描绘,叠加估计曲线182在R曲线的中间峰值附近几乎对称。如图13中所说明,叠加估计曲线182在从峰值位置(R曲线的中间峰值)的几乎相同距离D处与实际叠加值相交。
计算系统经进一步配置以确定相对于与最小化晶片内叠加变化的峰值相关联的波长的波长差异。在图13所说明的实例中,计算系统识别R曲线181的峰值与相关联于ZEO的SCOL叠加估计之间的距离D。
特定波长处测量的特定测量位点的SCOL叠加估计Si由方程式(2)描述,其中
Si=O0+wi+ei (2)
O0是与测量位点相关联的编程叠加值,wi是晶片内变化,且ei是SCOL测量误差。可将晶片内变化估计为具有零平均值及方差σw 2的高斯分布,即,wi~N(0,σw 2)。类似地,可将SCOL测量误差估计为具有零平均值及方差σe 2的高斯分布,即,ei~N(0,σe 2)。另外,假设晶片内变化与SCOL测量误差不相关。在一个实例中,通过最小化SCOL测量误差的均方差来确定其中误差是最小的ZEO位置,如由方程式(3)所描述,其中
MSE=E[e2]=E[(S-O0-w)2]=E[S2]+O0 2-2O0·E[S]+σw 2 (3)
E[]表示期望值。当O0不可用时,通过最小化SCOL测量误差的均方差来确定其中误差是最小的ZEO位置,如由方程式(4)所描述,
MSE=Var[e2]=E[(S-O0-w)2]-[E[(S-(O0+w))]]2=Var[S2]+σw 2 (4)
由于σw 2未知且恒定,所以方程式(4)的最小化简化为Var[S2]的最小化。因而,计算机系统经配置以找到其中使SCOL叠加测量的晶片内变化最小化的最佳照明波长(即,和与峰值相关联的照明波长相隔距离D)。由于经识别峰值周围的斜度非常陡,所以此会导致大误差。为缓解此风险,在相对于峰值的两个对称位置(即,与峰值相隔距离+/-d)处评估晶片内方差且将其平均化,如由方程式(5)所说明,其中
f(d)是定位于与峰值相隔距离d处的对称位置的平均值的变化。方程式(5)的解由方程式(6)说明,其中
d*是其中使Var[S2]最小化的d的估计值。ZEO估计O*由方程式(7)描述。
在一些实例中,计算系统经配置以:计算与峰值相隔的一组离散距离上的晶片内变化,选择其中晶片内变化是最小的距离,且将与峰值相隔+/-最佳距离的波长位置处的平均SCOL测量确定为ZEO值。图14说明各自与根据与峰值位置相隔不同距离d处的方程式(6)所评估的测量叠加的晶片内变化相关联的一组点的绘图190。如图14中所描绘,最小方差定位于与峰值位置相隔约30纳米的距离处。在此实例中,根据约30纳米的距离d*处的方程式(7)来估计ZEO值。
尽管方程式(5到7)基于关于峰值位置对称定位的两个点的平均值来评估ZEO值,但在一些其它实例中,可基于定位于与峰值位置相隔不同距离(例如-d1及+d2)处的两个点来确定ZEO值。更一般来说,在与峰值相隔的距离范围r内的SCOL测量范围可用于查找ZEO值。在此实例中,叠加估计由方程式(8)及(9)描述,其中ci是加权系数,
其中
peak-r=≤di≤peak+r (9)
在此方案中,优化问题可由方程式(10)表达,其中ci的值经解析以最小化S(di)的加权值的总和的方差。ZEO值可由方程式(11)表达。
在一些实例中,SCOL态势在峰值位置周围是更平缓的。在这些实例中,可从峰值沿单个方向充分搜索以找到ZEO位置。另外,如果与每一关注区域相关联的SCOL态势展现非常类似图案,那么可适当计算与每一峰值相关联的ZEO值且接着平均化结果以获得ZEO值的最终估计。
在框205中,基于实际叠加的估计值及训练数据的量来训练测量模型。测量模型经结构化以接收由计量系统在一或多个测量位点处产生的测量数据且直接确定与每一测量目标相关联的叠加。
就此来说,训练测量模型建立光学散射测量信号与叠加值之间的函数关系。在一些实施例中,关系由复变函数描述,其中基于训练信号(即,所收集的光学散射测量信号)及实际叠加的估计值来估计函数系数。
在一些实例中,基于从散射测量训练数据及实际叠加的估计值提取的主要特征来训练测量模型。在这些实例中,基于减小训练数据的尺寸的训练数据的量的变换来确定训练数据的量的多个主要特征。从基于数学变换的散射测量训练数据提取若干主要特征。变换减小散射测量数据的尺寸且将原始信号映射到一组新减少信号。基于散射测量训练数据的叠加的变化来确定变换。将每一测量信号视为在散射测量训练数据组中的不同叠加测量的过程范围内改变的原始信号。变换可应用于所有测量信号或测量信号的子集。在一些实例中,随机选择经受分析的信号。在一些其它实例中,归因于其对叠加的变化的相对较高敏感度而选择经受分析的信号。例如,可忽略对叠加的变化不敏感的信号。通过非限制性实例,可使用以下的任何者来实现变换:主成分分析(PCA)模型、核PCA模型、非线性PCA模型、独立成分分析(ICA)模型或使用辞典的其它降维方法、离散余弦变换(DCT)模型、快速傅立叶变换(FFT)模型、小波模型等等。
在一些实施例中,将测量模型实施为神经网络模型。在一个实例中,基于从训练数据提取的若干特征来选择神经网络的节点的数目。在其它实例中,可将测量模型实施为线性模型、多项式模型、响应曲面模型、支持向量机模型、决策树模型、随机森林模型或其它类型的模型。在一些实例中,可将测量模型实施为模型的组合。在一些实例中,基于主要特征(减少信号组)及叠加的已知变化来训练所选择模型。模型经训练使得其输出拟合由DOE计量目标组界定的叠加变化空间中的所有测量信号的叠加的界定变化。
可在本发明的范围内考虑各种不同计量目标。在一些实施例中,计量目标是基于常规线/空间目标。在一些其它实施例中,计量目标使类装置结构。在一些其它实施例中,计量目标是实际装置本身,因此未采用特定计量目标。不管所采用的计量目标的类型如何,必须提供具有已知编程偏移的一组训练目标来训练测量模型。一旦已训练所述模型,其可用于执行具有未知叠加的结构的测量。
训练目标可提供于单独训练晶片或生产晶片上。在一些实例中,计量目标定位于生产晶片的切割线中。在一些其它实例中,计量目标定位于有源裸片区域中。
在一些实施例中,在切割线区域中执行用于模型训练的测量且在实际装置的周期性区域中执行后续测量。
在一些实施例中,正交方向上的多个不同目标偏移用于每一裸片中。此可有利地最小化底层对测量准确度的影响。
在一个方面中,每一测量位点包含具有在至少一个方向上具有周期性的光栅结构阵列的单个单元计量目标。因此,单个单元计量目标的散射测量对至少一个方向上的叠加敏感。在一些实施例中,单个单元计量目标包含在至少两个方向上具有周期性的光栅结构阵列。因此,单个单元目标的测量对两个方向上的叠加敏感。一般来说,测量叠加结构可定位于衬底的相同层或不同层上。
图8描绘一个实施例中的单个单元叠加计量目标140的说明。计量目标140包含顶层的光栅142及底层中的至少一者的光栅141。对于叠加的不同值,由成像检测器111在光瞳图像上捕获的+1及-1衍射级对两个方向上的叠加(例如x方向上的ΔX及y方向上的ΔY)具有敏感度。在一个实施例中,光栅141与142之间的标称偏移在x方向及y方向两者上是约150纳米。如上文所描述,典型目标是每叠加方向具有两个或两个以上单元的SCOL或DBO目标。如图8中所描绘,计量目标140是在两个正交方向上具有敏感度的单个单元目标。通过从一个而非四个单元收集信号来实现移动-获取-测量(MAM)时间的显著减少。
在另一方面中,单个单元计量目标包含在至少一个方向上具有两个或两个以上不同周期性的光栅结构阵列。例如,顶层142的光栅及底层141的光栅可由较小(例如设计规则)节距光栅或类装置结构分段。
图9描绘一个实施例中的单个单元叠加计量目标145的说明。计量目标145包含顶层142的光栅及底层141中的至少一者的光栅。对于叠加的不同值,由成像检测器111在光瞳图像上捕获的+1及-1衍射级对两个方向上的叠加(例如x方向上的ΔX及y方向上的ΔY)具有敏感度。此外,计量目标145在两个方向上包含多节距图案。多节距图案提供光瞳图像上的额外图案且增大对叠加变化敏感的光瞳图像区域。如图9中所描绘,多节距图案包含两个方向上的周期性P1及两个方向上的另一周期性P2。在一个实施例中,P1是约600纳米且P2是约750纳米。
如上文所描述,本文所描述的测量方法及系统不受特定目标约束。一般来说,可根据本文所描述的方法及系统来采用在由可用测量系统测量时对叠加展现敏感度的任何目标。
在另一方面中,将训练模型用作为具有未知叠加值的其它目标的测量的测量模型。图7说明适合于由本发明的计量系统(例如图5中所说明的计量系统100)实施的方法210。应认识到,在一个方面中,方法210的数据处理块可经由计算系统130或任何其它通用计算系统的一或多个处理器执行的预编程算法实施。本文中应认识到,计量系统100的特定结构方面不表示限制,而是仅应被解译为说明。
在框211中,使用由基于散射测量的叠加计量系统(其用于产生用于训练测量模型的训练数据)的光学照明源(例如照明器101)产生的照明光来照射至少一个叠加计量目标。(若干)测量计量目标具有未知叠加误差。通常将计量目标安置于不同于用于产生训练数据的(若干)晶片的晶片上。通常在相同或类似于(若干)训练晶片的过程步骤中执行测量。另外,安置于关注晶片上的计量目标通常为相同或类似于安置于训练晶片上的计量目标的计量目标。然而,在一些实施例中,将为了模型训练所测量的计量目标及为了估计叠加所测量的计量目标安置于相同晶片上。
在框212中,由(例如)成像检测器111响应于照明光而收集从至少一个叠加计量目标散射的光量。
在框213中,由基于散射测量的计量系统的光学检测器的多个像素检测从至少一个叠加目标收集的光量的图像。
在框214中,基于至少一个叠加目标的检测图像及训练测量模型来估计与至少一个叠加目标相关联的实际叠加的值。在一个实例中,计算系统130采用通过训练测量模型所建立的功能关系以基于测量散射信号来估计实际叠加的值。
在框215中,将估计叠加存储于存储器中。例如,叠加值可存储于测量系统100的板上(例如,存储于存储器132中)或可(例如,经由输出信号121)传送到外部存储器装置。
在一些实施例中,计算系统130经配置以基于减小图像的尺寸的变换来确定至少一个叠加目标的检测图像的多个主要特征。在这些实施例中,与至少一个叠加目标相关联的实际叠加的值的估计是基于多个主要特征及训练测量模型。在一些实施例中,变换是用于减小参考方法200所描述的对应训练数据的尺寸的相同变换。优选地使用在方法200中用于从训练数据提取特征的相同分析来自散射测量数据提取特征。以此方式,通过用于减小训练数据的尺寸的相同变换来执行所获取的数据的尺寸减小。
在另一方面中,本文所描述的方法及系统不仅限于测量叠加误差。一般来说,基于散射测量的前述测量技术可应用于其它工艺、结构、分散参数或这些参数的任何组合的测量。通过非限制性实例,可使用前述技术测量轮廓几何形状参数(例如临界尺寸)、工艺参数(例如焦距及剂量)、分散参数、节距游动或参数的任何组合以及叠加误差。必须提供每一关注参数的具有编程变化的一组训练目标。接着,基于在测量位点上收集的散射测量数据(其包含每一关注参数的编程变化的范围)来训练测量模型,如本文参考叠加所描述。通过非限制性实例,关注参数可为工艺参数、结构参数、分散参数及布局参数。
在一些实例中,以至少一个额外关注参数的不同已知值制造为了模型训练所测量的计量目标。因此,测量模型的训练还基于至少一个额外关注参数的不同已知值及训练数据的量。在一些实施例中,使用例如CD-SEM、XSEM、OCD等等的参考计量来获得与每一关注参数相关联的参考值。
以此方式,以本文所描述的方式训练的测量模型可用于估计叠加的值及例如临界尺寸、边缘放置误差、光刻焦距、光刻剂量及其它形状及膜关注参数的额外参数。在一些实施例中,可基于从单个单元目标、装置结构或对关注参数展现测量敏感度的任何其它目标或目标组收集的测量数据来产生叠加值及关注参数的估计。以此方式,基于一或多个单元的测量来同时获得多个关注参数的估计。
在又另一方面中,用于训练测量模型的方法及系统包含优化算法来使达成训练测量模型所需的任何或所有元件自动化。
在一些实例中,优化算法经配置以通过优化以下任何或所有参数来最大化测量的性能(由成本函数界定):特征提取模型的类型(即,变换)、所选择特征提取模型的参数、测量模型的类型、所选择测量模型的参数。优化算法可包含用户界定启发法且可为嵌套优化的组合(例如组合及连续优化)。
在另一方面中,收集来自多个不同目标的散射测量数据用于模型建构、训练及测量。使用与具有不同结构的多个目标相关联但由相同工艺条件及编程叠加值形成的测量数据增加嵌入模型中的信息且减少与工艺或其它参数变化的叠加相关性。嵌入模型中的额外信息允许与叠加相关联的信息内容与可以类似方式影响测量信号的其它参数(例如膜厚度、CD等等)相关联的信息解耦合。在这些实例中,使用在一或多个测量位点处包含多个不同目标的图像的训练数据实现更准确叠加估计。在一些实例中,采用隔离及密集线/空间目标的混合物来使叠加与底层效应解耦合。
在又另一方面中,来自多个目标的信号可经处理以降低对工艺变化的敏感度且提高对关注参数的敏感度。在一些实例中,使来自不同目标的信号彼此相减。在一些其它实例中,将来自不同目标的信号拟合到模型且使用残留信号来建构、训练及使用本文所描述的测量模型。在一个实例中,来自两个不同目标的信号经相减以消除或显著降低每一测量结果中的过程噪声的效应。一般来说,可在来自不同目标的信号之间应用各种数学计算以确定对工艺变化的具有降低敏感度及对关注参数具有提高敏感度的信号。
在又另一方面中,收集从一或多个测量系统参数的多个值处执行的测量导出的测量数据用于模型建构、训练及测量。通过非限制性实例,采用多个照明波长、偏振等等处执行的测量来训练测量模型且使用训练测量模型执行测量,如本文所描述。
在又另一方面中,收集从由多个不同测量技术的组合执行的测量导出的测量数据用于模型建构、训练及测量。使用与多个不同测量技术相关联的测量数据增加组合信号组中的信息内容且减少与工艺或其它参数变化的叠加相关性。可从由多个不同测量技术的任何组合执行的测量导出测量数据。以此方式,不同测量位点可由多个不同测量技术(例如散射测量、成像及其它信号信息源)测量以增加可用于估计关注参数的测量信息。
一般来说,由于由特征提取模型及用于训练及测量的测量模型处理的数据呈向量形式,所以可在本发明的范围内考虑任何测量技术或两个或两个以上测量技术的组合。因为本文所描述的技术进行数据的向量计算,所以要独立处理每一收集信号。另外,可串连来自多个不同计量的数据,不管数据是否为二维数据、一维数据或甚至单点数据。
可提供数据来根据本文所描述的计量技术进行分析的示范性测量技术包含(但不限于)成像反射计、成像光谱反射计、偏振光谱成像反射计、扫描反射计系统、具有能够并行数据采集的两个或两个以上反射计的系统、具有能够并行数据采集的两个或两个以上光谱反射计的系统、具有能够并行数据采集的两个或两个以偏振光谱反射计的系统、具有能够在不移动晶片载物台或不移动任何光学元件或反射计载物台的情况下进行串行数据采集的两个或两个以上偏振光谱反射计的系统、成像光谱仪、具有波长滤波器的成像系统、具有长通波长滤波器的成像系统、具有短通波长滤波器的成像系统、无波长滤波器的成像系统、干涉成像系统、成像椭偏计、成像光谱椭偏计、扫描椭偏计系统、具有能够并行数据采集的两个或两个以上椭偏计的系统、具有能够在不移动晶片载物台或不移动任何光学元件或椭偏计载物台的情况下进行串行数据采集的两个或两个以上椭偏计的系统、迈克生(Michelson)干涉仪、马赫-任德(Mach-Zehnder)干涉仪、萨格纳克(Sagnac)干涉仪、扫描入射角系统及扫描方位角系统。此外,一般来说,可由多个工具而非集成多种技术的一个工具收集由不同测量技术收集且根据本文所描述的方法分析的测量数据。
在又另一方面中,由多个计量测量的信号可经处理以降低对工艺变化的敏感度且提高对关注参数的敏感度。在一些实例中,使来自由不同计量测量的目标的信号彼此相减。在一些其它实例中,将来自由不同计量测量的目标的信号拟合到模型且使用残留信号来建构、训练及使用本文所描述的测量模型。在一个实例中,使来自由两个不同计量测量的目标的信号相减以消除或显著降低每一测量结果中的过程噪声的效应。一般来说,可在由不同计量测量的信号之间应用各种数学计算以确定对工艺变化具有降低敏感度及对关注参数具有提高敏感度的信号。
一般来说,来自各自由多个计量技术测量的多个目标的信号增加组合信号组中的信息内容且减少与工艺或结构参数变化的叠加相关性。
在一些实例中,将本文所描述的模型建构、训练及测量方法实施为购自美国加州苗必达(Milpitas)市的科磊(KLA-Tencor)公司的光学临界尺寸计量系统的元素。以此方式,创建模型且准备在由系统收集DOE晶片光谱之后立即使用。
在一些其它实例中,由(例如)实施购自美国加州苗必达(Milpitas)市的科磊(KLA-Tencor)公司的软件的计算系统脱机实施本文所描述的模型建构及训练方法。可将所得训练模型并入为可由执行测量的计量系统存取的库的元素。
在又另一方面中,用于执行本文所描述的叠加测量的计量系统(例如计量系统300)包含红外光学测量系统。在这些实施例中,计量系统300包含红外光源(例如弧光灯、无电极灯、激光器持续等离子(LSP)源或超连续源)。红外超连续激光器源因光谱的红外线区域中的较高可实现电力及亮度而优于传统灯源。在一些实例中,由超连续激光器提供的电力能够测量具有不透明膜层的叠加结构。
叠加测量的潜在问题是没有足够光穿透到底部光栅。在许多实例中,顶部光栅与底部光栅之间存在非透明(例如不透明)膜层。此类不透明膜层的实例包含非晶碳、硅化钨(WSIx)、钨、氮化钛、非晶硅及其它金属及非金属层。限于为可见范围及以下(例如,介于250nm到700nm之间)的波长的照明光通常无法穿透到底部光栅。然而,红外光谱及以上(例如,大于700nm)中的照明光通常更有效地穿透不透明层。
有效目标设计或测量结构在第一图案与第二图案之间传播非零衍射级,使得两个图案的相对位置影响远场中检测到的出射衍射光束的强度。
紫外线及可见范围中的“不透明”的操作定义是SCOL在250nm到700nm的波长范围内的预测精确度比所需精确度差很多。此归因于携载第一图案与第二图案之间的相对位置信息的传播衍射级衰减。当吸收显著较少时,以大于700纳米(例如800nm到1650nm)的照明波长测量SCOL信号提高SCOL精确度。在其中采用具有大于700纳米的波长的照明光的实施例中,测量目标的设计节距经选择使得存在可用SCOL信号。
在本文所描述的一些实施例中,通过测量由SCOL计量系统测量的多个计量目标的光瞳图像的像素来产生训练数据。然而,一般来说,还可通过测量由基于衍射的叠加(DBO)计量系统测量的多个计量目标的场图像的像素、由成像IBO计量系统产生的信号、由X射线计量系统产生的信号、由光谱椭偏计(SE)系统产生的信号等等来产生训练数据。
在又另一方面中,可使用本文所描述的测量模型结果来将主动反馈提供到过程工具(例如光刻工具、蚀刻工具、沉积工具等等)。例如,可将使用本文所描述的方法所确定的叠加误差的值传送到光刻工具以调整光刻系统来实现所要输出。以类似方式,可将蚀刻参数(例如蚀刻时间、扩散率等等)或沉积参数(例如时间、浓度等等)包含于测量模型中以将主动反馈分别提供到蚀刻工具或沉积工具。
一般来说,可将本文所描述的系统及方法实施为使测量模型准备用于脱机或工具上测量的过程的部分。另外,两个测量模型及任何重新参数化测量模型可描述一或多个目标结构及测量位点。
如本文所描述,术语“临界尺寸”包含结构的任何临界尺寸(例如底部临界尺寸、中间临界尺寸、顶部临界尺寸、侧壁角、光栅高度等等)、任何两个或两个以上结构之间的临界尺寸(例如两个结构之间的距离)及两个或两个以上结构之间的位移(例如叠加光栅结构之间的叠加位移等等)。结构可包含三维结构、图案化结构、叠加结构等等。
如本文所描述,术语“临界尺寸应用”或“临界尺寸测量应用”包含任何临界尺寸测量。
如本文所描述,术语“计量系统”包含至少部分用于使任何方面中的样品特性化的任何系统,其包含测量应用,例如临界尺寸计量、叠加计量、焦距/剂量计量及组成计量。然而,此类技术术语不限制本文所描述的术语“计量系统”的范围。另外,计量系统100可经配置以用于测量图案化晶片及/或未图案化晶片。计量系统可经配置为LED检验工具、边缘检验工具、背面检验工具、宏观检验工具或多模式检验工具(同时涉及来自一或多个平台的数据)及受益于基于临界尺寸数据来校准系统参数的任何其它计量或检验工具。
本文描述可用于处理样品的半导体处理系统(例如检验系统或光刻系统)的各种实施例。术语“样品”在本文中用于是指晶片、光罩或可由所属领域中已知的构件处理(例如,印刷或检验缺陷)的任何其它样本。
如本文中使用,术语“晶片”大体上是指由半导体或非半导体材料形成的衬底。实例包含(但不限于)单晶硅、砷化镓及磷化铟。此类衬底通常可在半导体制造厂中找到及/或处理。在一些情况中,晶片可仅包含衬底(即,裸晶片)。替代地,晶片可包含形成于衬底上的一或多个不同材料层。形成于晶片上的一或多个层可“经图案化”或“未经图案化”。举例来说,晶片可包含具有可重复图案特征的多个裸片。
“光罩”可为处于光罩装置工艺的任何阶段的光罩,或为可能或可能未经释放以于半导体制造厂中使用的完成光罩。光罩或“掩模”大体上定义为具有形成于其上且以图案配置的基本上不透明区域的基本上透射衬底。衬底可包含(例如)玻璃材料,例如非晶SiO2。可在光刻工艺的曝光步骤期间将光罩安置于覆盖有抗蚀剂的晶片上方,使得可将光罩上的图案转印到抗蚀剂。
形成于晶片上的一或多个层可经图案化或未经图案化。例如,晶片可包含各自具有可重复图案特征的多个裸片。此类材料层的形成及处理最终可导致完成装置。许多不同类型的装置可形成于晶片上,且如本文中使用的术语晶片希望涵盖其上制造所属领域中已知的任何类型的装置的晶片。
在一或多个示范性实施例中,所描述的功能可实施于硬件、软件、固件或其任何组合中。如果在软件中实施,那么功能可作为一或多个指令或代码存储于计算机可读媒体上或经由所述计算机可读媒体传输。计算机可读媒体包含计算机存储媒体及通信媒体两者,包含促进计算机程序从一位置到另一位置的传送的任何媒体。存储媒体可为可通过通用计算机或专用计算机存取的任何可用媒体。举例来说(且非限制),此计算机可读媒体可包括RAM、ROM、EEPROM、CD-ROM或其它光盘存储器、磁盘存储器或其它磁性存储装置或可用于载送或存储呈指令或数据结构的形式的所要程序代码构件且可通过通用计算机或专用计算机或通用或专用处理器存取的任何其它媒体。而且,任何连接可被适当地称为计算机可读媒体。例如,如果使用同轴电缆、光纤电缆、双绞线、数字用户线(DSL)或无线技术(例如红外线、无线电及微波)从网站、服务器或其它远程源传输软件,那么同轴电缆、光纤电缆、双绞线、DSL或无线技术(例如红外线、无线电及微波)包含于媒体的定义中。如本文中使用,磁盘及光盘包含光盘(CD)、激光光盘、光盘、数字多功能光盘(DVD)、软盘及蓝光光盘,其中磁盘通常磁性地重现数据而光盘用激光光学地重现数据。上述组合也应包含于计算机可读媒体的范围内。
尽管上文为指导目的而描述某些特定实施例,但本专利文献的教示具有一般适用性且不限于上文中描述的特定实施例。因此,在不脱离如权利要求书中陈述的本发明的范围的情况下,可实践所描述的实施例的各种特征的各种修改、调适及组合。

Claims (33)

1.一种基于散射测量的计量系统,其包括:
照明源,其经配置以将照明光量提供到多个叠加计量目标,其中以不同的已知编程叠加值及至少一个制造工艺变量的不同已知值制造所述多个计量目标;
检测器,其经配置以响应于所述照明光而检测从所述多个叠加目标的每一者散射的光量的图像,所述图像由所述检测器的多个像素检测,所述检测图像像素包括训练数据量;及
计算系统,其经配置以:
估计与所述多个叠加计量目标中的每一者相关联的实际叠加的值;及
基于实际叠加的所述估计值及所述训练数据量来训练测量模型。
2.根据权利要求1所述的基于散射测量的计量系统,其中所述检测器定位于所述基于散射测量的计量系统的光瞳图像平面处或所述光瞳图像平面附近。
3.根据权利要求1所述的基于散射测量的计量系统,其中所述计算系统经进一步配置以:
基于减小所述训练数据的尺寸的训练数据量的变换来确定所述训练数据量的多个主要特征,其中所述测量模型的所述训练是基于从所述训练数据量提取的所述多个主要特征及实际叠加的所述估计值。
4.根据权利要求3所述的基于散射测量的计量系统,其中所述训练数据量的所述变换涉及主成分分析PCA、独立成分分析ICA、核PCA、非线性PCA、快速傅立叶变换FFT分析、离散余弦变换DCT分析及小波分析中的任何者。
5.根据权利要求1所述的基于散射测量的计量系统,其中所述测量模型是以下中的任何者:线性模型、多项式模型、神经网络模型、支持向量机模型、决策树模型及随机森林模型。
6.根据权利要求1所述的基于散射测量的计量系统,其中所述训练数据量包含由相同工艺条件及编程叠加值形成的所述多个叠加计量目标的至少两个不同计量目标的散射测量的组合。
7.根据权利要求1所述的基于散射测量的计量系统,其中所述训练数据量包含由多个不同计量技术获取的散射测量。
8.根据权利要求1所述的基于散射测量的计量系统,其中所述测量模型的所述训练还基于所述至少一个制造工艺变量的所述不同已知值及所述训练数据量。
9.根据权利要求8所述的基于散射测量的计量系统,其中还以至少一个额外关注参数的不同已知值制造所述多个计量目标,且其中所述测量模型的所述训练还基于所述至少一个额外关注参数的所述不同已知值及所述训练数据量。
10.根据权利要求9所述的基于散射测量的计量系统,其中所述至少一个额外关注参数包含工艺参数、结构参数、分散参数及布局参数中的任何者。
11.根据权利要求1所述的基于散射测量的计量系统,其中所述照明源经进一步配置以照射具有未知叠加误差的至少一个叠加计量目标,其中所述检测器经进一步配置以检测从所述至少一个叠加目标散射的光量的图像,且其中所述计算系统经进一步配置以基于所述至少一个叠加目标的所述检测图像及所述训练测量模型来估计与所述至少一个叠加目标相关联的实际叠加的值。
12.根据权利要求11所述的基于散射测量的计量系统,其中所述计算系统经进一步配置以基于减小所述图像的尺寸的变换来确定所述至少一个叠加目标的所述图像的多个主要特征,其中与所述至少一个叠加目标相关联的实际叠加的所述值的所述估计是基于所述多个主要特征及所述训练测量模型。
13.根据权利要求11所述的基于散射测量的计量系统,其中基于实际叠加的所述估计值来调整光刻工艺变量的值。
14.根据权利要求1所述的基于散射测量的计量系统,其中所述多个叠加计量目标安置于多个半导体晶片上,其中以至少一个半导体制造工艺变量的不同值处理所述多个半导体晶片中的每一者。
15.根据权利要求1所述的基于散射测量的计量系统,其中所述多个叠加计量目标安置于一或多个半导体晶片的多个域上。
16.根据权利要求1所述的基于散射测量的计量系统,其中在一或多个测量系统参数的多个不同值处执行提供所述照明光量及检测从所述多个叠加目标中的每一者散射的所述光量的所述图像。
17.根据权利要求1所述的基于散射测量的计量系统,其中所述计量目标中的至少一者是具有在至少一个方向上具有周期性的光栅结构阵列的单个单元计量目标。
18.根据权利要求1所述的基于散射测量的计量系统,其中所述计量目标中的至少一者是具有在至少两个方向上具有周期性的光栅结构阵列的单个单元计量目标。
19.根据权利要求1所述的基于散射测量的计量系统,其中所述计量目标中的至少一者是具有在至少一个方向上具有两个或两个以上不同周期性的光栅结构阵列的单个单元计量目标。
20.根据权利要求1所述的基于散射测量的计量系统,其中与所述多个叠加计量目标中的每一者相关联的实际叠加的所述值的所述估计是基于所述编程叠加值。
21.根据权利要求1所述的基于散射测量的计量系统,其中与所述多个叠加计量目标中的每一者相关联的实际叠加的所述值的所述估计是基于参考计量系统对所述多个叠加计量目标中的所述每一者的测量。
22.根据权利要求21所述的基于散射测量的计量系统,其中所述参考计量系统是扫描电子显微镜SEM。
23.根据权利要求1所述的基于散射测量的计量系统,其中与所述多个叠加计量目标中的每一者相关联的实际叠加的所述值的所述估计是基于通过所述基于散射测量的叠加计量系统进行的多个测量。
24.一种基于散射测量的计量系统,其包括:
照明源,其经配置以以多个不同照明波长将照明光量提供到多个叠加计量目标中的每一者;
检测器,其经配置以检测以每一不同照明波长从每一叠加计量目标散射到所述检测器的多个像素上的光量的图像,其中所述散射光量包含+1及-1衍射级;及
计算系统,其经配置以:
基于针对每一不同照明波长的所述+1衍射级与所述-1衍射级之间的差异来估计实际叠加的第一值;
从与所述不同照明波长相关联的实际叠加的所述第一值确定实际叠加的峰值;
确定相对于与使晶片内叠加变化最小化的所述峰值相关联的所述波长的波长差异;及
基于与所述峰值相隔一距离处的叠加的测量来估计实际叠加的第二值。
25.一种方法,其包括:
使用由基于散射测量的叠加计量系统的光学照明源产生的照明光来照射多个叠加计量目标中的每一者,其中以不同的已知编程叠加值及至少一个制造工艺变量的不同已知值制造所述多个计量目标;
响应于所述照明光而收集从所述多个叠加计量目标中的每一者散射的光量;
检测从所述多个叠加目标中的每一者收集到所述基于散射测量的计量系统的光学检测器的多个像素上的所述光量的图像,所述检测图像像素包括训练数据量;
估计与所述多个叠加计量目标中的每一者相关联的实际叠加的值;及
基于实际叠加的所述估计值及所述训练数据量来训练测量模型。
26.根据权利要求25所述的方法,其中所述检测器定位于所述基于散射测量的计量系统的光瞳图像平面处或所述光瞳图像平面附近。
27.根据权利要求25所述的方法,其中所述测量模型的所述训练还基于所述至少一个制造过程变量的所述不同已知值及所述训练数据量,其中也以至少一个额外关注参数的不同已知值制造所述多个计量目标且其中所述测量模型的所述训练还基于所述至少一个额外关注参数的所述不同已知值及所述训练数据量。
28.根据权利要求25所述的方法,其进一步包括:
使用由所述基于散射测量的叠加计量系统的所述光学照明源产生的照明光来照射至少一个叠加计量目标,所述至少一个计量目标具有未知叠加误差;
响应于所述照明光而收集从所述至少一个叠加计量目标散射的光量;
检测从所述至少一个叠加目标收集到所述基于散射测量的计量系统的所述光学检测器的多个像素上的所述光量的图像;
基于所述至少一个叠加目标的所述检测图像及所述训练测量模型来估计与所述至少一个叠加目标相关联的实际叠加的值;及
将所述叠加值存储于存储器中。
29.根据权利要求28所述的方法,其进一步包括:
基于实际叠加的所述估计值来调整光刻工艺变量的值。
30.根据权利要求25所述的方法,其中所述计量目标中的至少一者是具有在至少一个方向上具有周期性的光栅结构阵列的单个单元计量目标。
31.根据权利要求25所述的方法,其中所述计量目标中的至少一者是具有在至少两个方向上具有周期性的光栅结构阵列的单个单元计量目标。
32.根据权利要求25所述的方法,其中所述计量目标中的至少一者是具有在至少一个方向上具有两个或两个以上不同周期性的光栅结构阵列的单个单元计量目标。
33.根据权利要求25所述的方法,其中实际叠加的所述值的所述估计涉及:
以多个不同照明波长照射所述多个叠加计量目标中的每一者;
响应于每一不同照明波长而收集从所述多个叠加计量目标中的每一者散射的光量,其中所述光量包含+1及-1衍射级;
检测以每一不同照明波长从每一叠加计量目标收集到所述基于散射测量的计量系统的所述光学检测器的多个像素上的所述光量的图像;
基于针对每一不同照明波长的所述+1衍射级与所述-1衍射级之间的差异来估计实际叠加的第一值;
从与所述不同照明波长相关联的实际叠加的所述第一值确定实际叠加的峰值;
确定相对于与使晶片内叠加变化最小化的所述峰值相关联的所述波长的波长差异;及
基于与所述峰值相隔一距离处的叠加的测量来估计实际叠加的第二值。
CN201880025696.XA 2017-03-01 2018-03-01 基于光学散射测量的工艺稳健叠加计量 Pending CN110520715A (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201762465163P 2017-03-01 2017-03-01
US62/465,163 2017-03-01
US15/861,938 US10732516B2 (en) 2017-03-01 2018-01-04 Process robust overlay metrology based on optical scatterometry
US15/861,938 2018-01-04
PCT/US2018/020342 WO2018160779A1 (en) 2017-03-01 2018-03-01 Process robust overlay metrology based on optical scatterometry

Publications (1)

Publication Number Publication Date
CN110520715A true CN110520715A (zh) 2019-11-29

Family

ID=63355585

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201880025696.XA Pending CN110520715A (zh) 2017-03-01 2018-03-01 基于光学散射测量的工艺稳健叠加计量

Country Status (8)

Country Link
US (1) US10732516B2 (zh)
EP (1) EP3577444A4 (zh)
JP (1) JP7012734B2 (zh)
KR (1) KR102356949B1 (zh)
CN (1) CN110520715A (zh)
IL (1) IL268861B (zh)
TW (1) TWI748061B (zh)
WO (1) WO2018160779A1 (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN114930154A (zh) * 2020-01-07 2022-08-19 诺威有限公司 检测ocd计量机器学习的离群值和异常
CN114930153A (zh) * 2020-01-06 2022-08-19 诺威有限公司 用于ocd数据解释的自我监督表征学习

Families Citing this family (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111149063B (zh) 2017-09-27 2022-04-22 Asml荷兰有限公司 确定器件制造工艺的控制参数的方法
US10692203B2 (en) * 2018-02-19 2020-06-23 International Business Machines Corporation Measuring defectivity by equipping model-less scatterometry with cognitive machine learning
WO2019206586A1 (en) 2018-04-26 2019-10-31 Asml Netherlands B.V. Alignment sensor apparatus for process sensivity compensation
EP3581881A1 (de) * 2018-06-15 2019-12-18 Hexagon Technology Center GmbH Oberflächenvermessung mittels angeregter fluoreszenz
WO2020007558A1 (en) 2018-07-06 2020-01-09 Asml Netherlands B.V. Position sensor
EP3870935A4 (en) * 2018-11-21 2022-08-31 Kla-Tencor Corporation GRAY SCATTEROMETRIC OVERLAY TARGETS FOR SINGLE CELLS AND THEIR MEASUREMENT USING DIFFERENT ILLUMINATION PARAMETERS
JP7431824B2 (ja) 2018-11-21 2024-02-15 ケーエルエー コーポレイション スキャトロメトリオーバーレイ(scol)測定方法及びscol測定システム
US11476144B2 (en) * 2018-12-03 2022-10-18 Kla Corporation Single cell in-die metrology targets and measurement methods
WO2020123014A1 (en) * 2018-12-14 2020-06-18 Kla Corporation Per-site residuals analysis for accurate metrology measurements
US11060846B2 (en) * 2018-12-19 2021-07-13 Kla Corporation Scatterometry based methods and systems for measurement of strain in semiconductor structures
US11422095B2 (en) * 2019-01-18 2022-08-23 Kla Corporation Scatterometry modeling in the presence of undesired diffraction orders
US10816464B2 (en) 2019-01-23 2020-10-27 Applied Materials, Inc. Imaging reflectometer
KR20210011278A (ko) 2019-07-22 2021-02-01 삼성전자주식회사 Ie 기반 검사 방법, 및 그 검사 방법을 이용한 반도체 소자 제조방법
US11340060B2 (en) * 2019-07-23 2022-05-24 Kla Corporation Automatic recipe optimization for overlay metrology system
US11023791B2 (en) * 2019-10-30 2021-06-01 Kyocera Document Solutions Inc. Color conversion using neural networks
US11520321B2 (en) * 2019-12-02 2022-12-06 Kla Corporation Measurement recipe optimization based on probabilistic domain knowledge and physical realization
US10990023B1 (en) 2020-02-27 2021-04-27 Taiwan Semiconductor Manufacturing Co., Ltd. Method and apparatus for diffraction-based overlay measurement
US11150078B1 (en) * 2020-03-26 2021-10-19 Applied Materials, Inc. High sensitivity image-based reflectometry
US11156566B2 (en) 2020-03-26 2021-10-26 Applied Materials, Inc. High sensitivity image-based reflectometry
KR20210134129A (ko) * 2020-04-29 2021-11-09 삼성전자주식회사 웨이퍼 검사 장치 및 방법
US11417010B2 (en) 2020-05-19 2022-08-16 Applied Materials, Inc. Image based metrology of surface deformations
US11454894B2 (en) * 2020-09-14 2022-09-27 Kla Corporation Systems and methods for scatterometric single-wavelength measurement of misregistration and amelioration thereof
US20220114438A1 (en) * 2020-10-09 2022-04-14 Kla Corporation Dynamic Control Of Machine Learning Based Measurement Recipe Optimization
US20240094641A1 (en) * 2020-12-10 2024-03-21 Asml Holding N.V. Intensity order difference based metrology system, lithographic apparatus, and methods thereof
US11703767B2 (en) * 2021-06-28 2023-07-18 Kla Corporation Overlay mark design for electron beam overlay
US20240119626A1 (en) * 2022-09-27 2024-04-11 Kla Corporation Image pre-processing for overlay metrology using decomposition techniques

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7230703B2 (en) * 2003-07-17 2007-06-12 Tokyo Electron Limited Apparatus and method for measuring overlay by diffraction gratings
US20120206703A1 (en) * 2011-02-11 2012-08-16 Asml Netherlands B.V. Inspection Apparatus and Method, Lithographic Apparatus, Lithographic Processing Cell and Device Manufacturing Method
US20120244461A1 (en) * 2011-03-25 2012-09-27 Toshiba America Electronic Components, Inc. Overlay control method and a semiconductor manufacturing method and apparatus employing the same
CN103003754A (zh) * 2010-07-19 2013-03-27 Asml荷兰有限公司 用于确定重叠误差的方法和设备
CN104520982A (zh) * 2012-06-26 2015-04-15 科磊股份有限公司 类装置散射测量叠盖目标
US20160109375A1 (en) * 2014-10-18 2016-04-21 Kla-Tencor Corporation Measurement Of Small Box Size Targets
US20160146740A1 (en) * 2014-11-21 2016-05-26 Asml Netherlands B.V. Metrology method and apparatus
CN106062939A (zh) * 2014-02-20 2016-10-26 科磊股份有限公司 用于基于图像的叠对测量的信号响应计量
CN106463429A (zh) * 2014-05-09 2017-02-22 科磊股份有限公司 用于基于散射术的重叠测量的信号响应度量

Family Cites Families (56)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5608526A (en) 1995-01-19 1997-03-04 Tencor Instruments Focused beam spectroscopic ellipsometry method and system
US6734967B1 (en) 1995-01-19 2004-05-11 Kla-Tencor Technologies Corporation Focused beam spectroscopic ellipsometry method and system
US5859424A (en) 1997-04-08 1999-01-12 Kla-Tencor Corporation Apodizing filter system useful for reducing spot size in optical measurements and other applications
US6429943B1 (en) 2000-03-29 2002-08-06 Therma-Wave, Inc. Critical dimension analysis with simultaneous multiple angle of incidence measurements
US7317531B2 (en) 2002-12-05 2008-01-08 Kla-Tencor Technologies Corporation Apparatus and methods for detecting overlay errors using scatterometry
US6806951B2 (en) 2000-09-20 2004-10-19 Kla-Tencor Technologies Corp. Methods and systems for determining at least one characteristic of defects on at least two sides of a specimen
US6895075B2 (en) 2003-02-12 2005-05-17 Jordan Valley Applied Radiation Ltd. X-ray reflectometry with small-angle scattering measurement
JP4938219B2 (ja) 2001-12-19 2012-05-23 ケーエルエー−テンカー コーポレイション 光学分光システムを使用するパラメトリック・プロフィーリング
US6816570B2 (en) 2002-03-07 2004-11-09 Kla-Tencor Corporation Multi-technique thin film analysis tool
US7352453B2 (en) * 2003-01-17 2008-04-01 Kla-Tencor Technologies Corporation Method for process optimization and control by comparison between 2 or more measured scatterometry signals
US7478019B2 (en) 2005-01-26 2009-01-13 Kla-Tencor Corporation Multiple tool and structure analysis
US7567351B2 (en) 2006-02-02 2009-07-28 Kla-Tencor Corporation High resolution monitoring of CD variations
US7656518B2 (en) 2007-03-30 2010-02-02 Asml Netherlands B.V. Method of measuring asymmetry in a scatterometer, a method of measuring an overlay error in a substrate and a metrology apparatus
US7873585B2 (en) * 2007-08-31 2011-01-18 Kla-Tencor Technologies Corporation Apparatus and methods for predicting a semiconductor parameter across an area of a wafer
US7929667B1 (en) 2008-10-02 2011-04-19 Kla-Tencor Corporation High brightness X-ray metrology
TWI401549B (zh) * 2009-12-02 2013-07-11 Ind Tech Res Inst 二維陣列疊對圖樣之設計方法、疊對誤差量測方法及其量測系統
US8666703B2 (en) * 2010-07-22 2014-03-04 Tokyo Electron Limited Method for automated determination of an optimally parameterized scatterometry model
NL2007765A (en) * 2010-11-12 2012-05-15 Asml Netherlands Bv Metrology method and inspection apparatus, lithographic system and device manufacturing method.
US8577820B2 (en) * 2011-03-04 2013-11-05 Tokyo Electron Limited Accurate and fast neural network training for library-based critical dimension (CD) metrology
WO2012126718A1 (en) * 2011-03-21 2012-09-27 Asml Netherlands B.V. Method and apparatus for determining structure parameters of microstructures
WO2012138758A1 (en) * 2011-04-06 2012-10-11 Kla-Tencor Corporation Method and system for providing a quality metric for improved process control
US9310296B2 (en) * 2011-06-20 2016-04-12 Kla-Tencor Corporation Optimizing an optical parametric model for structural analysis using optical critical dimension (OCD) metrology
US8681413B2 (en) * 2011-06-27 2014-03-25 Kla-Tencor Corporation Illumination control
US9228943B2 (en) 2011-10-27 2016-01-05 Kla-Tencor Corporation Dynamically adjustable semiconductor metrology system
US9127927B2 (en) * 2011-12-16 2015-09-08 Kla-Tencor Corporation Techniques for optimized scatterometry
US8879073B2 (en) * 2012-02-24 2014-11-04 Kla-Tencor Corporation Optical metrology using targets with field enhancement elements
US8843875B2 (en) 2012-05-08 2014-09-23 Kla-Tencor Corporation Measurement model optimization based on parameter variations across a wafer
JP6353831B2 (ja) * 2012-06-26 2018-07-04 ケーエルエー−テンカー コーポレイション 角度分解反射率測定における走査および回折の光計測からのアルゴリズム的除去
US9093458B2 (en) * 2012-09-06 2015-07-28 Kla-Tencor Corporation Device correlated metrology (DCM) for OVL with embedded SEM structure overlay targets
US9581430B2 (en) 2012-10-19 2017-02-28 Kla-Tencor Corporation Phase characterization of targets
US10769320B2 (en) 2012-12-18 2020-09-08 Kla-Tencor Corporation Integrated use of model-based metrology and a process model
US9291554B2 (en) 2013-02-05 2016-03-22 Kla-Tencor Corporation Method of electromagnetic modeling of finite structures and finite illumination for metrology and inspection
WO2014138522A1 (en) * 2013-03-08 2014-09-12 Kla-Tencor Corporation Pupil plane calibration for scatterometry overlay measurement
US10101670B2 (en) * 2013-03-27 2018-10-16 Kla-Tencor Corporation Statistical model-based metrology
US9875946B2 (en) 2013-04-19 2018-01-23 Kla-Tencor Corporation On-device metrology
US10429320B2 (en) * 2013-06-04 2019-10-01 Kla-Tencor Corporation Method for auto-learning tool matching
WO2015009739A1 (en) 2013-07-18 2015-01-22 Kla-Tencor Corporation Illumination configurations for scatterometry measurements
US9383661B2 (en) 2013-08-10 2016-07-05 Kla-Tencor Corporation Methods and apparatus for determining focus
US10935893B2 (en) 2013-08-11 2021-03-02 Kla-Tencor Corporation Differential methods and apparatus for metrology of semiconductor targets
US9412673B2 (en) * 2013-08-23 2016-08-09 Kla-Tencor Corporation Multi-model metrology
US9490182B2 (en) * 2013-12-23 2016-11-08 Kla-Tencor Corporation Measurement of multiple patterning parameters
US9784690B2 (en) * 2014-05-12 2017-10-10 Kla-Tencor Corporation Apparatus, techniques, and target designs for measuring semiconductor parameters
US10151986B2 (en) * 2014-07-07 2018-12-11 Kla-Tencor Corporation Signal response metrology based on measurements of proxy structures
US10210606B2 (en) * 2014-10-14 2019-02-19 Kla-Tencor Corporation Signal response metrology for image based and scatterometry overlay measurements
US10215559B2 (en) * 2014-10-16 2019-02-26 Kla-Tencor Corporation Metrology of multiple patterning processes
US9710728B2 (en) * 2014-10-28 2017-07-18 Kla-Tencor Corporation Image based signal response metrology
US10152678B2 (en) * 2014-11-19 2018-12-11 Kla-Tencor Corporation System, method and computer program product for combining raw data from multiple metrology tools
US10345095B1 (en) * 2014-11-20 2019-07-09 Kla- Tencor Corporation Model based measurement systems with improved electromagnetic solver performance
US10502549B2 (en) * 2015-03-24 2019-12-10 Kla-Tencor Corporation Model-based single parameter measurement
US9903711B2 (en) * 2015-04-06 2018-02-27 KLA—Tencor Corporation Feed forward of metrology data in a metrology system
US10190868B2 (en) * 2015-04-30 2019-01-29 Kla-Tencor Corporation Metrology system, method, and computer program product employing automatic transitioning between utilizing a library and utilizing regression for measurement processing
CN107924137B (zh) * 2015-06-17 2021-03-05 Asml荷兰有限公司 基于配置方案间的一致性的配置方案选择
US10502692B2 (en) * 2015-07-24 2019-12-10 Kla-Tencor Corporation Automated metrology system selection
US10295342B2 (en) * 2015-08-14 2019-05-21 Kla-Tencor Corporation System, method and computer program product for calibration of metrology tools
US10380728B2 (en) * 2015-08-31 2019-08-13 Kla-Tencor Corporation Model-based metrology using images
WO2019035854A1 (en) * 2017-08-16 2019-02-21 Kla-Tencor Corporation MACHINE LEARNING IN RELATION TO METROLOGY MEASUREMENTS

Patent Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7230703B2 (en) * 2003-07-17 2007-06-12 Tokyo Electron Limited Apparatus and method for measuring overlay by diffraction gratings
CN103003754A (zh) * 2010-07-19 2013-03-27 Asml荷兰有限公司 用于确定重叠误差的方法和设备
US20120206703A1 (en) * 2011-02-11 2012-08-16 Asml Netherlands B.V. Inspection Apparatus and Method, Lithographic Apparatus, Lithographic Processing Cell and Device Manufacturing Method
US20120244461A1 (en) * 2011-03-25 2012-09-27 Toshiba America Electronic Components, Inc. Overlay control method and a semiconductor manufacturing method and apparatus employing the same
CN104520982A (zh) * 2012-06-26 2015-04-15 科磊股份有限公司 类装置散射测量叠盖目标
CN106062939A (zh) * 2014-02-20 2016-10-26 科磊股份有限公司 用于基于图像的叠对测量的信号响应计量
CN106463429A (zh) * 2014-05-09 2017-02-22 科磊股份有限公司 用于基于散射术的重叠测量的信号响应度量
US10352876B2 (en) * 2014-05-09 2019-07-16 KLA—Tencor Corporation Signal response metrology for scatterometry based overlay measurements
US20160109375A1 (en) * 2014-10-18 2016-04-21 Kla-Tencor Corporation Measurement Of Small Box Size Targets
US20160146740A1 (en) * 2014-11-21 2016-05-26 Asml Netherlands B.V. Metrology method and apparatus

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN114930153A (zh) * 2020-01-06 2022-08-19 诺威有限公司 用于ocd数据解释的自我监督表征学习
CN114930153B (zh) * 2020-01-06 2023-01-06 诺威有限公司 用于ocd数据解释的自我监督表征学习
CN114930154A (zh) * 2020-01-07 2022-08-19 诺威有限公司 检测ocd计量机器学习的离群值和异常
CN114930154B (zh) * 2020-01-07 2023-08-01 诺威有限公司 检测ocd计量机器学习的离群值和异常

Also Published As

Publication number Publication date
TW201837426A (zh) 2018-10-16
US10732516B2 (en) 2020-08-04
TWI748061B (zh) 2021-12-01
KR102356949B1 (ko) 2022-01-27
EP3577444A1 (en) 2019-12-11
JP2020510195A (ja) 2020-04-02
EP3577444A4 (en) 2020-12-02
IL268861A (en) 2019-10-31
WO2018160779A1 (en) 2018-09-07
KR20190115480A (ko) 2019-10-11
JP7012734B2 (ja) 2022-01-28
IL268861B (en) 2022-06-01
US20180252514A1 (en) 2018-09-06

Similar Documents

Publication Publication Date Title
CN110520715A (zh) 基于光学散射测量的工艺稳健叠加计量
KR102486070B1 (ko) 이미지 기반 및 산란측정 오버레이 측정을 위한 신호 응답 계측
US10107765B2 (en) Apparatus, techniques, and target designs for measuring semiconductor parameters
US9710728B2 (en) Image based signal response metrology
KR102221063B1 (ko) 스캐터로메트리 기반 오버레이 측정들을 위한 신호 응답 계측
TWI675179B (zh) 多重圖案化參數之量測
KR102382490B1 (ko) 모델 기반의 핫 스팟 모니터링
US9739719B2 (en) Measurement systems having linked field and pupil signal detection
US10152654B2 (en) Signal response metrology for image based overlay measurements
JP6567523B2 (ja) メトロロジーターゲットの設計のための方法及び装置
US20130342831A1 (en) Device-like scatterometry overlay targets
JP6924261B2 (ja) パターニングされたウェハの特性評価のためのハイブリッド計量
CN107924561A (zh) 使用图像的以模型为基础的计量

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination