TWI748061B - 基於散射術之度量系統及方法 - Google Patents

基於散射術之度量系統及方法 Download PDF

Info

Publication number
TWI748061B
TWI748061B TW107106467A TW107106467A TWI748061B TW I748061 B TWI748061 B TW I748061B TW 107106467 A TW107106467 A TW 107106467A TW 107106467 A TW107106467 A TW 107106467A TW I748061 B TWI748061 B TW I748061B
Authority
TW
Taiwan
Prior art keywords
measurement
targets
overlap
scatterometry
overlapping
Prior art date
Application number
TW107106467A
Other languages
English (en)
Other versions
TW201837426A (zh
Inventor
史帝藍 伊凡渥夫 潘戴夫
安德烈 V 舒傑葛洛夫
陸偉
Original Assignee
美商克萊譚克公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商克萊譚克公司 filed Critical 美商克萊譚克公司
Publication of TW201837426A publication Critical patent/TW201837426A/zh
Application granted granted Critical
Publication of TWI748061B publication Critical patent/TWI748061B/zh

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/8851Scan or image signal processing specially adapted therefor, e.g. for scan signal adjustment, for detecting different kinds of defects, for compensating for structures, markings, edges
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/14Measuring arrangements characterised by the use of optical techniques for measuring distance or clearance between spaced objects or spaced apertures
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/17Systems in which incident light is modified in accordance with the properties of the material investigated
    • G01N21/47Scattering, i.e. diffuse reflection
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F7/00Methods or arrangements for processing data by operating upon the order or content of the data handled
    • G06F7/38Methods or arrangements for performing computations using exclusively denominational number representation, e.g. using binary, ternary, decimal representation
    • G06F7/48Methods or arrangements for performing computations using exclusively denominational number representation, e.g. using binary, ternary, decimal representation using non-contact-making devices, e.g. tube, solid state device; using unspecified devices
    • G06F7/4806Computations with complex numbers
    • G06F7/4812Complex multiplication
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T7/00Image analysis
    • G06T7/0002Inspection of images, e.g. flaw detection
    • G06T7/0004Industrial image inspection
    • G06T7/001Industrial image inspection using an image reference approach
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T7/00Image analysis
    • G06T7/60Analysis of geometric attributes
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/9501Semiconductor wafers
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2207/00Indexing scheme relating to methods or arrangements for processing data by operating upon the order or content of the data handled
    • G06F2207/38Indexing scheme relating to groups G06F7/38 - G06F7/575
    • G06F2207/48Indexing scheme relating to groups G06F7/48 - G06F7/575
    • G06F2207/4802Special implementations
    • G06F2207/4818Threshold devices
    • G06F2207/4824Neural networks
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2207/00Indexing scheme for image analysis or image enhancement
    • G06T2207/10Image acquisition modality
    • G06T2207/10056Microscopic image
    • G06T2207/10061Microscopic image from scanning electron microscope
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2207/00Indexing scheme for image analysis or image enhancement
    • G06T2207/20Special algorithmic details
    • G06T2207/20081Training; Learning
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2207/00Indexing scheme for image analysis or image enhancement
    • G06T2207/20Special algorithmic details
    • G06T2207/20084Artificial neural networks [ANN]
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2207/00Indexing scheme for image analysis or image enhancement
    • G06T2207/30Subject of image; Context of image processing
    • G06T2207/30108Industrial image inspection
    • G06T2207/30148Semiconductor; IC; Wafer

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Theoretical Computer Science (AREA)
  • Computer Vision & Pattern Recognition (AREA)
  • Immunology (AREA)
  • Pathology (AREA)
  • Biochemistry (AREA)
  • General Health & Medical Sciences (AREA)
  • Health & Medical Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Computational Mathematics (AREA)
  • Computing Systems (AREA)
  • Mathematical Analysis (AREA)
  • Mathematical Optimization (AREA)
  • Pure & Applied Mathematics (AREA)
  • Quality & Reliability (AREA)
  • Signal Processing (AREA)
  • General Engineering & Computer Science (AREA)
  • Geometry (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Length Measuring Devices By Optical Means (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)

Abstract

本發明描述用於基於一訓練量測模型之穩固疊對誤差量測之方法及系統。自由一基於散射術之疊對度量系統自實驗設計(DOE)晶圓收集之原始散射量測資料訓練該量測模型。各量測位點包含依程式化疊對變動及已知程式變動製造之一或多個度量目標。依已知度量系統變動量測各量測位點。依此方式,該量測模型經訓練以使實際疊對與影響疊對量測之程序變動及度量系統變動分離。因此,由該訓練量測模型估計實際疊對對程序變動及度量系統變動具穩固性。基於自用於執行量測之相同度量系統收集之散射量測資料來訓練該量測模型。因此,該量測模型對系統誤差、不對稱性等等不敏感。

Description

基於散射術之度量系統及方法
所描述之實施例係關於度量系統及方法,且更特定言之,所描述之實施例係關於改良疊對量測之方法及系統。
通常藉由應用於一樣品之一系列處理步驟來製造諸如邏輯裝置及記憶體裝置之半導體裝置。藉由此等處理步驟來形成半導體裝置之各種特徵及多個結構層級。例如,其中之微影係涉及在一半導體晶圓上產生一圖案之一半導體製程。半導體製程之額外實例包含(但不限於)化學機械拋光、蝕刻、沈積及離子植入。可在一單一半導體晶圓上製造多個半導體裝置且接著將多個半導體裝置分離成個別半導體裝置。
在一半導體製程期間之各種步驟中使用度量程序來偵測晶圓上之缺陷以促成較高良率。光學度量技術提供高生產率及無樣本破壞風險之可能性。通常使用大量基於光學度量之技術(其包含散射術及反射術實施方案及相關聯之分析演算法)來將奈米級結構之臨界尺寸、膜厚度、組成、疊對及其他參數特徵化。
通常藉由將一系列層沈積於一基板上來製造半導體裝置。一些或所有層包含各種圖案化結構。特定層內及層之間之結構之相對位置對完成電 子裝置之性能至關重要。疊對係指一晶圓之相同或不同層上之上覆或交錯結構之相對位置。疊對誤差係指自上覆或交錯結構之標稱(即,所要)相對位置之偏差。疊對誤差越大,結構錯位越多。若疊對誤差過大,則所製造之電子裝置之性能會受損。
通常基於由一微影工具形成於晶圓上之各種位置處之特定目標結構之量測來評估疊對誤差。通常採用光學度量技術來執行疊對量測。在一些實例中,採用基於影像之疊對(IBO)度量技術。IBO量測涉及基於反射光來使特定目標成像。目標結構可呈諸多形式,諸如一盒中盒結構或桿中桿結構。在一實例中,一盒產生於晶圓之一層上且一第二較小盒產生於另一層上。藉由比較兩個盒之中心之間之對準來量測局部疊對誤差。在其中目標結構可用之晶圓之位置處進行此等量測。藉由處理各影像以自量測影像估計印刷於不同層上之目標特徵之間之距離來量測疊對。
不幸地,此等特定目標結構通常不符合用於產生電子裝置之特定半導體製程之設計規則。此導致估計與根據適用設計規則所製造之實際裝置結構相關聯之疊對誤差時之誤差。例如,IMO度量通常需要圖案包含具有遠超過設計規則臨界尺寸之臨界尺寸之粗線來由一光學顯微鏡成功解析。
在一些其他實例中,採用自疊對目標散射或繞射之光來估計疊對。基於散射術之疊對度量技術(通常指稱散射術疊對(SCOL)或基於繞射之疊對(DBO))主要基於對應於來自兩個不同目標(其等各具有程式化疊對偏移)之繞射之光學信號之差動量測。基於此等差動量測來提取未知疊對誤差。
基於散射術之大多數既有方法基於對結構之不對稱性敏感之一度量來將疊對誤差特徵化。例如,既有角解析散射術疊對(SCOL)基於+1繞射階與-1繞射階之間之量測不對稱性來將疊對特徵化。然而,僅依靠不對稱 性作為疊對誤差之指標係有問題的,因為程序誘發之變動(其包含對稱變動及不對稱變動兩者)顯著影響疊對量測。例如,諸如側壁角不對稱性、譜線輪廓不對稱性或光束照明不對稱性之不對稱程序變動耦合至量測信號之疊對產生不對稱性中。此導致疊對誤差之一不準確量測。在其他實例中,諸如膜厚度變動之對稱程序變動耦合至量測信號之疊對產生不對稱性中。
通常,藉由選擇對程序變動不敏感之一特定照明波長且最佳化目標設計以降低對程序變動之敏感度來解決對SCOL及DBO之程序變動(即,影響量測不對稱性之非疊對參數之變化)缺乏穩固性。不幸地,此等兩種方法受限於其有效性。例如,選擇一特定照明波長可導致小疊對量測誤差,但僅在全程序窗之一小範圍內。此使量測不可靠及不一致,從而需要頻繁重新評估照明波長。目標設計最佳化非常耗時且需要結構、材料分散及光學系統之準確模型。驗證模型之準確度亦非常有挑戰性,因為在研究方案時,遮罩及目標通常不可用。另外,目標最佳化會降低對程序變動之量測敏感度,但無法完全解決對全程序變動窗之穩固性。
圖1A至圖1C繪示與在三個不同照明波長處執行之一SCOL量測相關聯之一量測繞射階之光瞳影像。圖1A描繪523奈米之一照明波長處之一量測繞射階之一影像10。圖1B描繪579奈米之一照明波長處之一量測繞射階之一影像11。圖1C描繪668奈米之一照明波長處之一量測繞射階之一影像12。
如圖1B中所繪示,量測影像11歸因於該波長處之諧振而藉由穿過影像之中間之一弧失真。量測繞射階之總強度受諧振弧及所得疊對估計影響。影像10及12未展現此一失真且與此等照明波長相關聯之所得疊對估 計更準確。
圖2描繪一波長範圍內之藉由一SCOL系統之疊對量測之不準確度之一作圖13。標繪線14描繪其中疊對不準確度飆升至不可接受位準之三個不同波長子範圍。點15至17分別與圖1A至圖1C中所繪示之照明波長對應。圖2通常指稱一不準確度態勢(landscape)。此一作圖用於分析疊對不準確度及對程序變動之穩固性。
當前針對一特定疊對量測應用所研究之一方法要避免其中不準確度飆升之波長子區域。然而,對稱及不對稱程序變動之存在引起不準確度態勢之移位,其使一適合照明波長之選擇變複雜。
圖3描繪各與譜線輪廓不對稱性之一不同值相關聯之若干不準確度態勢之一作圖20。標繪線21描繪不具有譜線輪廓不對稱性之不準確度。標繪線22描繪具有2奈米之譜線輪廓不對稱性之不準確度。標繪線23描繪具有4奈米之譜線輪廓不對稱性之不準確度。標繪線24描繪具有8奈米之譜線輪廓不對稱性之不準確度。如圖3中所繪示,隨著結構不對稱性增加(例如,歸因於印刷誤差),疊對量測之誘發不準確度之振幅增大。在此實例中,不準確度之增大與譜線輪廓不對稱性之振幅成線性比例。
圖4描繪各與疊對結構之高度變動(一對稱程序變動)之一不同值相關聯之若干不準確度態勢之一作圖30。標繪線31描繪不具有高度變動之不準確度。標繪線32描繪具有+6奈米之高度變動之不準確度。標繪線33描繪具有-6奈米之高度變動之不準確度。如圖4中所繪示,隨著對稱程序變動改變,不準確度態勢之波長移位。
圖3及圖4繪示疊對不準確度取決於對稱及不對稱變動。疊對誤差由不對稱程序變動放大且因對稱程序變動而波長移位。若存在對稱程序變 動,則疊對不準確度之峰值不固定於特定波長處。因此,基於一特定不準確度態勢來選擇一適合照明波長無法充分證明存在不對稱及對稱程序變動。吾人可試圖藉由依據涵蓋對稱及不對稱程序變動之一範圍之若干不準確度態勢選擇照明波長來緩解此風險,但在一些實例中,變動過大,使得不存在將導致一足夠準確疊對量測之照明波長。因此,在一些情況中,無法基於照明波長之選擇來產生一疊對量測方案。
不準確度態勢之額外描述由Bringholz、Barak等人於「Accuracy in optical overlay metrology」(Proc.of SPIE,第9778卷,9778H-1-19,2016年3月24日出版)(其全文以引用的方式併入本文中)呈現。
習知SCOL及DBO技術需要四個不同目標(例如具有四個不同單元之一度量目標)以在兩個方向上量測疊對(即,兩個單元與各不同方向相關聯)。此增加移動-獲取-量測(MAM)時間及晶圓上之目標面積。
另外,習知SCOL及DBO技術之疊對準確度受光學系統變動及像差顯著影響。此使準確疊對量測及足夠準確工具匹配難以達成。
未來疊對度量應用歸因於越來越小解析度要求及越來越高晶圓面積值使度量面臨挑戰。因此,期望用於改良疊對量測之方法及系統。
本文描述用於基於一訓練量測模型之穩固疊對誤差量測之方法及系統。自由一基於散射術之疊對度量系統自實驗設計(DOE)晶圓收集之原始散射量測資料訓練該量測模型。各量測位點包含依程式化疊對變動及已知程式變動製造之一或多個度量目標。依已知度量系統變動量測各量測位點。依此方式,該量測模型經訓練以使實際疊對與影響疊對量測之程序變動及度量系統變動分離。因此,由該訓練量測模型估計實際疊對對程序變 動及度量系統變動具穩固性。
藉由僅使用原始散射量測資料產生量測模型來減小與基於傳統模型之度量方法相關聯之誤差及近似值。另外,量測模型對系統誤差、不對稱性等等不敏感,因為量測模型基於自一特定度量系統收集之散射量測資料來訓練且用於執行基於自相同度量系統收集之散射量測資料之量測。
在一態樣中,製造依據一或多個DOE晶圓上之位置而變化之一組最佳程式化疊對變動。在一些實例中,亦製造依據一DOE晶圓上之位置而變化之一或多個程序參數之跨晶圓變動及一或多個結構參數之跨晶圓變動(其等誘發疊對量測之變動)。
在一進一步態樣中,DOE包含與用於量測DOE度量目標以產生訓練資料之(若干)散射量測系統相關聯之一或多個系統參數值之不同值之一範圍。
在另一態樣中,基於各製造度量目標之一系列量測來估計與各製造度量目標相關聯之實際疊對之值,其中散射術疊對量測系統本身採用一零誤差疊對(ZEO)估計法。ZEO法用於自在一些不同照明波長處執行之SCOL疊對量測更準確地估計疊對。
在另一態樣中,各量測位點包含具有一光柵結構陣列之一單一單元度量目標,該光柵結構陣列在至少一方向上具有週期性。因此,單一單元度量目標之一散射量測對至少一方向上之疊對敏感。在一些實施例中,單一單元度量目標包含在至少兩個方向上具有週期性之一光柵結構陣列。因此,單一單元目標之量測對兩個方向上之疊對敏感。一般而言,經量測之疊對結構可定位於基板之相同層或不同層上。
在一進一步態樣中,一單一單元度量目標包含在至少一方向上具有 兩個或兩個以上不同週期性之一光柵結構陣列。例如,一頂層之光柵及一底層之光柵可由一較小節距光柵或類裝置結構分段。
在另一態樣中,一訓練量測模型用作為用於量測具有未知疊對值之其他目標之量測模型。
在一進一步態樣中,本文所描述之方法及系統不僅限於量測疊對誤差。一般而言,本文所描述之基於散射術之量測技術可應用於其他程序、結構、分散參數或此等參數之任何組合之量測。舉非限制性實例而言,可量測輪廓幾何形狀參數(例如臨界尺寸)、程序參數(例如焦距及劑量)、分散參數、節距遊動或參數之任何組合。可提供各關注參數之具有程式化變動之一組訓練目標。接著,基於在量測位點上收集之散射量測資料(其包含各關注參數之程式化變動之範圍)來訓練量測模型。
在另一進一步態樣中,用於訓練量測模型之方法及系統包含一最佳化演算法來使達成一訓練量測模型所需之任何或所有元件自動化。
在另一進一步態樣中,收集來自多個不同目標之散射量測資料用於模型建構、訓練及量測。使用與具有不同結構之多個目標相關聯但由相同程序條件及程式化疊對值形成之量測資料增加嵌入模型中之資訊且減少與程序或其他參數變動之疊對相關性。
在另一進一步態樣中,收集自一或多個量測系統參數之多個值處執行之量測導出之量測資料用於模型建構、訓練及量測。舉非限制性實例而言,在多個照明波長、偏振等等處執行之量測用於訓練一量測模型且使用本文所描述之訓練量測模型執行量測。
在另一進一步態樣中,收集自由多個不同量測技術之一組合執行之量測導出之量測資料用於模型建構、訓練及量測。使用與多個不同量測技 術相關聯之量測資料增加組合信號組中之資訊內容且減少與程序或其他參數變動之疊對相關性。
在另一態樣中,可使用本文所描述之量測模型結果來將主動回饋提供至一處理工具(例如微影工具、蝕刻工具、沈積工具等等)。例如,可將使用本文所描述之方法所判定之疊對誤差之值傳送至一微影工具以調整微影系統來達成一所要輸出。依一類似方式,可將蝕刻參數(例如蝕刻時間、擴散率等等)或沈積參數(例如時間、濃度等等)包含於一量測模型中以將主動回饋分別提供至蝕刻工具或沈積工具。
上述內容係一概述且因此必然會簡化、一般化及省略細節;因此,熟習技術者應瞭解,概述僅供說明且決不具限制性。將在本文所闡述之非限制性詳細描述中明白本文所描述之裝置及/或程序之其他態樣、發明特徵及優點。
10:影像
11:影像
12:影像
13:作圖
14:標繪線
15至17:點
20:作圖
21:標繪線
22:標繪線
23:標繪線
24:標繪線
30:作圖
31:標繪線
32:標繪線
33:標繪線
100:疊對度量系統
101:照明器
102:波長選擇裝置
103:光束整形裝置
104:偏振控制裝置
105:分光器元件
106:物鏡
107:樣品
108:度量目標
109:偏振分析器裝置
110:聚焦光學器件
111:成像偵測器
112:照明光束
113:收集光
120:量測光瞳影像/量測資料
121:疊對參數/輸出信號
130:運算系統/電腦系統
131:處理器
132:記憶體
133:匯流排
134:程式指令
140:度量目標
141:底層光柵
142:頂層光柵
145:度量目標
150:作圖
160:作圖
170:作圖
180:作圖
181:R曲線
182:疊對估計曲線
190:作圖
200:方法
201:區塊
202:區塊
203:區塊
204:區塊
205:區塊
210:方法
211:區塊
212:區塊
213:區塊
214:區塊
215:區塊
D:距離
P1:週期性
P2:週期性
△X:x方向上之疊對
△Y:y方向上之疊對
圖1A至圖1C繪示與在三個不同照明波長處執行之一SCOL量測相關聯之一量測繞射階之光瞳影像。
圖2描繪一波長範圍內之藉由一SCOL系統之疊對量測之不準確度之一作圖。
圖3描繪各與譜線輪廓不對稱性之一不同值相關聯之若干不準確度態勢之一作圖。
圖4描繪各與一對稱程序變動之一不同值相關聯之若干不準確度態勢之一作圖。
圖5繪示用於根據本文所呈現之例示性方法量測疊對誤差之一系統100。
圖6繪示適合於由本發明之一度量系統(諸如圖5中所繪示之度量系統100)實施之一方法。
圖7繪示適合於由本發明之一度量系統(諸如圖5中所繪示之度量系統100)實施之一方法。
圖8描繪一實施例中之一單一單元疊對度量目標之一圖示。
圖9描繪另一實施例中之一單一單元疊對度量目標之一圖示。
圖10描繪包含依據波長而變化之若干疊對誤差量測之一作圖。
圖11繪示包含依據波長而變化之一內插R曲線之一作圖。
圖12繪示依據波長而變化之若干R曲線之一作圖。
圖13描繪繪示與在不同波長處由一SCOL度量系統執行之一系列量測相關聯之一疊對估計曲線及一R曲線的一作圖。
圖14繪示各與自一峰值位置之一不同距離處評估之量測疊對之晶圓內變動相關聯之一組點之一作圖。
相關申請案之交叉參考
本專利申請案根據35 U.S.C.§119規定主張2017年3月1日申請之名稱為「Method and System for Process-Robust Overlay Metrology Using Optical Scatterometry」之美國臨時專利申請案第62/465,163號之優先權,該案之全部內容以引用的方式併入本文中。
現將詳細參考背景實例及本發明之一些實施例,本發明之實例繪示於附圖中。
本文描述用於基於一訓練量測模型之穩固疊對誤差量測之方法及系統。自由一基於散射術之疊對度量系統自實驗設計(DOE)晶圓收集之原始 散射量測資料訓練量測模型。各量測位點包含依程式化疊對變動及已知程式變動製造之一或多個度量目標。依基於散射術之疊對度量系統對其敏感之已知度量系統變動(即,量測系統參數值)量測各量測位點。依此方式,量測模型經訓練以使實際疊對與影響疊對量測之程序變動及度量系統變動分離。因此,由訓練量測模型估計實際疊對對程序變動及度量系統變動具穩固性。因此,實際疊對之估計在整個照明波長範圍內係一致及準確的且無需目標設計最佳化。
藉由僅使用原始散射量測資料產生本文所描述之量測模型來減小與基於傳統模型之度量方法相關聯之誤差及近似值。另外,量測模型對系統誤差、不對稱性等等不敏感,因為量測模型基於自一特定度量系統收集之散射量測資料來訓練且用於執行基於自相同度量系統收集之散射量測資料之量測。
圖5繪示用於根據本文所呈現之例示性方法量測疊對誤差之一系統100。如圖5中所展示,系統100可用於執行一樣品107之一或多個結構之散射術疊對(SCOL)量測。在此態樣中,系統100可包含配備有一照明器101及一成像偵測器111之一散射計。系統100之照明器101經組態以產生及導引一選定波長或波長範圍(例如100nm至2500nm)之照明至安置於樣品107之表面上之結構。接著,成像偵測器111經組態以接收自樣品107之表面散射之照明。在一些實施例中,照明器101包含至少一雷射。在一些此等實施例中,雷射能夠基於自運算系統130接收之一命令信號來選擇照明波長。
在圖5所描繪之實施例中,系統100在照明路徑中包含波長選擇裝置102、光束整形裝置103及偏振控制裝置104。波長選擇裝置102包含經組 態以選擇性地使一所要照明波長或波長範圍通過且拒絕其他照明波長之一或多個光學元件(例如光學濾波器等等)。在一些實施例中,波長選擇裝置102由運算系統130控制。在此等實施例中,運算系統130經組態以將指示一所要波長或波長範圍之控制命令傳送至波長選擇裝置102。作為回應,波長選擇裝置102選擇性地使所要波長或波長範圍通過。光束整形裝置103包含經組態以整形提供至樣品107之表面之照明光束之一或多個光學元件。在一些實施例中,光束整形裝置103由運算系統130控制。在此等實施例中,運算系統130經組態以將指示一所要光束形狀之控制命令傳送至光束整形裝置103。作為回應,光束整形裝置103選擇性地重新整形照明光束以達成提供至樣品107之表面之所要照明光束形狀。在一些實施例中,自照明器101射出之照明光由偏振控制裝置104偏振以產生提供至樣品107之一偏振照明光束。在一些實施例中,偏振控制裝置104由運算系統130控制。在此等實施例中,運算系統130經組態以將指示一所要偏振之控制命令傳送至偏振控制裝置104。作為回應,偏振控制裝置104選擇性地偏振照明光以達成所要偏振狀態。將照明光束112導引至分光器元件105,分光器元件105將照明光束112導引向物鏡106且導引至樣品107之表面上。由安置於樣品107上之受量測度量目標108散射之輻射由物鏡106收集且通過分光器元件105。在一些實施例中,收集光113由偏振分析器裝置109分析以產生提供至成像偵測器111之一分析收集光束。在一些實施例中,偏振分析器裝置109由運算系統130控制。將收集光113導引至聚焦光學器件110,聚焦光學器件110使收集光113成像至成像偵測器111上。成像偵測器111定位於與樣品107之表面結合之一光瞳平面中。將量測光瞳影像120傳送至運算系統130以分析受量測度量目標。
在一進一步實施例中,系統100可包含一或多個運算系統130,其用於執行基於根據本文所描述之方法所開發之訓練量測模型之疊對量測。一或多個運算系統130可通信地耦合至成像偵測器111。在一態樣中,一或多個運算系統130經組態以接收與安置於樣品107上之度量目標之量測相關聯之量測資料120。
應認識到,本發明中所描述之各種步驟可由一單一電腦系統130或替代地,多個電腦系統130實施。再者,系統100之不同子系統(諸如成像偵測器111)可包含適合於實施本文所描述之步驟之至少一部分之一電腦系統。因此,以上描述不應被解譯為對本發明之一限制,而是僅為一說明。此外,一或多個運算系統130可經組態以執行本文所描述之任何方法實施例之任何其他(若干)步驟。
另外,電腦系統130可依此項技術中已知之任何方式通信地耦合至成像偵測器111。例如,一或多個運算系統130可耦合至與成像偵測器111相關聯之運算系統。在另一實例中,成像偵測器111可直接由耦合至電腦系統130之一單一電腦系統控制。
疊對度量系統100之電腦系統130可經組態以藉由可包含有線及/或無線部分之一傳輸介質自系統之子系統(例如成像偵測器111及其類似者)接收及/或獲取資料或資訊。依此方式,傳輸介質可充當電腦系統130與系統100之其他子系統之間之一資料鏈路。
疊對度量系統100之電腦系統130可經組態以藉由可包含有線及/或無線部分之一傳輸介質自其他系統接收及/或獲取資料或資訊(例如量測結果、模型化輸入、模型化結果等等)。依此方式,傳輸介質可充當電腦系統130與其他系統(例如度量系統100板上記憶體、外部記憶體、參考量測 源或其他外部系統)之間之一資料鏈路。例如,運算系統130可經組態以經由一資料鏈路自一儲存媒體(即,記憶體132或一外部記憶體)接收量測資料。例如,使用成像偵測器111所獲得之量測結果可儲存於一永久或半永久記憶體裝置(例如記憶體132或一外部記憶體)中。據此而言,量測結果可自板上記憶體或一外部記憶體系統輸入。再者,電腦系統130可經由一傳輸介質將資料發送至其他系統。例如,由電腦系統130判定之一參數模型或一疊對參數121可傳送及儲存於一外部記憶體中。據此而言,量測結果可輸出至另一系統。
運算系統130可包含(但不限於)個人電腦系統、主機電腦系統、工作站、影像電腦、並行處理器或此項技術中已知之任何其他裝置。一般而言,術語「運算系統」可經廣義定義以涵蓋具有一或多個處理器(其執行來自一記憶體媒體之指令)之任何裝置。
實施諸如本文所描述之方法之方法之程式指令134可通過諸如導線、電纜或無線傳輸鏈路之一傳輸介質傳輸。例如,如圖5中所繪示,儲存於記憶體132中之程式指令134通過匯流排133傳輸至處理器131。程式指令134儲存於一電腦可讀媒體(例如記憶體132)中。例示性電腦可讀媒體包含唯讀記憶體、隨機存取記憶體、磁碟或光碟或磁帶。
圖6繪示適合於由本發明之一度量系統(諸如圖5中所繪示之度量系統100)實施之一方法200。應認識到,在一態樣中,方法200之資料處理區塊可經由運算系統130或任何其他通用運算系統之一或多個處理器執行之一預程式化演算法實施。本文中應認識到,度量系統100之特定結構態樣不表示限制,而是僅應被解譯為說明。
在區塊201中,使用由一基於散射術之疊對度量系統之一光學照明源 (例如照明器101)產生之照明光照射複數個疊對度量目標之各者。依不同之已知程式化疊對值及至少一製程變數之不同已知值製造複數個度量目標。
一般而言,將用於訓練之度量目標製造於一或多個實驗設計(DOE)晶圓上。依已知程式化疊對暴露各DOE晶圓。程式化疊對可具有一DOE晶圓之一域內、一DOE晶圓之不同域之間或不同DOE晶圓之間之不同值。通常,將程式化疊對變動之範圍設計成相同於或大於疊對之預期變動(即,疊對程序窗)。
在一些實施例中,將疊對之變動組織成一半導體晶圓(例如DOE晶圓)之表面上之一實驗設計(DOE)圖案。依此方式,量測位點詢問與不同疊對值對應之晶圓表面上之不同位置。
在一些實施例中,程式化疊對變動經設計以最小化與預期程序變動及結構參數變動之任何者之相關性。另外,程式化疊對變動經設計以最小化與其他疊對結構(例如其他方向上之疊對、較深層之疊對等等)之變動之相關性。
在一態樣中,一組最佳程式化疊對變動及其在一或多個DOE晶圓上之位置由(例如)運算系統130判定。在一實例中,運算系統130經組態以界定跨越疊對變動之預期範圍之一組程式化疊對值。例如,一組程式化疊對值可包含在x方向上自-10奈米至10奈米且具有1奈米之一解析度之一程式化疊對值範圍(即,程式化疊對X={-10nm,-9nm...0nm...9nm,10nm})及在y方向上自-10奈米至10奈米且具有1奈米之一解析度之一程式化疊對值範圍(即,程式化疊對Y={-10nm,-9nm...0nm...9nm,10nm})。
在此實例中,運算系統130經進一步組態以判定一或多個程序參數之跨晶圓變動及一或多個結構參數之跨晶圓變動(其等誘發疊對量測之變動)。依此方式,將程序變動及結構參數變動判定依據一DOE晶圓上之位置(例如{x,y}座標)而變化。
運算系統130經進一步組態以將程式化疊對值之各者賦予位置(例如,將{程式化疊對X,程式化疊對Y}之值映射至不同{x,y}晶圓座標)。位置之賦值經最佳化使得程式化疊對變動與程序變動之間之相關性最小化。
運算系統130經進一步組態以將程式化疊對值及其賦值晶圓座標作為一疊對DOE(即,掃描器方案)傳送至一微影工具。接著,微影工具將程式化疊對值印刷至(若干)DOE晶圓上之所要位置處。
一般而言,依至少一製程變數之已知值製造DOE晶圓組。在一些實施例中,依微影焦距及劑量之程式化值製造包括一度量目標之一晶圓之一或多個層。然而,藉由依較大數量製程變數之已知值製造DOE晶圓組來提高訓練量測模型之穩固性。理想地,依跨越所有程序及結構參數之程序窗之已知值製造DOE晶圓組。然而,製造時間及量測時間之實際限制對將在DOE組內考量之程序及結構變數之數目施加限制。
在一實例中,DOE晶圓組包含一底部光柵結構之臨界尺寸(CD)之程式化值之一大範圍。在用於形成底部光柵圖案之微影步驟中依不同微影劑量值重複製造底部光柵結構。
在另一實例中,DOE晶圓組包含一光柵疊對結構之焦距、劑量、x方向上之疊對及y方向上之疊對之程式化值之一範圍及前一光柵層之焦距及劑量之程式化值之一範圍。
在另一實例中,DOE晶圓組包含各程序步驟(例如沈積、蝕刻、化學機械拋光等等)中之程序參數值之一範圍。
在一進一步態樣中,DOE包含與散射量測系統或用於量測DOE度量目標以產生訓練資料之系統相關聯之一或多個系統參數值之不同值之一範圍。因此,訓練量測模型對量測系統變動(例如光學系統變動)具穩固性。在一實例中,與各度量目標之量測相關聯之光學散射量測信號包含在多個不同系統條件下收集之信號。例如,所收集之光學信號可包含來自多個波長及多個偏振之信號。
在區塊202中,由(例如)圖5中所描繪之散射術疊對量測系統100之集光器件回應於照明光而收集自複數個疊對度量目標之各者散射之光量
在區塊203中,由基於散射術之度量系統之一光學偵測器之複數個像素偵測自複數個疊對目標之各者收集之光量之一影像。與在各不同量測系統組態處量測之DOE晶圓組之度量目標之各者之量測相關聯之偵測光學信號包括訓練資料量。
在圖5所描繪之實施例中,成像偵測器111之光學敏感區域定位於基於散射術之度量系統100之一光瞳影像平面處或其附近。因此,系統100收集自各量測度量目標繞射之光之光瞳影像。在一些實施例中,系統100自各量測度量目標收集依+1/-1繞射階繞射之光之光瞳影像。
在區塊204中,估計與複數個疊對度量目標之各者相關聯之實際疊對之一值。
在一些實例中,將與各度量目標相關聯之實際疊對之值估計為與各度量目標相關聯之已知程式化疊對值。
在一些其他實例中,藉由使用一可信任參考度量系統量測各度量目 標來估計與各度量目標相關聯之實際疊對之值。例如,一臨界尺寸-掃描電子顯微鏡(CD-SEM)、X射線增強SEM、光學臨界尺寸工具、穿透式電子顯微鏡(TEM)及一小角度X射線散射術(SAXS)工具之任何者可用作為一參考度量系統。在一實例中,藉由在多個方位角處執行SCOL或IBO量測且減去工具誘發移位(TIS)誤差來估計實際疊對之值。
在另一態樣中,基於各製造度量目標之一系列量測來估計與各製造度量目標相關聯之實際疊對之值,其中散射術疊對量測系統本身採用本文所描述之一零誤差疊對(ZEO)估計法。
ZEO法用於自在一些不同照明波長處執行之SCOL疊對量測更準確地估計疊對。物鏡用於獲得參考SCOL疊對量測曲線之一峰值之零誤差疊對位置(即,自一峰值之一特定距離)。零誤差疊對位置與一SCOL疊對曲線之一峰值之距離保持非常近似,不管程序及結構參數值之變化如何顯著。如上文所描述,一SCOL疊對曲線通常取決於程序及結構參數值而縮放、移位或兩者。因此,一SCOL疊對估計曲線在波長上之形狀大致保持不變(不管程序及結構參數值之變化如何),且零誤差疊對位置至峰值之距離保持近乎恆定。特定言之,不對稱變動引起形狀縮放,對稱變動(例如程序變動)引起波長方向(即,水平方向)上之形狀移位,且程式化疊對之變化引起估計疊對之方向(即,垂直軸)移位。然而,不管此等變動如何,零誤差疊對至峰值之距離保持幾乎恆定。
圖10描繪包含依據波長而變化之若干疊對誤差量測之一作圖150。各標繪線表示依一或多個程序變數及不對稱結構變數之不同值製造之一度量目標之一量測。如圖10中所繪示,SCOL疊對估計曲線之形狀保持完整,但曲線相對於彼此縮放及移位。
在一實例中,採用散射術疊對量測系統來量測若干不同照明波長處之各度量目標之光學回應。就此而言,散射術疊對量測系統依若干不同照明波長照射疊對度量目標之各者,回應於+1/-1繞射階處之各不同照明波長而收集自複數個疊對度量目標之各者散射之光量,且偵測自各不同照明波長處之各疊對度量目標收集之光量之一影像。
一運算系統(例如運算系統130)基於各不同照明波長之+1繞射階與-1繞射階之間之差異來估計實際疊對之一候選值。
在一些實施例中,將候選值內插於波長上以實現一較微小波長間隔。此提供一平滑曲線、更準確峰值且能夠更準確估計零誤差疊對位置。在一些實例中,採用線性、多項式或樣條內插法來達成一高平滑度及彈性度。在一實例中,採用三次樣條內插。
在一些實施例中,採用依據波長而變化之疊對估計來進行ZEO分析。然而,在一些其他實施例中,採用依據波長而變化之一R值來進行ZEO分析。在一些實施例中,R曲線因其大體上較平滑而為有利的。使用方程式(1)運算R曲線,其中括號(<>)表示遮罩中之像素上之內積,Io表示用作為成像偵測器之電荷耦合裝置(CCD)攝影機中之變跡函數,且G係表示量測不對稱性之SCOL差異信號。
Figure 107106467-A0305-02-0021-1
圖11繪示包含依據波長而變化之一內插R曲線之一作圖160。類似地,圖12繪示包含依據波長而變化之若干R曲線之一作圖170。各標繪線表示依一或多個程序變數之不同值製造之一度量目標之一量測。如圖12中所繪示,R曲線之形狀保持完整,但曲線相對於彼此移位。
運算系統經進一步組態以自候選值判定實際疊對之一峰值。在照明 波長之整個範圍內,存在多個ZEO點,然而,並非所有ZEO點均容易被偵測到。疊對估計曲線或R曲線之峰值較易於偵測。一旦偵測到一峰值,則在選定峰值周圍之一關注區域內進行一ZEO位置搜尋。
圖13描繪繪示與由一SCOL度量系統在不同波長處執行之一系列量測相關聯之一疊對估計曲線182及一R曲線181的一作圖180。如圖13中所繪示,一疊對估計曲線或R曲線中通常存在兩個或三個峰值,且各峰值位於一特定波長範圍內。在一些實例中,將照明波長範圍細分為若干不重疊間隔,各間隔僅與一個峰值相關聯。在各間隔內識別曲線之最大點及相關聯照明波長。如圖13中所描繪,疊對估計曲線182在R曲線之中間峰值附近幾乎對稱。如圖13中所繪示,疊對估計曲線182在自峰值位置(R曲線之中間峰值)之幾乎相同距離D處與實際疊對值相交。
運算系統經進一步組態以自與最小化晶圓內疊對變動之峰值相關聯之波長判定波長之一差異。在圖13所繪示之實例中,運算系統識別R曲線181之峰值與相關聯於ZEO之SCOL疊對估計之間之距離D。
一特定波長處量測之一特定量測位點之SCOL疊對估計Si由方程式(2)描述,其中S i =O 0+w i +e i (2)O0係與量測位點相關聯之程式化疊對值,wi係晶圓內變動,且ei係SCOL量測誤差。可將晶圓內變動估計為具有零平均值及一變異數σw 2之一高斯分佈,即,wi~N(0,σw 2)。類似地,可將SCOL量測誤差估計為具有零平均值及一變異數σe 2之一高斯分佈,即,ei~N(0,σe 2)。另外,假定晶圓內變動與SCOL量測誤差不相關。在一實例中,藉由最小化SCOL量測誤差之均方差來判定其中誤差係最小之ZEO位置,如由方程式(3)所描述,其 中MSE=E[e 2]=E[(S-O 0-w)2]=E[S 2]+O 0 2-2O 0E[S]+σ w 2 (3)E[]表示期望值。當O0不可用時,藉由最小化SCOL量測誤差之均方差來判定其中誤差係最小之ZEO位置,如由方程式(4)所描述,MSE=Var[e 2]=E[(S-O 0-w)2]-[E[(S-(O 0+w))]]2=Var[S 2]+σ w 2 (4)由於σw 2未知且恆定,所以方程式(4)之最小化簡化為Var[S2]之最小化。因而,電腦系統經組態以找到其中使SCOL疊對量測之晶圓內變動最小化之最佳照明波長(即,自與峰值相關聯之照明波長之一距離D)。由於經識別峰值周圍之斜度非常陡,所以此會導致大誤差。為緩解此風險,在相對於峰值之兩個對稱位置(即,自峰值之一距離+/-d)處評估晶圓內變異數且將其平均化,如由方程式(5)所繪示,其中
Figure 107106467-A0305-02-0023-2
f(d)係定位於自峰值之一距離d處之對稱位置之平均值之變動。方程式(5)之解由方程式(6)繪示,其中
Figure 107106467-A0305-02-0023-3
d*係其中使Var[S2]最小化之d之估計值。ZEO估計O*由方程式(7)描述。
Figure 107106467-A0305-02-0023-4
在一些實例中,運算系統經組態以:計算自峰值之一組離散距離上之晶圓內變動,選擇其中晶圓內變動係最小之距離,且將自峰值之+/-最佳距離之波長位置處之平均SCOL量測判定為ZEO值。圖14繪示各與根據自一峰值位置之一不同距離d處之方程式(6)所評估之量測疊對之晶圓內變動相關聯之一組點之一作圖190。如圖14中所描繪,最小變異數定位於自峰值位置約30奈米之一距離處。在此實例中,根據約30奈米之一距離d* 處之方程式(7)來估計ZEO值。
儘管方程式(5至7)基於關於一峰值位置對稱定位之兩個點之一平均值來評估ZEO值,但在一些其他實例中,可基於定位於自峰值位置之不同距離(例如-d1及+d2)處之兩個點來判定ZEO值。更一般而言,自峰值之一距離範圍r內之一SCOL量測範圍可用於查找ZEO值。在此實例中,一疊對估計由方程式(8)及(9)描述,其中ci係加權係數,
Figure 107106467-A0305-02-0024-5
其中
Figure 107106467-A0305-02-0024-6
在此方案中,最佳化問題可由方程式(10)表達,其中ci之值經解析以最小化S(di)之加權值之總和之變異數。ZEO值可由方程式(11)表達。
Figure 107106467-A0305-02-0024-8
Figure 107106467-A0305-02-0024-9
在一些實例中,SCOL態勢在峰值位置周圍係更平緩的。在此等實例中,可自峰值沿一單一方向充分搜尋以找到ZEO位置。另外,若與各關注區域相關聯之SCOL態勢展現一非常類似圖案,則可適當運算與各峰值相關聯之ZEO值且接著平均化結果以獲得ZEO值之一最終估計。
在區塊205中,基於實際疊對之估計值及訓練資料之量來訓練一量測模型。量測模型經結構化以接收由一度量系統在一或多個量測位點處產生之量測資料且直接判定與各量測目標相關聯之疊對。
就此而言,訓練量測模型建立光學散射量測信號與疊對值之間之一函數關係。在一些實施例中,關係由一複合函數描述,其中基於訓練信號(即,所收集之光學散射量測信號)及實際疊對之估計值來估計函數係數。
在一些實例中,基於自散射術訓練資料及實際疊對之估計值提取之主要特徵來訓練量測模型。在此等實例中,基於減小訓練資料之一尺寸之訓練資料之量之一變換來判定訓練資料之量之複數個主要特徵。自基於一數學變換之散射術訓練資料提取若干主要特徵。變換減小散射量測資料之尺寸且將原始信號映射至一組新減少信號。基於散射術訓練資料之疊對之變動來判定變換。將各量測信號視為在散射術訓練資料組中之不同疊對量測之程序範圍內改變之一原始信號。變換可應用於所有量測信號或量測信號之一子集。在一些實例中,隨機選擇經受分析之信號。在一些其他實例中,歸因於其對疊對之改變化之相對較高敏感度而選擇經受分析之信號。例如,可忽略對疊對之變化不敏感之信號。舉非限制性實例而言,可使用以下之任何者來達成變換:一主成分分析(PCA)模型、一核PCA模型、一非線性PCA模型、一獨立成分分析(ICA)模型或使用辭典之其他降維方法、一離散餘弦變換(DCT)模型、快速傅立葉變換(FFT)模型、一小波模型等等。
在一些實施例中,將量測模型實施為一神經網路模型。在一實例中,基於自訓練資料提取之若干特徵來選擇神經網路之節點之數目。在其他實例中,可將量測模型實施為一線性模型、一多項式模型、一反應曲面模型、一支援向量機模型、一決策樹模型、一隨機森林模型或其他類型之模型。在一些實例中,可將量測模型實施為模型之一組合。在一些實例中,基於主要特徵(減少信號組)及疊對之已知變動來訓練選定模型。模型經訓練使得其輸出擬合由DOE度量目標組界定之疊對變動空間中之所有量測信號之疊對之界定變動。
可在本發明之範疇內考量各種不同度量目標。在一些實施例中,度 量目標係基於習知線/空間目標。在一些其他實施例中,度量目標係類裝置結構。在一些其他實施例中,度量目標係實際裝置本身,因此未採用特定度量目標。不管所採用之度量目標之類型如何,必須提供具有已知程式化偏移之一組訓練目標來訓練量測模型。一旦已訓練該模型,其可用於執行具有未知疊對之結構之量測。
訓練目標可提供於一單獨訓練晶圓或一生產晶圓上。在一些實例中,度量目標定位於一生產晶圓之一切割線中。在一些其他實例中,度量目標定位於主動晶粒區域中。
在一些實施例中,在一切割線區域中執行用於模型訓練之量測且在實際裝置之一週期性區域中執行後續量測。
在一些實施例中,正交方向上之多個不同目標偏移用於各晶粒中。此可有利地最小化底層對量測準確度之效應。
在一態樣中,各量測位點包含具有在至少一方向上具有週期性之一光柵結構陣列之一單一單元度量目標。因此,單一單元度量目標之一散射量測對至少一方向上之疊對敏感。在一些實施例中,單一單元度量目標包含在至少兩個方向上具有週期性之一光柵結構陣列。因此,單一單元目標之量測對兩個方向上之疊對敏感。一般而言,量測疊對結構可定位於相同層或基板之不同層上。
圖8描繪一實施例中之一單一單元疊對度量目標140之一圖示。度量目標140包含頂層之一光柵142及底層之至少一者之一光柵141。就疊對之不同值而言,由成像偵測器111在光瞳影像上捕獲之+1及-1繞射階對兩個方向上之疊對(例如x方向上之△X及y方向上之△Y)具有敏感度。在一實施例中,光柵141與142之間之標稱偏移在x方向及y方向兩者上係約150奈 米。如上文所描述,典型目標係每疊對方向具有兩個或兩個以上單元之SCOL或DBO目標。如圖8中所描繪,度量目標140係在兩個正交方向上具有敏感度之一單一單元目標。藉由自一個而非四個單元收集信號來達成移動-獲取-量測(MAM)時間之顯著減少。
在一進一步態樣中,一單一單元度量目標包含在至少一方向上具有兩個或兩個以上不同週期性之一光柵結構陣列。例如,頂層142之光柵及底層141之光柵可由一較小(例如設計規則)節距光柵或類裝置結構分段。
圖9描繪一實施例中之一單一單元疊對度量目標145之一圖示。度量目標145包含頂層142之一光柵及底層141之至少一者之一光柵。就疊對之不同值而言,由成像偵測器111在光瞳影像上捕獲之+1及-1繞射階對兩個方向上之疊對(例如x方向上之△X及y方向上之△Y)具有敏感度。此外,度量目標145在兩個方向上包含一多節距圖案。多節距圖案提供光瞳影像上之額外圖案且增大對對疊變化敏感之光瞳影像區域。如圖9中所描繪,多節距圖案包含兩個方向上之一週期性P1及兩個方向上之另一週期性P2。在一實施例中,P1係約600奈米且P2係約750奈米。
如上文所描述,本文所描述之量測方法及系統不受特定目標約束。一般而言,可根據本文所描述之方法及系統來採用在由可用量測系統量測時對疊對展現敏感度之任何目標。
在另一態樣中,將訓練模型用作為具有未知疊對值之其他目標之量測之量測模型。圖7繪示適合於由本發明之一度量系統(諸如圖5中所繪示之度量系統100)實施之一方法210。應認識到,在一態樣中,方法210之資料處理區塊可經由運算系統130或任何其他通用運算系統之一或多個處理器執行之一預程式化演算法實施。本文中應認識到,度量系統100之特 定結構態樣不表示限制,而是僅應被解譯為說明。
在區塊211中,使用由基於散射術之疊對度量系統(其用於產生用於訓練量測模型之訓練資料)之光學照明源(例如照明器101)產生之照明光來照射至少一疊對度量目標。(若干)量測度量目標具有未知疊對誤差。通常將度量目標安置於不同於用於產生訓練資料之(若干)晶圓之一晶圓上。通常在相同或類似於(若干)訓練晶圓之程序步驟中執行量測。另外,安置於關注晶圓上之度量目標通常為相同或類似於安置於訓練晶圓上之度量目標的度量目標。然而,在一些實施例中,將為了模型訓練所量測之度量目標及為了估計疊對所量測之度量目標安置於相同晶圓上。
在區塊212中,由(例如)成像偵測器111收集回應於照明光而自至少一疊對度量目標散射之光量。
在區塊213中,由基於散射術之度量系統之光學偵測器之複數個像素偵測自至少一疊對目標收集之光量之一影像。
在區塊214中,基於至少一疊對目標及訓練量測模型之偵測影像來估計與至少一疊對目標相關聯之實際疊對之一值。在一實例中,運算系統130採用藉由訓練量測模型所建立之功能關係以基於量測散射信號來估計實際疊對之一值。
在區塊215中,將估計疊對儲存於一記憶體中。例如,疊對值可儲存於量測系統100之板上(例如,儲存於記憶體132中)或可(例如,經由輸出信號121)傳送至一外部記憶體裝置。
在一些實施例中,運算系統130經組態以基於減小影像之一尺寸之一變換來判定至少一疊對目標之偵測影像之複數個主要特徵。在此等實施例中,與至少一疊對目標相關聯之實際疊對之值之估計係基於複數個主要特 徵及訓練量測模型。在一些實施例中,變換係用於減小參考方法200所描述之對應訓練資料之尺寸的相同變換。較佳地使用在方法200中用於自訓練資料提取特徵之相同分析來自散射量測資料提取特徵。依此方式,藉由用於減小訓練資料之尺寸之相同變換來執行所獲取之資料之尺寸減小。
在一進一步態樣中,本文所描述之方法及系統不僅限於量測疊對誤差。一般而言,基於散射術之前述量測技術可應用於其他程序、結構、分散參數或此等參數之任何組合之量測。舉非限制性實例而言,可使用前述技術量測輪廓幾何形狀參數(例如臨界尺寸)、程序參數(例如焦距及劑量)、分散參數、節距遊動或參數之任何組合以及疊對誤差。必須提供各關注參數之具有程式化變動之一組訓練目標。接著,基於在量測位點上收集之散射量測資料(其包含各關注參數之程式化變動之範圍)來訓練量測模型,如本文參考疊對所描述。舉非限制性實例而言,一關注參數可為一程序參數、一結構參數、一分散參數及一佈局參數。
在一些實例中,依至少一額外關注參數之不同已知值製造為了模型訓練所量測之度量目標。因此,量測模型之訓練亦係基於至少一額外關注參數之不同已知值及訓練資料之量。在一些實施例中,使用諸如CD-SEM、XSEM、OCD等等之參考度量來獲得與各關注參數相關聯之參考值。
依此方式,依本文所描述之方式訓練之一量測模型可用於估計疊對之值及諸如臨界尺寸、邊緣放置誤差、微影焦距、微影劑量及其他形狀及膜關注參數之額外參數。在一些實施例中,可基於自一單一單元目標、一裝置結構或對關注參數展現量測敏感度之任何其他目標或目標群組收集之量測資料來產生疊對值及關注參數之估計。依此方式,基於一或多個單元 之一量測來同時獲得多個關注參數之估計。
在另一進一步態樣中,用於訓練量測模型之方法及系統包含一最佳化演算法來使達成一訓練量測模型所需之任何或所有元件自動化。
在一些實例中,一最佳化演算法經組態以藉由最佳化以下任何或所有參數來最大化量測之效能(由一成本函數界定):特徵提取模型之類型(即,變換)、選定特徵提取模型之參數、量測模型之類型、選定量測模型之參數。最佳化演算法可包含使用者界定啟發法且可為嵌套最佳化之組合(例如組合及連續最佳化)。
在一進一步態樣中,收集來自多個不同目標之散射量測資料用於模型建構、訓練及量測。使用與具有不同結構之多個目標相關聯但由相同程序條件及程式化疊對值形成之量測資料增加嵌入模型中之資訊且減少與程序或其他參數變動之疊對相關性。嵌入模型中之額外資訊允許與疊對相關聯之資訊內容與可依一類似方式影響量測信號之其他參數(例如膜厚度、CD等等)相關聯之資訊解耦合。在此等實例中,使用在一或多個量測位點處包含多個不同目標之影像之訓練資料實現更準確疊對估計。在一些實例中,採用隔離及密集線/空間目標之一混合物來使疊對與底層效應解耦合。
在另一進一步態樣中,來自多個目標之信號可經處理以降低對程序變動之敏感度且提高對關注參數之敏感度。在一些實例中,使來自不同目標之信號彼此相減。在一些其他實例中,將來自不同目標之信號擬合至一模型且使用殘留信號來建構、訓練及使用本文所描述之量測模型。在一實例中,來自兩個不同目標之信號經相減以消除或顯著降低各量測結果中之程序雜訊之效應。一般而言,可在來自不同目標之信號之間應用各種數學 運算以判定對程序變動之具有降低敏感度及對關注參數具有提高敏感度之信號。
在另一進一步態樣中,收集自一或多個量測系統參數之多個值處執行之量測導出之量測資料用於模型建構、訓練及量測。舉非限制性實例而言,採用多個照明波長、偏振等等處執行之量測來訓練一量測模型且使用訓練量測模型執行量測,如本文所描述。
在另一進一步態樣中,收集自由多個不同量測技術之一組合執行之量測導出之量測資料用於模型建構、訓練及量測。使用與多個不同量測技術相關聯之量測資料增加組合信號組中之資訊內容且減少與程序或其他參數變動之疊對相關性。可自由多個不同量測技術之任何組合執行之量測導出量測資料。依此方式,不同量測位點可由多個不同量測技術(例如散射術、成像及其他信號資訊源)量測以增加可用於估計關注參數之量測資訊。
一般而言,由於由特徵提取模型及用於訓練及量測之量測模型處理之資料呈向量形式,所以可在本發明之範疇內考量任何量測技術或兩個或兩個以上量測技術之組合。因為本文所描述之技術進行資料之向量運算,所以要獨立處理各收集信號。另外,可串連來自多個不同度量之資料,不管資料是否為二維資料、一維資料或甚至單點資料。
可提供資料來根據本文所描述之度量技術進行分析之例示性量測技術包含(但不限於)一成像反射計、一成像光譜反射計、一偏振光譜成像反射計、一掃描反射計系統、具有能夠並行資料獲取之兩個或兩個以上反射計之一系統、具有能夠並行資料獲取之兩個或兩個以上光譜反射計之一系統、具有能夠並行資料獲取之兩個或兩個以偏振光譜反射計之一系統、具 有能夠在不移動晶圓載物台或不移動任何光學元件或反射計載物台之情況下進行串列資料獲取之兩個或兩個以上偏振光譜反射計之一系統、成像光譜儀、具有波長濾波器之成像系統、具有長通波長濾波器之成像系統、具有短通波長濾波器之成像系統、無波長濾波器之成像系統、干涉成像系統、成像橢偏計、成像光譜橢偏計、一掃描橢偏計系統、具有能夠並行資料獲取之兩個或兩個以上橢偏計之一系統、具有能夠在不移動晶圓載物台或不移動任何光學元件或橢偏計載物台之情況下進行串列資料獲取之兩個或兩個以上橢偏計之一系統、一邁克生(Michelson)干涉儀、一馬赫-任德(Mach-Zehnder)干涉儀、一薩格納克(Sagnac)干涉儀、一掃描入射角系統及一掃描方位角系統。此外,一般而言,可由多個工具而非整合多種技術之一個工具收集由不同量測技術收集且根據本文所描述之方法分析之量測資料。
在另一進一步態樣中,由多個度量量測之信號可經處理以降低對程序變動之敏感度且提高對關注參數之敏感度。在一些實例中,使來自由不同度量量測之目標之信號彼此相減。在一些其他實例中,將來自由不同度量量測之目標之信號擬合至一模型且使用殘留信號來建構、訓練及使用本文所描述之量測模型。在一實例中,使來自由兩個不同度量量測之一目標之信號相減以消除或顯著降低各量測結果中之程序雜訊之效應。一般而言,可在由不同度量量測之信號之間應用各種數學運算以判定對程序變動具有降低敏感度及對關注參數具有提高敏感度之信號。
一般而言,來自各由多個度量技術量測之多個目標之信號增加組合信號組中之資訊內容且減少與程序或結構參數變動之疊對相關性。
在一些實例中,將本文所描述之模型建構、訓練及量測方法實施為 購自美國加州Milpitas市之KLA-Tencor公司之一SpectraShape®光學臨界尺寸度量系統之一元素。依此方式,創建模型且準備在由系統收集DOE晶圓光譜之後即時使用。
在一些其他實例中,由(例如)實施購自美國加州Milpitas市之KLA-Tencor公司之AcuShape®軟體之一運算系統離線實施本文所描述之模型建構及訓練方法。可將所得訓練模型併入為可由執行量測之一度量系統存取之一AcuShape®程式庫之一元素。
在另一進一步態樣中,用於執行本文所描述之疊對量測之度量系統(例如度量系統100)包含一紅外光學量測系統。在此等實施例中,度量系統100包含一紅外光源(例如一弧光燈、一無電極燈、一雷射持續電漿(LSP)源或一中超源)。一紅外中超雷射源因光譜之紅外線區域中之較高可達電力及亮度而優於一傳統燈源。在一些實例中,由中超雷射提供之電力能夠量測具有不透明膜層之疊對結構。
疊對量測之一潛在問題係沒有足夠光穿透至底部光柵。在諸多實例中,頂部光柵與底部光柵之間存在非透明(例如不透明)膜層。此等不透明膜層之實例包含非晶碳、矽化鎢(WSix)、鎢、氮化鈦、非晶矽及其他金屬及非金屬層。限於為可見範圍及以下(例如,介於250nm至700nm之間)之波長之照明光通常無法穿透至底部光柵。然而,紅外光譜及以上(例如,大於700nm)中之照明光通常更有效地穿透不透明層。
一有效目標設計或量測結構在第一圖案與第二圖案之間傳播一非零繞射階,使得兩個圖案之相對位置影響遠場中偵測到之出射繞射光束之強度。
紫外線及可見範圍中之「不透明」之一操作定義係SCOL在250nm 至700nm之波長範圍內之預測精確度比所需精確度差很多。此歸因於攜載第一圖案與第二圖案之間之相對位置資訊之傳播繞射階衰減。當吸收顯著較少時,依大於700奈米(例如800nm至1650nm)之照明波長量測SCOL信號提高SCOL精確度。在其中採用具有大於700奈米之波長之照明光的實施例中,量測目標之設計節距經選擇使得存在可用SCOL信號。
在本文所描述之一些實施例中,藉由量測由一SCOL度量系統量測之複數個度量目標之光瞳影像之像素來產生訓練資料。然而,一般而言,亦可藉由量測由一基於繞射之疊對(DBO)度量系統量測之複數個度量目標之場影像之像素、由一成像IBO度量系統產生之信號、由一X射線度量系統產生之信號、由一光譜橢偏計(SE)系統產生之信號等等來產生訓練資料。
在又一態樣中,可使用本文所描述之量測模型結果來將主動回饋提供至一處理工具(例如微影工具、蝕刻工具、沈積工具等等)。例如,可將使用本文所描述之方法所判定之疊對誤差之值傳送至一微影工具以調整微影系統來達成一所要輸出。依一類似方式,可將蝕刻參數(例如蝕刻時間、擴散率等等)或沈積參數(例如時間、濃度等等)包含於一量測模型中以將主動回饋分別提供至蝕刻工具或沈積工具。
一般而言,可將本文所描述之系統及方法實施為使一量測模型準備用於離線或工具上量測之程序之部分。另外,兩個量測模型及任何重新參數化量測模型可描述一或多個目標結構及量測位點。
如本文所描述,術語「臨界尺寸」包含一結構之任何臨界尺寸(例如底部臨界尺寸、中間臨界尺寸、頂部臨界尺寸、側壁角、光柵高度等等)、任何兩個或兩個以上結構之間之一臨界尺寸(例如兩個結構之間之距離)及兩個或兩個以上結構之間之一位移(例如疊對光柵結構之間之疊對位 移等等)。結構可包含三維結構、圖案化結構、疊對結構等等。
如本文所描述,術語「臨界尺寸應用」或「臨界尺寸量測應用」包含任何臨界尺寸量測。
如本文所描述,術語「度量系統」包含至少部分用於使任何態樣中之一樣品特徵化之任何系統,其包含量測應用,諸如臨界尺寸度量、疊對度量、焦距/劑量度量及組成度量。然而,此等技術術語不限制本文所描述之術語「度量系統」之範疇。另外,度量系統100可經組態以用於量測圖案化晶圓及/或未圖案化晶圓。度量系統可經組態為LED檢測工具、邊緣檢測工具、背面檢測工具、宏觀檢測工具或多模式檢測工具(同時涉及來自一或多個平台之資料)及受益於基於臨界尺寸資料來校準系統參數之任何其他度量或檢測工具。
本文描述可用於處理一樣品之一半導體處理系統(例如一檢測系統或一微影系統)之各種實施例。術語「樣品」在本文中用於係指一晶圓、一倍縮光罩或可由此項技術中已知之構件處理(例如,印刷或檢測缺陷)之任何其他樣本。
如本文所使用,術語「晶圓」一般係指由一半導體或非半導體材料形成之基板。實例包含(但不限於)單晶矽、砷化鎵及磷化銦。此等基板可常見於及/或處理於半導體製造設備中。在一些情況中,一晶圓可僅包含基板(即,裸晶圓)。替代地,一晶圓可包含形成於一基板上之一或多個不同材料層。形成於一晶圓上之一或多個層可「經圖案化」或「未經圖案化」。例如,一晶圓可包含具有可重複圖案特徵之複數個晶粒。
一「倍縮光罩」可為一倍縮光罩製程之任何階段中之一倍縮光罩或可或可不釋放以用於一半導體製造設備中之一完成倍縮光罩。一倍縮光罩 或一「遮罩」一般界定為一實質上透明基板,其具有形成於其上且依一圖案組態之實質上不透明區域。基板可包含(例如)諸如非晶SiO2之一玻璃材料。一倍縮光罩可在一微影程序之一暴露步驟期間安置於一覆蓋有光阻劑之晶圓上方,使得倍縮光罩上之圖案可轉移至光阻劑。
形成於一晶圓上之一或多個層可經圖案化或未經圖案化。例如,一晶圓可包含各具有可重複圖案特徵之複數個晶粒。此等材料層之形成及處理可最終導致完成裝置。諸多不同類型之裝置可形成於一晶圓上,且本文所描述之術語「晶圓」意欲涵蓋其上製造此項技術中已知之任何類型之裝置的一晶圓。
在一或多個例示性實施例中,可在硬體、軟體、韌體或其等之任何組合中實施所描述之功能。若在軟體中實施功能,可將功能儲存於一電腦可讀媒體上或作為一電腦可讀媒體上之一或多個指令或程式碼而傳輸。電腦可讀媒體包含電腦儲存媒體及通信媒體兩者,其等包含促進一電腦程式自一位置轉移至另一位置之任何媒體。一儲存媒體可為可由一通用或專用電腦存取之任何可用媒體。舉例而言但不限於,此電腦可讀媒體可包括RAM、ROM、EEPROM、CD-ROM或其他光碟儲存器、磁碟儲存器或其他磁性儲存裝置或可用於載送或儲存呈指令或資料結構之形式之所要程式碼構件且可由一通用或專用電腦或一通用或專用處理器存取之任何其他媒體。此外,將任何連接適當稱為一電腦可讀媒體。例如,若使用同軸電纜、光纖電纜、雙絞線、數位用戶線(DSL)或無線技術(諸如紅外線、無線電及微波)來自網站、伺服器或其他遠端源傳輸軟體,則將同軸電纜、光纖電纜、雙絞線、DSL或無線技術(諸如紅外線、無線電及微波)包含於媒體之定義中。如本文所使用,磁碟及光碟包含壓縮光碟(CD)、雷射光 碟、光碟、數位多功能光碟(DVD)、軟碟及藍光光碟,其中磁碟通常磁性地複製資料,而光碟使用雷射來光學地複製資料。亦應將上述內容之組合包含於電腦可讀媒體之範疇內。
儘管上文已出於教學目的而描述某些特定實施例,但本發黴之教示具有一般適用性且不受限於上文所描述之特定實施例。相應地,可在不背離申請專利範圍中所闡述之本發明之範疇之情況下實踐所描述實施例之各種特徵之各種修改、調適及組合。
100:疊對度量系統
101:照明器
102:波長選擇裝置
103:光束整形裝置
104:偏振控制裝置
105:分光器元件
106:物鏡
107:樣品
108:度量目標
109:偏振分析器裝置
110:聚焦光學器件
111:成像偵測器
112:照明光束
113:收集光
120:量測光瞳影像/量測資料
121:疊對參數/輸出信號
130:運算系統/電腦系統
131:處理器
132:記憶體
133:匯流排
134:程式指令

Claims (32)

  1. 一種基於散射術之度量系統,其包括:一照明源,其經組態以將一第一照明光量及一第二照明光量提供至複數個疊對度量目標,其中依不同之已知程式化疊對值及至少一製程變數之不同已知值製造該複數個疊對度量目標;一偵測器,其經組態以回應於該第一照明光量而偵測自該複數個疊對度量目標之各者散射之一光量之一影像且回應於該第二照明光量而偵測指示自該複數個疊對度量目標之各者散射之一光量之複數個信號以便獲得複數個經偵測信號,該影像由該偵測器之複數個像素偵測,經偵測影像像素包括一訓練資料量;及一運算系統,其經組態以:估計與該複數個疊對度量目標之各者相關聯之實際疊對之一值以便獲得實際疊對之多個估計值,其中與該複數個疊對度量目標之各者相關聯之實際疊對之該值之該估計係基於回應於該第二照明光量而指示自該複數個疊對度量目標之各者散射之該光量之該複數個經偵測信號;及基於實際疊對之該等估計值及該訓練資料量來訓練一量測模型。
  2. 如請求項1之基於散射術之度量系統,其中該偵測器定位於該基於散射術之度量系統之一光瞳影像平面處或該光瞳影像平面附近。
  3. 如請求項1之基於散射術之度量系統,其中該量測模型係以下之任何 者:一線性模型、一多項式模型、一神經網路模型、一支援向量機模型、一決策樹模型及一隨機森林模型。
  4. 如請求項1之基於散射術之度量系統,其中該訓練資料量包含由相同程序條件及程式化疊對值形成之該複數個疊對度量目標之至少兩個不同度量目標之散射量測之一組合。
  5. 如請求項1之基於散射術之度量系統,其中該訓練資料量包含由複數個不同度量技術獲取之散射量測。
  6. 如請求項1之基於散射術之度量系統,其中該複數個疊對度量目標安置於複數個半導體晶圓上,其中依至少一半導體製程變數之一不同值處理該複數個半導體晶圓之各者。
  7. 如請求項1之基於散射術之度量系統,其中該複數個疊對度量目標安置於一或多個半導體晶圓之複數個域上。
  8. 如請求項1之基於散射術之度量系統,其中在一或多個量測系統參數之複數個不同值處執行提供該第一照明光量及該第二照明光量及偵測自該複數個疊對度量目標之各者散射之該光量之該影像。
  9. 如請求項1之基於散射術之度量系統,其中該複數個疊對度量目標之至少一者係具有在至少一方向上具有週期性之一光柵結構陣列之一單一單 元度量目標。
  10. 如請求項1之基於散射術之度量系統,其中該複數個疊對度量目標之至少一者係具有在至少兩個方向上具有週期性之一光柵結構陣列之一單一單元度量目標。
  11. 如請求項1之基於散射術之度量系統,其中該複數個疊對度量目標之至少一者係具有在至少一方向上具有兩個或兩個以上不同週期性之一光柵結構陣列之一單一單元度量目標。
  12. 如請求項1之基於散射術之度量系統,其中與該複數個疊對度量目標之各者相關聯之實際疊對之該值之該估計係基於該程式化疊對值。
  13. 如請求項1之基於散射術之度量系統,其中與該複數個疊對度量目標之各者相關聯之實際疊對之該值之該估計係基於一參考度量系統對該複數個疊對度量目標之該各者之一量測。
  14. 如請求項13之基於散射術之度量系統,其中該參考度量系統係一掃描電子顯微鏡(SEM)。
  15. 如請求項1之基於散射術之度量系統,其中該運算系統經進一步組態以:基於減小該訓練資料之一尺寸之訓練資料量之一變換來判定該訓練 資料量之複數個主要特徵,其中該量測模型之該訓練係基於自該訓練資料量提取之該複數個主要特徵及實際疊對之該等估計值。
  16. 如請求項15之基於散射術之度量系統,其中該訓練資料量之該變換涉及一主成分分析(PCA)、一獨立成分分析(ICA)、一核PCA、一非線性PCA、一快速傅立葉變換(FFT)分析、一離散餘弦變換(DCT)分析及一小波分析之任何者。
  17. 如請求項1之基於散射術之度量系統,其中該量測模型之該訓練亦係基於該至少一製程變數之該等不同已知值及該訓練資料量。
  18. 如請求項17之基於散射術之度量系統,其中亦依至少一額外關注參數之不同已知值製造該複數個疊對度量目標,且其中該量測模型之該訓練亦係基於該至少一額外關注參數之該等不同已知值及該訓練資料量。
  19. 如請求項18之基於散射術之度量系統,其中該至少一額外關注參數包含一程序參數、一結構參數、一分散參數及一佈局參數之任何者。
  20. 如請求項1之基於散射術之度量系統,其中該照明源經進一步組態以照射具有未知疊對誤差之至少一疊對度量目標,其中該偵測器經進一步組態以偵測自該至少一疊對度量目標散射之一光量之一影像,且其中該運算系統經進一步組態以基於該至少一疊對度量目標之該偵測影像及該訓練量測模型來估計與該至少一疊對度量目標相關聯之實際疊對之一值。
  21. 如請求項20之基於散射術之度量系統,其中該運算系統經進一步組態以基於減小該影像之一尺寸之一變換來判定該至少一疊對度量目標之該影像之複數個主要特徵,其中與該至少一疊對度量目標相關聯之實際疊對之該值之該估計係基於該複數個主要特徵及該訓練量測模型。
  22. 如請求項20之基於散射術之度量系統,其中基於實際疊對之該估計值來調整一微影程序變數之一值。
  23. 一種基於散射術之度量系統,其包括:一照明源,其經組態以依複數個不同照明波長將一照明光量提供至複數個疊對度量目標之各者;一偵測器,其經組態以偵測依各不同照明波長自各疊對度量目標散射至該偵測器之複數個像素上之一光量之一影像,其中該散射光量包含+1及-1繞射階;及一運算系統,其經組態以:基於針對各不同照明波長之該+1繞射階與該-1繞射階之間之差異來估計實際疊對之一第一值;自與該等不同照明波長相關聯之實際疊對之該等第一值判定實際疊對之一峰值;自與使晶圓內疊對變動最小化之該峰值相關聯之該波長判定波長之一差異,波長之該判定差異係自該峰值之一距離;及基於自該峰值之距離處之疊對之量測來估計實際疊對之一第二 值。
  24. 一種基於散射術之度量方法,其包括:使用由一基於散射術之疊對度量系統之一光學照明源產生之一第一照明光量來照射複數個疊對度量目標之各者,其中依不同之已知程式化疊對值及至少一製程變數之不同已知值製造該複數個疊對度量目標;回應於該第一照明光量而收集自該複數個疊對度量目標之各者散射之一第一光量;偵測自該複數個疊對度量目標之各者收集至該基於散射術之疊對度量系統之一光學偵測器之複數個像素上之該第一光量之一影像,經偵測影像像素包括一訓練資料量;使用由該基於散射術之疊對度量系統之該光學照明源產生之一第二照明光量來照射複數個疊對度量目標之各者;回應於該第二照明光量而收集自該複數個疊對度量目標之各者散射之一第二光量;偵測自該複數個疊對度量目標之各者指示收集至該基於散射術之度量系統之該光學偵測器上之複數個信號以便獲得複數個經偵測信號;估計與該複數個疊對度量目標之各者相關聯之實際疊對之一值以便獲得實際疊對之多個估計值,其中與該複數個疊對度量目標之各者相關聯之實際疊對之該值之該估計係基於回應於該第二照明光量而指示自該複數個疊對度量目標之各者散射之該光量之該複數個經偵測信號;及基於實際疊對之該等估計值及該訓練資料量來訓練一量測模型。
  25. 如請求項24之方法,其中該偵測器定位於該基於散射術之疊對度量系統之一光瞳影像平面處或該光瞳影像平面附近。
  26. 如請求項24之方法,其中該量測模型之該訓練亦係基於該至少一製程變數之該等不同已知值及該訓練資料量,其中亦依至少一額外關注參數之不同已知值製造該複數個疊對度量目標且其中該量測模型之該訓練亦係基於該至少一額外關注參數之該等不同已知值及該訓練資料量。
  27. 如請求項24之方法,其中該複數個疊對度量目標之至少一者係具有在至少一方向上具有週期性之一光柵結構陣列之一單一單元度量目標。
  28. 如請求項24之方法,其中該複數個疊對度量目標之至少一者係具有在至少兩個方向上具有週期性之一光柵結構陣列之一單一單元度量目標。
  29. 如請求項24之方法,其中該複數個疊對度量目標之至少一者係具有在至少一方向上具有兩個或兩個以上不同週期性之一光柵結構陣列之一單一單元度量目標。
  30. 如請求項24之方法,其中該第二照明光量包含複數個不同照明波長,其中自該複數個疊對度量目標之各者散射之該第二光量包含+1及-1繞射階,其中該複數個經偵測信號係指示依各不同照明波長自該複數個疊對度量目標之各者收集之該第二光量,及其中該實際疊對之該估計涉及:基於針對各不同照明波長之該+1繞射階與該-1繞射階之間之差異來 估計實際疊對之一第一值;自與該等不同照明波長相關聯之實際疊對之該等第一值判定實際疊對之一峰值;自與使晶圓內疊對變動最小化之該峰值相關聯之該波長判定波長之一差異,波長之該判定差異係自該峰值之一距離;及基於自該峰值之距離處之疊對之量測來估計實際疊對之一第二值。
  31. 如請求項24之方法,其進一步包括:使用由該基於散射術之疊對度量系統之該光學照明源產生之照明光來照射至少一疊對度量目標,該至少一疊對度量目標具有未知疊對誤差;回應於該照明光而收集自該至少一疊對度量目標散射之一光量;偵測自該至少一疊對度量目標收集至該基於散射術之疊對度量系統之該光學偵測器之複數個像素上之該光量之一影像;基於該至少一疊對度量目標之該偵測影像及該訓練量測模型來估計與該至少一疊對度量目標相關聯之實際疊對之一值;及將該疊對值儲存於一記憶體中。
  32. 如請求項31之方法,其進一步包括:基於實際疊對之該等估計值來調整一微影程序變數之一值。
TW107106467A 2017-03-01 2018-02-27 基於散射術之度量系統及方法 TWI748061B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762465163P 2017-03-01 2017-03-01
US62/465,163 2017-03-01
US15/861,938 2018-01-04
US15/861,938 US10732516B2 (en) 2017-03-01 2018-01-04 Process robust overlay metrology based on optical scatterometry

Publications (2)

Publication Number Publication Date
TW201837426A TW201837426A (zh) 2018-10-16
TWI748061B true TWI748061B (zh) 2021-12-01

Family

ID=63355585

Family Applications (1)

Application Number Title Priority Date Filing Date
TW107106467A TWI748061B (zh) 2017-03-01 2018-02-27 基於散射術之度量系統及方法

Country Status (8)

Country Link
US (1) US10732516B2 (zh)
EP (1) EP3577444A4 (zh)
JP (1) JP7012734B2 (zh)
KR (1) KR102356949B1 (zh)
CN (1) CN110520715A (zh)
IL (1) IL268861B (zh)
TW (1) TWI748061B (zh)
WO (1) WO2018160779A1 (zh)

Families Citing this family (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7438105B2 (ja) * 2017-09-27 2024-02-26 エーエスエムエル ネザーランズ ビー.ブイ. デバイス製造方法の制御パラメータを決定する方法、コンピュータプログラム、および、基板にデバイスを製造するためのシステム
US10692203B2 (en) * 2018-02-19 2020-06-23 International Business Machines Corporation Measuring defectivity by equipping model-less scatterometry with cognitive machine learning
NL2022852A (en) 2018-04-26 2019-10-31 Asml Holding Nv Alignment sensor apparatus for process sensivity compensation
EP3581881A1 (de) * 2018-06-15 2019-12-18 Hexagon Technology Center GmbH Oberflächenvermessung mittels angeregter fluoreszenz
WO2020007558A1 (en) 2018-07-06 2020-01-09 Asml Netherlands B.V. Position sensor
US11119417B2 (en) 2018-11-21 2021-09-14 Kla-Tencor Corporation Single cell grey scatterometry overlay targets and their measurement using varying illumination parameter(s)
SG11202104681RA (en) * 2018-11-21 2021-06-29 Kla Tencor Corp Single cell grey scatterometry overlay targets and their measurement using varying illumination parameter(s)
WO2020117361A1 (en) * 2018-12-03 2020-06-11 Kla Corporation Single cell in-die metrology targets and measurement methods
US11249400B2 (en) 2018-12-14 2022-02-15 Kla Corporation Per-site residuals analysis for accurate metrology measurements
US11060846B2 (en) * 2018-12-19 2021-07-13 Kla Corporation Scatterometry based methods and systems for measurement of strain in semiconductor structures
US11422095B2 (en) * 2019-01-18 2022-08-23 Kla Corporation Scatterometry modeling in the presence of undesired diffraction orders
US10816464B2 (en) 2019-01-23 2020-10-27 Applied Materials, Inc. Imaging reflectometer
KR20210011278A (ko) 2019-07-22 2021-02-01 삼성전자주식회사 Ie 기반 검사 방법, 및 그 검사 방법을 이용한 반도체 소자 제조방법
US11340060B2 (en) * 2019-07-23 2022-05-24 Kla Corporation Automatic recipe optimization for overlay metrology system
US11023791B2 (en) * 2019-10-30 2021-06-01 Kyocera Document Solutions Inc. Color conversion using neural networks
US11520321B2 (en) * 2019-12-02 2022-12-06 Kla Corporation Measurement recipe optimization based on probabilistic domain knowledge and physical realization
TWI777353B (zh) * 2020-01-06 2022-09-11 以色列商諾威量測設備股份有限公司 用於光學關鍵尺寸計量之方法及系統
WO2021140515A1 (en) * 2020-01-07 2021-07-15 Nova Measuring Instruments Ltd. Detecting outliers and anomalies for ocd metrology machine learning
US10990023B1 (en) 2020-02-27 2021-04-27 Taiwan Semiconductor Manufacturing Co., Ltd. Method and apparatus for diffraction-based overlay measurement
US11150078B1 (en) 2020-03-26 2021-10-19 Applied Materials, Inc. High sensitivity image-based reflectometry
US11156566B2 (en) 2020-03-26 2021-10-26 Applied Materials, Inc. High sensitivity image-based reflectometry
KR20210134129A (ko) * 2020-04-29 2021-11-09 삼성전자주식회사 웨이퍼 검사 장치 및 방법
US11417010B2 (en) 2020-05-19 2022-08-16 Applied Materials, Inc. Image based metrology of surface deformations
US11454894B2 (en) * 2020-09-14 2022-09-27 Kla Corporation Systems and methods for scatterometric single-wavelength measurement of misregistration and amelioration thereof
US20240094641A1 (en) * 2020-12-10 2024-03-21 Asml Holding N.V. Intensity order difference based metrology system, lithographic apparatus, and methods thereof
US11703767B2 (en) 2021-06-28 2023-07-18 Kla Corporation Overlay mark design for electron beam overlay
US20240119626A1 (en) * 2022-09-27 2024-04-11 Kla Corporation Image pre-processing for overlay metrology using decomposition techniques

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201303258A (zh) * 2011-06-27 2013-01-16 Kla Tencor Corp 照射控制
TW201418661A (zh) * 2012-06-26 2014-05-16 Kla Tencor Corp 在角度解析反射量測中之掃描及演算地消除來自光學量測之繞射
TW201447220A (zh) * 2013-03-08 2014-12-16 Kla Tencor Corp 用於散射疊對量測之光瞳平面校準
TW201531662A (zh) * 2013-12-23 2015-08-16 Kla Tencor Corp 多重圖案化參數之量測
US20150323316A1 (en) * 2014-05-09 2015-11-12 Kla-Tencor Corporation Signal Response Metrology For Scatterometry Based Overlay Measurements
US20160003609A1 (en) * 2014-07-07 2016-01-07 Kla-Tencor Corporation Signal Response Metrology Based On Measurements Of Proxy Structures
US20160117847A1 (en) * 2014-10-14 2016-04-28 Kla-Tencor Corporation Signal Response Metrology For Image Based And Scatterometry Overlay Measurements

Family Cites Families (58)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5608526A (en) 1995-01-19 1997-03-04 Tencor Instruments Focused beam spectroscopic ellipsometry method and system
US6734967B1 (en) 1995-01-19 2004-05-11 Kla-Tencor Technologies Corporation Focused beam spectroscopic ellipsometry method and system
US5859424A (en) 1997-04-08 1999-01-12 Kla-Tencor Corporation Apodizing filter system useful for reducing spot size in optical measurements and other applications
US6429943B1 (en) 2000-03-29 2002-08-06 Therma-Wave, Inc. Critical dimension analysis with simultaneous multiple angle of incidence measurements
US7317531B2 (en) 2002-12-05 2008-01-08 Kla-Tencor Technologies Corporation Apparatus and methods for detecting overlay errors using scatterometry
US6891610B2 (en) 2000-09-20 2005-05-10 Kla-Tencor Technologies Corp. Methods and systems for determining an implant characteristic and a presence of defects on a specimen
US6895075B2 (en) 2003-02-12 2005-05-17 Jordan Valley Applied Radiation Ltd. X-ray reflectometry with small-angle scattering measurement
AU2002360738A1 (en) 2001-12-19 2003-07-09 Kla-Tencor Technologies Corporation Parametric profiling using optical spectroscopic systems
US6816570B2 (en) 2002-03-07 2004-11-09 Kla-Tencor Corporation Multi-technique thin film analysis tool
US7352453B2 (en) * 2003-01-17 2008-04-01 Kla-Tencor Technologies Corporation Method for process optimization and control by comparison between 2 or more measured scatterometry signals
US7230703B2 (en) 2003-07-17 2007-06-12 Tokyo Electron Limited Apparatus and method for measuring overlay by diffraction gratings
US7478019B2 (en) 2005-01-26 2009-01-13 Kla-Tencor Corporation Multiple tool and structure analysis
US7567351B2 (en) 2006-02-02 2009-07-28 Kla-Tencor Corporation High resolution monitoring of CD variations
US7656518B2 (en) 2007-03-30 2010-02-02 Asml Netherlands B.V. Method of measuring asymmetry in a scatterometer, a method of measuring an overlay error in a substrate and a metrology apparatus
US7873585B2 (en) * 2007-08-31 2011-01-18 Kla-Tencor Technologies Corporation Apparatus and methods for predicting a semiconductor parameter across an area of a wafer
US7929667B1 (en) 2008-10-02 2011-04-19 Kla-Tencor Corporation High brightness X-ray metrology
TWI401549B (zh) * 2009-12-02 2013-07-11 Ind Tech Res Inst 二維陣列疊對圖樣之設計方法、疊對誤差量測方法及其量測系統
JP6008851B2 (ja) * 2010-07-19 2016-10-19 エーエスエムエル ネザーランズ ビー.ブイ. オーバレイ誤差を決定する方法及び装置
US8666703B2 (en) * 2010-07-22 2014-03-04 Tokyo Electron Limited Method for automated determination of an optimally parameterized scatterometry model
JP5661194B2 (ja) * 2010-11-12 2015-01-28 エーエスエムエル ネザーランズ ビー.ブイ. メトロロジ方法及び装置、リソグラフィシステム並びにデバイス製造方法
US9223227B2 (en) 2011-02-11 2015-12-29 Asml Netherlands B.V. Inspection apparatus and method, lithographic apparatus, lithographic processing cell and device manufacturing method
US8577820B2 (en) * 2011-03-04 2013-11-05 Tokyo Electron Limited Accurate and fast neural network training for library-based critical dimension (CD) metrology
WO2012126718A1 (en) * 2011-03-21 2012-09-27 Asml Netherlands B.V. Method and apparatus for determining structure parameters of microstructures
US20120244461A1 (en) 2011-03-25 2012-09-27 Toshiba America Electronic Components, Inc. Overlay control method and a semiconductor manufacturing method and apparatus employing the same
US11372340B2 (en) * 2011-04-06 2022-06-28 Kla Corporation Method and system for providing a quality metric for improved process control
US9310296B2 (en) * 2011-06-20 2016-04-12 Kla-Tencor Corporation Optimizing an optical parametric model for structural analysis using optical critical dimension (OCD) metrology
US9228943B2 (en) 2011-10-27 2016-01-05 Kla-Tencor Corporation Dynamically adjustable semiconductor metrology system
US9127927B2 (en) * 2011-12-16 2015-09-08 Kla-Tencor Corporation Techniques for optimized scatterometry
US8879073B2 (en) * 2012-02-24 2014-11-04 Kla-Tencor Corporation Optical metrology using targets with field enhancement elements
US8843875B2 (en) 2012-05-08 2014-09-23 Kla-Tencor Corporation Measurement model optimization based on parameter variations across a wafer
US8913237B2 (en) * 2012-06-26 2014-12-16 Kla-Tencor Corporation Device-like scatterometry overlay targets
US9093458B2 (en) * 2012-09-06 2015-07-28 Kla-Tencor Corporation Device correlated metrology (DCM) for OVL with embedded SEM structure overlay targets
US9581430B2 (en) 2012-10-19 2017-02-28 Kla-Tencor Corporation Phase characterization of targets
US10769320B2 (en) 2012-12-18 2020-09-08 Kla-Tencor Corporation Integrated use of model-based metrology and a process model
US9291554B2 (en) 2013-02-05 2016-03-22 Kla-Tencor Corporation Method of electromagnetic modeling of finite structures and finite illumination for metrology and inspection
US10101670B2 (en) 2013-03-27 2018-10-16 Kla-Tencor Corporation Statistical model-based metrology
US9875946B2 (en) 2013-04-19 2018-01-23 Kla-Tencor Corporation On-device metrology
US10429320B2 (en) * 2013-06-04 2019-10-01 Kla-Tencor Corporation Method for auto-learning tool matching
KR102202523B1 (ko) 2013-07-18 2021-01-13 케이엘에이 코포레이션 스캐터로메트리 측정들을 위한 조명 구성들
US9383661B2 (en) 2013-08-10 2016-07-05 Kla-Tencor Corporation Methods and apparatus for determining focus
US10935893B2 (en) 2013-08-11 2021-03-02 Kla-Tencor Corporation Differential methods and apparatus for metrology of semiconductor targets
US9412673B2 (en) * 2013-08-23 2016-08-09 Kla-Tencor Corporation Multi-model metrology
US10152654B2 (en) * 2014-02-20 2018-12-11 Kla-Tencor Corporation Signal response metrology for image based overlay measurements
US9784690B2 (en) * 2014-05-12 2017-10-10 Kla-Tencor Corporation Apparatus, techniques, and target designs for measuring semiconductor parameters
US10215559B2 (en) * 2014-10-16 2019-02-26 Kla-Tencor Corporation Metrology of multiple patterning processes
US10139352B2 (en) 2014-10-18 2018-11-27 Kla-Tenor Corporation Measurement of small box size targets
US9710728B2 (en) * 2014-10-28 2017-07-18 Kla-Tencor Corporation Image based signal response metrology
US10152678B2 (en) * 2014-11-19 2018-12-11 Kla-Tencor Corporation System, method and computer program product for combining raw data from multiple metrology tools
US10345095B1 (en) * 2014-11-20 2019-07-09 Kla- Tencor Corporation Model based measurement systems with improved electromagnetic solver performance
WO2016078862A1 (en) 2014-11-21 2016-05-26 Asml Netherlands B.V. Metrology method and apparatus
US10502549B2 (en) * 2015-03-24 2019-12-10 Kla-Tencor Corporation Model-based single parameter measurement
US9903711B2 (en) * 2015-04-06 2018-02-27 KLA—Tencor Corporation Feed forward of metrology data in a metrology system
US10190868B2 (en) * 2015-04-30 2019-01-29 Kla-Tencor Corporation Metrology system, method, and computer program product employing automatic transitioning between utilizing a library and utilizing regression for measurement processing
KR102162234B1 (ko) * 2015-06-17 2020-10-07 에이에스엠엘 네델란즈 비.브이. 레시피간 일치도에 기초한 레시피 선택
US10502692B2 (en) * 2015-07-24 2019-12-10 Kla-Tencor Corporation Automated metrology system selection
US10295342B2 (en) * 2015-08-14 2019-05-21 Kla-Tencor Corporation System, method and computer program product for calibration of metrology tools
US10380728B2 (en) * 2015-08-31 2019-08-13 Kla-Tencor Corporation Model-based metrology using images
WO2019035854A1 (en) * 2017-08-16 2019-02-21 Kla-Tencor Corporation MACHINE LEARNING IN RELATION TO METROLOGY MEASUREMENTS

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201303258A (zh) * 2011-06-27 2013-01-16 Kla Tencor Corp 照射控制
TW201418661A (zh) * 2012-06-26 2014-05-16 Kla Tencor Corp 在角度解析反射量測中之掃描及演算地消除來自光學量測之繞射
TW201447220A (zh) * 2013-03-08 2014-12-16 Kla Tencor Corp 用於散射疊對量測之光瞳平面校準
TW201531662A (zh) * 2013-12-23 2015-08-16 Kla Tencor Corp 多重圖案化參數之量測
US20150323316A1 (en) * 2014-05-09 2015-11-12 Kla-Tencor Corporation Signal Response Metrology For Scatterometry Based Overlay Measurements
US20160003609A1 (en) * 2014-07-07 2016-01-07 Kla-Tencor Corporation Signal Response Metrology Based On Measurements Of Proxy Structures
US20160117847A1 (en) * 2014-10-14 2016-04-28 Kla-Tencor Corporation Signal Response Metrology For Image Based And Scatterometry Overlay Measurements

Also Published As

Publication number Publication date
EP3577444A1 (en) 2019-12-11
US20180252514A1 (en) 2018-09-06
KR20190115480A (ko) 2019-10-11
JP2020510195A (ja) 2020-04-02
US10732516B2 (en) 2020-08-04
EP3577444A4 (en) 2020-12-02
IL268861A (en) 2019-10-31
TW201837426A (zh) 2018-10-16
JP7012734B2 (ja) 2022-01-28
WO2018160779A1 (en) 2018-09-07
IL268861B (en) 2022-06-01
CN110520715A (zh) 2019-11-29
KR102356949B1 (ko) 2022-01-27

Similar Documents

Publication Publication Date Title
TWI748061B (zh) 基於散射術之度量系統及方法
JP6983944B2 (ja) 画像ベースの測定のための方法および測定システム
US9710728B2 (en) Image based signal response metrology
CN109632819B (zh) 用于测量半导体参数的设备、技术和目标设计
TWI688829B (zh) 用於基於影像之疊對量測之信號回應計量
TWI675179B (zh) 多重圖案化參數之量測
KR102221063B1 (ko) 스캐터로메트리 기반 오버레이 측정들을 위한 신호 응답 계측
JP6793840B6 (ja) メトロロジ方法、装置、及びコンピュータプログラム
KR20180037281A (ko) 이미지를 이용한 모델 기반 계측
IL290735B2 (en) Metrological method, computer product and system
KR20180005200A (ko) 모델 기반의 핫 스팟 모니터링
JP2018507438A (ja) メトロロジの方法及び装置、コンピュータプログラム、並びにリソグラフィシステム
WO2022265919A1 (en) Methods and systems for measurement of tilt and overlay of a structure