KR20230144122A - 반도체 제조용 측정 및 공정 제어 - Google Patents

반도체 제조용 측정 및 공정 제어 Download PDF

Info

Publication number
KR20230144122A
KR20230144122A KR1020237033736A KR20237033736A KR20230144122A KR 20230144122 A KR20230144122 A KR 20230144122A KR 1020237033736 A KR1020237033736 A KR 1020237033736A KR 20237033736 A KR20237033736 A KR 20237033736A KR 20230144122 A KR20230144122 A KR 20230144122A
Authority
KR
South Korea
Prior art keywords
semiconductor wafer
spectral
tool
spectrum
computer
Prior art date
Application number
KR1020237033736A
Other languages
English (en)
Inventor
이이탄 로트스타인
일야 루비노비치
노암 탈
바라크 브링골츠
용하 킴
아리엘 브로이트만
오데드 코헨
이일론 라비노비치
탈 자하로니
셰이 요제프
다니엘 칸델
Original Assignee
노바 엘티디.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 노바 엘티디. filed Critical 노바 엘티디.
Publication of KR20230144122A publication Critical patent/KR20230144122A/ko

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N5/00Computing arrangements using knowledge-based models
    • G06N5/04Inference or reasoning models
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/706835Metrology information management or control
    • G03F7/706839Modelling, e.g. modelling scattering or solving inverse problems
    • G03F7/706841Machine learning
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/02Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness
    • G01B11/06Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N20/00Machine learning
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • H01L21/681Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment using optical controlling means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/26Acting in response to an ongoing measurement without interruption of processing, e.g. endpoint detection, in-situ thickness measurement
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B2210/00Aspects not specifically covered by any group under G01B, e.g. of wheel alignment, caliper-like sensors
    • G01B2210/56Measuring geometric parameters of semiconductor structures, e.g. profile, critical dimensions or trench depth

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Software Systems (AREA)
  • Evolutionary Computation (AREA)
  • Artificial Intelligence (AREA)
  • Mathematical Physics (AREA)
  • General Engineering & Computer Science (AREA)
  • Computing Systems (AREA)
  • Data Mining & Analysis (AREA)
  • Medical Informatics (AREA)
  • Computer Vision & Pattern Recognition (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computational Linguistics (AREA)
  • Health & Medical Sciences (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Investigating Or Analysing Materials By Optical Means (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)
  • Length Measuring Devices By Optical Means (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

제 1 측정 프로토콜을 사용하여, 제 1 반도체 웨이퍼 타겟에 대한 기준선 산란계 스펙트럼을 수집하고, 다양한 스펙트럼 가변 소스에 대해, 제 2 반도체 웨이퍼 타겟에 대한 산란계 스펙트럼 가변성 세트를 수집하기 위한 스펙트럼 획득 도구 - 상기 가변성 세트는 스펙트럼 가변성을 구현함 - 와, 제 2 측정 프로토콜을 사용하여, 제 1 반도체 웨이퍼 타겟의 파라미터 값을 수집하기 위한 기준 계측 도구와, 수집된 스펙트럼 및 값을 사용하여, 머신 러닝을 이용한 예측 모델을 훈련하고, 스펙트럼 가변성 항을 포함하는 관련 손실 함수를 최소화시키는 훈련 유닛을 포함하되, 상기 예측 모델은 그 스펙트럼에 기초하여 생산 반도체 웨이퍼의 값들을 예측한다.

Description

반도체 제조용 측정 및 공정 제어{METROLOGY AND PROCESS CONTROL FOR SEMICONDUCTOR MANUFACTURING}
오늘날 반도체 제조 공정의 크기 축소와 복잡성 증가로 인해 이러한 공정의 계측이 한계에 이르고 있으며, 엄격한 공정 한계에서 요구하는 사양 내에서 계측 도구를 유지하기가 매우 어렵다. 측정 결과와 관련된 정확도, 공정 견고성, 정밀도, 매칭 및 기타 불확실성은 현재 방법으로는 달성하기가 매우 어렵다. 또한 처리량(TPT) 및 웨이퍼-내(WiW) 샘플링 속도 제한과 같은 메트릭은 공정 제어 요구 사항을 고려할 때 특히 까다롭다. 마지막으로, 모델 기반 계측 솔루션을 훈련 및/또는 테스트하기 위해 외부 참조 데이터를 얻는 것이 점점 더 어려워지고 있다.
오늘날 이러한 문제는 주로 '이동 및 측정'(MAM) 시간, 도구 안정성 및 도구 재현성을 최적화하는 하드웨어 개선으로 완화되어 TPT 및 샘플링 속도도 최적화된다. 공정 견고성은 현재 레서피(즉, 측정 프로토콜) 생성 시간에 가능한한 안정적인 계측 레서피를 검색하여 처리되며, 때로는 성능이 저하될 수 있다.
본 발명은, 그 실시예에서, 감시되는 학습에 기초한 머신 러닝(ML) 방법을 제시한다. 구체적으로, 각각의 측정 샘플 i에 대해 광학 신호 Si의 데이터 세트와, 파라미터 Pi에 대한 기준 값이 주어질 때, ML을 이용하여, 나중에 측정될 신호 Snew에 대해 Ppredicted를 예측하기 위한 모델을 구축한다. 모델 복잡도는 변할 수 있고, 모델의 선택은 모델을 훈련시키는데 사용되는 데이터 세트의 유형 및 크기와, P에 대한 S의 감도와, P와 관련하여 기준 계측시 노이즈의 유형 및 진폭에 좌우된다.
발명의 일 형태에서, 제공되는 반도체 계측 방법은, 스펙트럼 획득 도구를 사용하고 제 1 측정 프로토콜에 따라, 제 1 반도체 웨이퍼 타겟 세트에 대한 기준선 스펙트럼 세트를 수집하는 단계; 기준 계측 도구를 사용하고 제 2 측정 프로토콜에 따라 상기 제 1 반도체 웨이퍼 타겟 세트의 사전 정의된 파라미터의 값을 수집하는 단계; 하나 이상의 사전 정의된 스펙트럼 가변성 소스 각각에 대해, 상기 스펙트럼 획득 도구를 사용하고 상기 제 1 측정 프로토콜에 따라 상기 제 1 반도체 웨이퍼 타겟 세트에 대응하는 제 2 반도체 웨이퍼 타겟 세트에 대한 스펙트럼 가변성 세트를 수집하는 단계 - 스펙트럼 가변성 세트는 스펙트럼 가변성을 구현함; 및 수집된 스펙트럼 세트 및 파라미터 값을 사용하여 머신 러닝을 이용한 예측 모델을 훈련하고, 상기 예측 모델과 관련된 손실 함수를 최소화하는 단계를 포함하며, 상기 예측 모델은 제 3 반도체 웨이퍼 타겟 세트의 생산 스펙트럼을 이용하여 임의의 사전 정의된 파라미터에 대한 값들을 예측하는데 사용되도록 구성되고, 상기 생산 스펙트럼은 상기 스펙트럼 획득 도구를 이용하여 제 1 측정 프로토콜에 따라 수집되며, 상기 손실 함수는 하나 이상의 사전 정의된 스펙트럼 가변성 소스 각각에 대해, 스펙트럼 가변성을 나타내는 항을 통합함으로써 최소화된다.
발명의 다른 양태에서, 사전 정의된 스펙트럼 가변성 소스는 도구 가변성을 포함한다.
발명의 다른 양태에서, 가변성 스펙트럼을 수집하는 단계는 스펙트럼 획득 도구의 다수의 동일한 것을 사용하여 반도체 웨이퍼 타겟 중 선택된 타겟으로부터 가변성 스펙트럼을 수집하는 단계를 포함한다.
발명의 다른 양태에서, 사전 정의된 스펙트럼 가변성 소스는 측정 반복성을 포함한다.
발명의 다른 양태에서, 가변성 스펙트럼을 수집하는 단계는 스펙트럼 획득 도구를 사용하여 시간상 여러 상이한 시점에서 반도체 웨이퍼 타겟 중 선택된 타겟으로부터 가변성 스펙트럼을 수집하는 단계를 포함한다.
발명의 다른 양태에서, 상기 제 1 및 제 2 측정 프로토콜은 동일한 타겟으로부터 획득된 신호, 타겟, 조명 각도, 채널 수 중 어느 것에도 차이를 나타낼 수 있다.
발명의 다른 양태에서, 상기 방법은 생산 반도체 웨이퍼 제조 중 생산 산란계 스펙트럼을 수집하는 단계; 및 예측 모델을 사용하여, 생산 산란계 스펙트럼에 기초하여 사전 정의된 파라미터 중 임의의 파라미터에 대한 예측 값을 생성하는 단계를 더 포함한다.
발명의 다른 양태에서, 상기 생산 반도체 웨이퍼의 제조 중 반도체 제조 도구의 동작을 제어하기 위해 반도체 제조 도구에 입력을 제공하는 단계를 더 포함한다.
발명의 다른 양태에서, 스펙트럼 획득 도구, 광학 계측 도구, 및 훈련 유닛을 포함하는 반도체 계측 시스템이 제공되며, 상기 스펙트럼 획득 도구는, 제 1 측정 프로토콜에 따라, 제 1 반도체 웨이퍼 타겟 세트에 대한 기준선 산란계 스펙트럼 세트를 수집하도록 구성되고, 하나 이상의 사전 정의된 스펙트럼 가변성 소스 각각에 대해, 상기 제 1 측정 프로토콜에 따라, 상기 제 1 반도체 웨이퍼 타겟 세트에 대응하는 제 2 반도체 웨이퍼 타겟 세트에 대한 산란계 스펙트럼 가변성 세트를 수집하도록 구성되며, 스펙트럼 가변성 세트는 스펙트럼 가변성을 구현하고, 상기 광학 계측 도구는, 제 2 측정 프로토콜에 따라, 상기 제 1 반도체 웨이퍼 타겟 세트의 사전 정의된 파라미터의 값을 수집하도록 구성되고, 상기 훈련 유닛은, 수집된 스펙트럼 세트 및 파라미터 값을 사용하여 머신 러닝을 이용한 예측 모델을 훈련하고, 상기 예측 모델과 관련된 손실 함수를 최소화하도록 구성되며, 상기 예측 모델은 제 3 반도체 웨이퍼 타겟 세트의 생산 스펙트럼을 이용하여 임의의 사전 정의된 파라미터에 대한 값들을 예측하는데 사용되도록 구성되고, 상기 생산 스펙트럼은 상기 스펙트럼 획득 도구를 이용하여 제 1 측정 프로토콜에 따라 수집되며, 상기 손실 함수는 하나 이상의 사전 정의된 스펙트럼 가변성 소스 각각에 대해, 스펙트럼 가변성을 나타내는 항을 통합함으로써 최소화된다.
발명의 다른 양태에서, 사전 정의된 스펙트럼 가변성 소스는 도구 가변성을 포함한다.
발명의 다른 양태에서, 상기 스펙트럼 획득 도구는 상기 스펙트럼 획득 도구의 다수의 동일한 것을 사용하여 반도체 웨이퍼 타겟 중 선택된 타겟으로부터 가변성 스펙트럼을 수집하도록 구성된다.
발명의 다른 양태에서, 사전 정의된 스펙트럼 가변성 소스는 측정 반복성을 포함한다.
발명의 다른 양태에서, 상기 스펙트럼 획득 도구는 상기 스펙트럼 획득 도구를 사용하여 시간상 여러 상이한 시점에서 반도체 웨이퍼 타겟 중 선택된 타겟으로부터 가변성 스펙트럼을 수집하도록 구성된다.
발명의 다른 양태에서, 상기 제 1 및 제 2 측정 프로토콜은 동일한 타겟으로부터 획득된 신호, 타겟, 조명 각도, 채널 수 중 어느 것에도 차이를 나타낼 수 있다.
발명의 다른 양태에서, 상기 스펙트럼 획득 도구는 생산 반도체 웨이퍼 제조 중 생산 산란계 스펙트럼을 수집하도록 구성되고, 상기 예측 모델을 사용하여, 생산 산란계 스펙트럼에 기초하여 사전 정의된 파라미터 중 임의의 파라미터에 대한 예측 값을 생성하도록 구성되는 예측 유닛을 더 포함한다.
발명의 다른 양태에서, 상기 생산 반도체 웨이퍼의 제조 중 반도체 제조 도구의 동작을 제어하기 위해, 상기 예측 값에 기초하여, 반도체 제조 도구에 입력을 제공하도록 구성되는 공정 제어 유닛을 더 포함한다.
본 발명의 양태는 첨부된 도면과 관련하여 취해진 다음의 상세한 설명으로부터 보다 완전히 이해되고 인식될 것이다.
함께 취해진 도 1a 및 도 1b는 본 발명의 실시예에 따라 구성되고 동작하는 반도체 계측 및 공정 제어를 위한 시스템의 단순화된 개념적 예시이다.
도 2는 본 발명의 다양한 실시예에 따라 작동하는 1a 및 1b의 시스템의 예시적인 동작 방법의 단순화된 흐름도이다.
도 3a 및 3b는 다중 계층에 대한 제어 요소 및 계층 당 다중 파라미터의 조사를 나타내는 본 발명의 실험 결과를 나타내는 단순화된 그래픽 예시이다.
도 4a 및 4b는 폴리싱 시간 및 의도된 잔여 두께에서 DOE가 본 발명의 예측 모델에 의해 학습된 방법을 보여주는 본 발명의 실험 결과를 나타내는 단순화된 그래픽 예시이다.
도 5a 및 5b는 본 발명의 실시예를 구현하기 위한 시스템 아키텍처의 단순화된 개념적 예시이다.
도 6a 및 6b는 본 발명의 예측 모델의 개선된 정확도 성능의 예를 보여주는 본 발명의 실험 결과를 나타내는 단순화된 그래픽 예시이다.
도 7은 본 발명의 예측 모델 훈련에 관한 본 발명의 실험 결과를 나타내는 단순화된 그래픽 예시이다.
이제 참조되는 도 1a 및 1b는 본 발명의 실시예에 따라 구성되고 동작하는 반도체 계측 시스템의 단순화된 개념적 예시이다. 도 1a에서, 스펙트럼 획득 도구(100)는 종래의 기술에 따라, 하나 이상의 기준 반도체 웨이퍼(106) 상의 다중 타겟(예를 들어, 구조체, 다이)(104)의 산란계 스펙트럼(102)을 수집하기 위해 사용된다. 스펙트럼 획득 도구(100)는 주어진 에칭 단계의 완료 직후와 같이, 기준 반도체 웨이퍼(106)의 제조 동안 선택된 처리 단계에 근접한 제 1 사전 정의된 측정 프로토콜에 따라, 이제 기준 스펙트럼(102)으로 지칭되는, 산란계 스펙트럼(102)을 수집한다. 스펙트럼 획득 도구(100)는 Spectral Ellipsometer(SE), Spectral Reflectometer(SR), Polarized Spectral Reflectometer 또는 임의의 다른 Optical Critical Dimension(OCD) 계측 도구와 같은, 반도체 웨이퍼 타겟의 산란계 스펙트럼을 수집할 수 있는 임의의 알려진 유형의 도구일 수 있다. 스펙트럼 획득 도구(100)에 의해 사용되는 제 1 사전 정의된 측정 프로토콜은 바람직하게는 2 개 이상의 정보 채널로부터의 산란계 측정을 통합한다.
Critical Dimension Scanning Electron Microscope(CD-SEM), Atomic Force Microscope(AFM), 횡단면 Tunneling Electron Microscope(TEM), 전기 계측 도구, Critical Dimension Atomic Force Microscope(CD-AFM), X-RAY 계측 도구 또는 광학 계측 도구와 같은 기준 계측 도구(108)는 스펙트럼 획득 도구(100)가 기준선 스펙트럼(102)을 수집한 기준 반도체 웨이퍼(106)의 제조 동안 동일한 선택된 처리 단계에 근접한 제 2 사전 정의된 측정 프로토콜에 따라, 기준 반도체 웨이퍼(106)상의 타겟(104)의 사전 정의된 파라미터의 측정 값(110)을 종래의 기술에 따라, 수집하기 위해 사용된다. 사전 정의된 파라미터는 반도체 웨이퍼의 물리적 및 화학적 특성, 재료 특성, 전기적 특성 및 반도체 웨이퍼 구조체의 기하학적 특성에 관련된, 반도체 웨이퍼의 알려진 파라미터의 임의의 유형일 수 있다.
스펙트럼 획득 도구(100)에 의해 사용되는 제 1 측정 프로토콜 및 기준 계측 도구(108)에 의해 사용되는 제 2 측정 프로토콜은 바람직하게는 다음 양태들 중 하나 이상에 의해 서로 차별화된다:
● 하나의 프로토콜이 여러 조명 채널과 다양한 조명 각도에서 여러 신호를 사용하는 반면 나머지 프로토콜은 주어진 샘플을 정상적으로 조명하는 동안 획득된 신호만 사용하는 경우와 같이, 서로 다른 정보 채널;
● 하나의 프로토콜이 주어진 샘플의 영역 A 내의 스팟 타겟 위치에서 획득한 신호를 사용하는 반면, 나머지 프로토콜은 동일한 샘플의 영역 B 내의 스팟 타겟 위치에서 획득한 신호를 사용하는 것과 같이, 동일한 샘플 내의 상이한 위치;
● 하나의 프로토콜이 여러 스팟 타겟 위치에서 동일한 조명 채널을 통해 획득한 여러 중첩 신호를 사용하는 반면, 나머지 프로토콜은 단일 스팟 타겟 위치에서 획득한 단일 신호를 사용하는 경우와 같이, 상이한 신호 조합.
기준 스펙트럼(102)에 추가하여, 스펙트럼 획득 도구(100)는 스펙트럼 획득 도구(100)가 기준선 스펙트럼(102)을 수집한 기준 반도체 웨이퍼(106)의 제조 중 동일한 선택된 공정 단계에 가깝게 제 1 사전 정의된 측정 프로토콜에 따라, 기준 반도체 웨이퍼(106) 또는 상이한 반도체 웨이퍼일 수 있는, 하나 이상의 기준 반도체 웨이퍼(106') 상에서, 하나 이상의 세트의 산란계 스펙트럼(112)(현재 가변성 스펙트럼(112)으로 지칭됨)을 수집한다. 스펙트럼 획득 도구(100)는 스펙트럼 가변성의 사전 정의된 소스와 관련하여 가변성 스펙트럼(112)의 각 세트를 수집하여, 가변성 스펙트럼(112)의 세트가 스펙트럼 가변성을 구현하게 된다. 예를 들어, 사전 정의된 스펙트럼 가변성 소스가 도구 가변성과 관련된 경우, 스펙트럼 획득 도구(100)는 다수의 동일한 도구(즉, 동일 제조사의 동일 모델)를 이용하여 특정 샘플을 측정함으로써 가변성 스펙트럼(112) 세트를 수집하며(예: 특정 웨이퍼의 특정 다이 내 구조의 스펙트럼 수집), 이들 모두는 동일한 측정 프로토콜을 사용한다. 유사하게, 스펙트럼 가변성의 사전 정의된 소스가 측정 반복성과 관련되는 경우, 스펙트럼 획득 도구(100)는 상이한 시점에 특정 샘플을 측정함으로써 가변성 스펙트럼(112) 세트를 수집한다(예를 들어, 특정 웨이퍼의 특정 다이 내 구조의 스펙트럼 수집). 스펙트럼 획득 도구(100)는 임의의 수의 사전 정의된 스펙트럼 가변성 소스와 관련하여 임의의 수의 가변성 스펙트럼(112) 세트를 수집한다.
훈련 유닛(114)은 스펙트럼 획득 도구(100)에 의해 수집된 산란계 스펙트럼, 즉, 기준선 스펙트럼(102) 및 다양한 가변성 스펙트럼(112) 세트와, 기준 반도체 웨이퍼(106) 상의 타겟(104)의 사전 정의된 파라미터들의 측정 값(110) 사이의 대응 관계를 식별하기 위해 머신 러닝(ML)을 수행함으로써 예측 모델(116)을 훈련시키면서, 모델과 관련된 손실 함수를 최소화시키도록 구성된다. 예측 모델(116)은 대량 제조(HVM) 프로세스 동안 반도체 웨이퍼 타겟의 산란계 스펙트럼을 사용하는 것과 같이, 사전 정의된 파라미터 중 임의의 것의 값을 예측하는 데 사용되도록 구성되며, 스펙트럼은 제 1 측정 프로토콜에 따라 스펙트럼 수집 도구를 사용하여 수집된다. 예시적인 손실 함수는 다음과 같이 표현된다.
여기서,
여기서,
Loss accuracy 는 기준선 스펙트럼(102) 및 측정 값(110)을 고려한 손실 항목이고,
● X - 스펙트럼 획득 도구에 의해 수집되는 스펙트럼
● y - 기준 계측 도구를 이용한 계측 결과인 사전 정의된 파라미터의 값
- 스펙트럼 X를 이용한 y의 예측을 제공하는 예측 모델
● 합계는 모두 고유 물리적 샘플들(가령, 웨이퍼 상의 상이한 다이들)에 걸친 합계를 지칭하며,
는 특정 가변성 소스를 반영하도록 샘플 상에서 수행되는 측정 세트에 걸쳐 샘플 당 계산되는 예측 의 분산(variance)을 지칭한다. 는 가변성 소스가 측정 반복성인 동일 다이 상에서 수행되는 10회 반복 측정 간의 예측 의 분산을 나타낸다.
위의 손실 함수 예에서 Lossaccuracy의 2의 거듭 제곱은 임의의 양의 거듭 제곱으로 대체될 수 있고, 및/또는 대신, 단조 증가하는 함수가 사용될 수 있다. Lossvariability 유형의 항목에서, 가변성을 설명하는 보다 높은 임의의 통계 모멘트와 같이, 꼭 분산이 아닐 수도 있는, 특정 가변성을 나타내는 모든 계측이 사용될 수 있다. 따라서 예를 들어, (과 동일한) 와 같은 의 분산을 이용하는 대신에, 임의의 p>0 에 대해 가 대신 사용될 수 있고, 또는 간의 차이의 단조 증가 함수의 임의의 다른 모멘트가 사용될 수 있다.
파라미터 A는 정확도 항의 중요성 대 가변성 항에 걸쳐 가변성을 억제하는 상대적 중요성을 결정하는 예측 모델(116)의 하이퍼 파라미터를 나타낸다. 이들은 바람직하게는, 정확도, 반복성, 도구 매칭 및 사용자에게 중요한 기타 기능과 관련하여, 도 1a 및 도 1b의 시스템의 사용자의 것과 같이, 사전 정의된 사양을 반영하는 사용자-정의 레서피 순위를 최적화하는 검증 및 교차 검증 방법에 의해 ML 모델 훈련 중에 고정된다.
예측 모델(116)은 바람직하게는 도 1b를 참조하여 지금 설명되는 바와 같이, 반도체 웨이퍼 상의 반도체 디바이스의 제조를 제어하도록 구성된 공정 제어 장치와 함께 사용하기 위해 제공된다.
도 1b에서, 스펙트럼 획득 도구(100) 또는 다른 유사하거나 동일한 스펙트럼 획득 도구일 수 있는 스펙트럼 획득 도구(100')는 생산 반도체 웨이퍼(106')의 산란계 스펙트럼을 수집하기 위해, 반도체 웨이퍼 상의 반도체 디바이스 제조의 대량 제조(HVM) 공정과 같은, 생산 공정 중에 사용된다. 스펙트럼 획득 도구(100')는 스펙트럼 획득 도구(100)가 기준선 스펙트럼(102)을 수집한 기준 반도체 웨이퍼(106)의 제조 동안 동일한 선택된 처리 단계에 근접한, 제 1 사전 정의된 측정 프로토콜에 따라 산란계 스펙트럼을 수집한다. 예측 유닛(118)은 해당 처리 단계에서 생산 반도체 웨이퍼(106')의 임의의 타겟의 임의의 사전 정의된 파라미터와 연관된 예측 값을 생성하기 위해 생산 반도체 웨이퍼(106')로부터 수집된 스펙트럼에 예측 모델(116)을 적용하며, 여기서 사전 정의된 파라미터는 도 1a를 참조하여 위에서 설명된 사전 정의된 파라미터 중 임의의 것이다. 반도체 웨이퍼 상에 반도체 디바이스를 제조하는 프로세스를 제어하기 위한 임의의 알려진 프로세스 제어 하드웨어 및/또는 소프트웨어일 수 있는 프로세스 제어 유닛(120)은 생산 반도체 웨이퍼(106')의 제조 중 도구의 동작을 제어하기 위한 임의의 알려진 반도체 제조 도구(122)(가령, 리소그래피 도구, 에칭 도구, 증착 도구, 등)에 입력을 제공함으로써 종래의 기술에 따른 생산 반도체 웨이퍼(106') 또는 후속 생산 반도체의 제조를 제어하도록 구성되며, 입력은 예측 값을 사용하는 사전 정의된 프로토콜에 따라 결정된다.
따라서 도 1a 및 1b의 시스템은 (매칭 최적화를 위한) 다수의 툴 및/또는 (반복성 최적화를 위한) 다수의 시점에서 동일한 물리적 다이 및 웨이퍼의 측정을 나타내는 예측 모델(116)의 ML 훈련 동안 추가 데이터를 추가함으로써 도구 안정성 및 도구 재현성과 같은 계측을 개선하는 데 사용될 수 있다. 이러한 데이터는 파라미터의 기준 값이 필요하지 않기 때문에 획득하는 데 상대적으로 저렴하다. 이러한 데이터가 획득되면, 예측 모델(116)은 기준 값을 동시에 예측하면서 사양-내 매칭 및 반복성을 갖도록 사용될 수 있도록 최적화될 수 있다. 이는 와 같은, 추가 계측을 반영하는 손실 항에 의해, 기준 값, Loss = Lreference에 대한 매칭을 최소화시키는 것을 통상 목표로 하는, 그리고, 이 항의 하이퍼파라미터 전인자(prefactor) α 및 β를 이용하여 정확도 대 생산성의 균형을 맞출 수 있다. 표 1은 정확도를 손상없이 유지하면서 상이한 "계층"(상이한 유형의 반도체 제조 단계에서 상이한 물리적 파라미터의 상이한 측정 시나리오) 간에 반복성 개선을 보여주는 발명의 실험적 결과를 제시한다.
반복성, T2T, 웨이퍼 평활도 등과 같은 자기-일관성 장점 모두는 모델이 더 강력하게 정규화될수록 향상된다는 것을 알 수 있을 것이다. 이 효과는 정확도와 이러한 기타 성능 계측의 균형을 맞추는 정규화 체계에서 최적점을 찾는 데 사용할 수 있다. 추가 계측의 개선을 결정하는 하이퍼 파라미터는 동일한 정확도를 유지하면서 임의의 적절한 기술을 사용하여 자동으로 선택될 수 있다.
이제 참조되는 도 2는 발명의 일 실시예에 따라 동작하는, 도 1a 및 1b의 시스템의 예시적인 동작 방법의 단순화된 흐름도이다. 도 2의 방법에서, 다수의 기준 반도체 웨이퍼 타겟의 기준선 스펙트럼이 제 1 사전 정의된 측정 프로토콜에 따라 수집된다(단계 200). 기준 반도체 웨이퍼 타겟의 사전 정의된 파라미터의 측정 값은 제 2 사전 정의된 측정 프로토콜에 따라 수집된다(단계 202). 가변성 세트가 스펙트럼 가변성을 구현하도록, 하나 이상의 가변성 스펙트럼 세트가 제 1 사전 정의된 측정 프로토콜에 따라 다수의 기준 반도체 웨이퍼 타겟 상에 수집된다(단계 204). 예측 모델은 모델과 관련된 손실 함수를 최소화하면서 수집된 스펙트럼과 사전 정의된 파라미터의 측정 값 사이의 대응 관계를 식별하기 위해 머신 러닝(ML)을 수행함으로써 훈련된다(단계 206). 생산 반도체 웨이퍼 타겟의 생산 산란계 스펙트럼이 제 1 사전 정의된 측정 프로토콜에 따라 수집된다(단계 208). 예측 모델이 생산 스펙트럼에 적용되어 생산 반도체 웨이퍼 타겟의 임의의 사전 정의된 파라미터와 관련된 예측 값을 생성한다(단계 210). 생산 반도체 웨이퍼 또는 후속 생산 반도체 웨이퍼의 제조는 반도체 제조 도구에 입력을 제공함으로써 제어되며, 여기서 입력은 예측 값을 사용하는 사전 정의된 프로토콜에 따라 결정된다(단계 212).
샘플링 향상
본 발명의 일 실시예에서, 샘플링 향상은 신호 S를, 웨이퍼 당 10-15 개의 다이와 같이, 상대적으로 적은 수의 반도체 웨이퍼 다이에서 측정된 신호 세트로 설정함으로써, 그리고 풀 웨이퍼 맵(full wafer map)과 같이, 보다 많은 다이 상에서 파라미터 P를 예측하도록 예측 모델(116)을 훈련시킴으로써, 실현될 수 있다. 공정 제어 유닛(122)에 의해 사용되는 파라미터는, 예를 들어 웨이퍼 평균 또는 웨이퍼 맵의 방사상 설명(radial description)에서 다항식 파라미터와 같은 웨이퍼 모델 파라미터일 수 있다. 아래의 표 2는 BEOL 응용예에서 CMP 두께 파라미터의 웨이퍼 평균의 정확도를 보여주는 본 발명의 실험 결과를 제시한다. 측정의 근거는 65 개 다이의 풀 웨이퍼 맵을 측정한 NOVA T600® Advanced Optical CD Metrology 도구(이스라엘, Rehovot의 Nova Measuring Instruments Ltd.에서 시판)의 RCWA 해석이었다. 표시된 바와 같이, 13 개 다이의 스펙트럼을 ML과 함께 사용하여, 65 개 다이의 풀 웨이퍼 맵의 평균을, ~2.0A-2.6A의 보통 수준의 오류로 예측할 수 있고, 이는 스펙트럼을 수집하는 데 사용된 동일한 다이에서 웨이퍼 평균을 계산했을 경우 얻었을 오류인 것으로 선택될 벤치마크 오류와 매우 가깝다.
샘플링 개선을 위한 초기 결과
ML 추론을 위한 13개 다이 스펙트럼의 스펙트럼 수집에 사용되는 광학계 풀 웨이퍼 맵의 평균 오류 [A] OCD에 의해 측정되는 13개의 다이의 평균을 예측함에 있어서 벤치마크 오류 [1
NOVA T600
(6개의 채널)
2.0 1.9
P 및 S 정규 반사
(2개의 채널)
2.6 2.5
현재 계층과 이전 계층에서 수행된 측정에 대한 샘플링 전략을 기반으로 더 나은 샘플링과 향상된 샘플링 정확도를 또한 실현할 수 있고, 따라서, 이전 계층 측정을 사용할 때, 상이한 계층의 스파스 샘플링(sparse sampling)이 현재 계층의 풀 웨이퍼 맵 예측 개선을 위해 최적화된다. 이러한 방법을 이용하여, 또는 측정되지 않은 다이에 대한 원시 스펙트럼 자체를 예측하기 위해 오토 인코더의 변형 및 기타 딥 러닝 업 샘플링 방법을 사용함으로써, 상기 설명된 바와 같은 파라미터를 예측할 수 있다. 본 발명의 이 실시예에 따르면, 총 웨이퍼 정보는 웨이퍼 상의 작은 다이 세트를 측정함으로써 제공될 수 있다.측정 채널 수 감소에 의한 처리량 최적화본 발명의 일 실시예에서, 계측 처리량(TPT)은, 많은 측정 채널을 포함하는 계측 레서피에서 시작함으로써, 일반적인 물리적 모델링을 사용하여 기준 값을 획득함으로써, 그리고, TPT가 더 좋은, 그리고, 정확도 및 처리량의 우수한 균형을 이루는 솔루션을 "전달"할 수 있는, 더 적은 수의 채널(가령, 2개의 일반 채널만)을 검색함으로써, 최적화될 수 있다. 이 효과는 7 개 층에 걸쳐 22 개의 제어 요소 및 계층 당 다수의 파라미터를 갖는 조사를 나타내는 발명의 실험 결과를 제시하는 도 3a 및 3b에서 제시된다. 도 3a 및 3b에서, 기준 값들은 물리적 모델링 또는 외부 기준 도구, 가령, CDSEM 및 TEM으로 제공되었다. 도 3a 및 3b가 도시하는 바와 같이, 정확도(도 3a) 및 반복성(도 3b)에 심각한 불이익없이, 많은 채널을 단일 채널로 바꾸는 것이 가능하다. 이를 통해 TPT가 3x-4x 증가할 수 있다.
본 발명의 이 실시예에 따르면, 훈련 유닛(114)은 사용자 정의된 또는 그렇지 않으면 사전 정의된 원하는 처리량을 갖도록 제한되는 최적의 채널을 자동으로 선택한다.
제한된 양의 기준 데이터를 처리하는 방법
직접 공정 제어
본 발명의 일 실시예에서, 반도체 제조에 사용되는 APC(Advanced Process Control) 시스템에 의해 사용될 수 있는 것과 같은, 도구 노브 제어 솔루션은, 공정 웨이퍼 내(배치(batch) 및 웨이퍼간 변형(배치-간)에 해당하는 2개 레벨의 변형 소스에 대해 제공된다. ML은 먼저 프로세스 도구의 레서피 파라미터 범위(예: CMP 시간 또는 에칭 온도를 제어하는 노브의 DOE)에 대해 측정되는 신호 S 세트를 먼저 획득하여 프로세스 파라미터를 직접 제어하는 데 사용할 수 있다. 그런 다음 예측 모델(116)은 도구 노브에 대한 OCD 신호를 학습하고 보정하도록 훈련된다. 예측 모델(116)은 도구 노브에 대한 피드백으로 사용될 수 있는 유효 노브 설정을 예측하는 데 사용될 수 있다.
두 가지의 DOE 레벨을 가진 두 그룹의 신호와, DOE 레벨들이 기준으로 주어졌을 때, 훈련 유닛(114)은 ML을 사용하여, 그룹들 간의 스펙트럼 차이를 추적하고(그룹들의 명목 값이 가능한 가까운 것이 선호됨), 따라서 노브 효과가 지배적인 효과일 것이다. 그런 다음 학습된 관계에 따라 향후 프로세스를 조정하여, 파라미터의 원하는 목표 결과를 달성하거나 잠재적인 장애를 방지하기 위해 프로세스 및 도구 드리프트를 조정할 수 있다. 이 효과는 도 4a 및 4b에서 도시되는데, 이를 살펴보면, 연마 시간(도 4a) 및 의도된 잔여 두께(도 4b)에서 DOE가 IM 스펙트럼을 사용하여 예측 모델(116)에 의해 어떻게 학습되었는지를 보여주는 발명의 실험적 결과를 제시한다. 도 4a 및 4b는 DOE의 웨이퍼 레벨 균일성에도 불구하고, '유효 노브'가 WIW 가변성을 캡처한다는 것을 보여준다(즉, 모델은 각 웨이퍼에 대한 유효 노브 설정 범위를 예측함). 이 효과적인 노브 기술이 유용한지 확인하기 위해, 이는 이스라엘, Rehovot에 소재한 Nova Measuring Instruments Ltd에서 시판중인 NOVA T600®-MMSR Advanced Optical CD Metrology 도구를 사용하여 물리적 알고리즘으로 측정한 타겟 잔여 두께에 대한 외부 실측 자료(external ground truth)와 비교하여 제시된다. 이러한 모델은 WIW 노브가 있는 프로세스 도구에 피드백하는 데 사용할 수 있다. 도 4a에 나타난 바와 같이, 예측된 유효 연마 시간('유효 노브')은 실측 자료 연마 층 두께와 높은 상관 관계가 있는 반면, 도 2B는 예상 연마 두께 효과 대 기준 값을 보여준다.
데이터 증강
단순 ML 알고리즘에는 설명하고자 하는 기본 물리학에 대한 사전 지식이 포함되어 있지 않다. 이것은 그들의 성공이 데이터의 가용성, 특히 참조 데이터의 가용성에 달려 있음을 의미한다. 본 발명의 일 실시예에서, 예측 모델(116)을 훈련시키는 데 사용되는 데이터의 훈련 세트의 크기는 데이터의 매우 복잡한 확률 분포를 설명하는 능력을 가진 ML 방법의 일 클래스 인 생성 모델(generative models)에 의해 증가된다. 간단한 물리적 비유는 통계 물리학의 볼츠만 가중치 또는 유클리드 양자 이론의 경로 적분 형식이다. 두 경우 모두, 시스템의 자유도 거동을 설명하는 확률 함수가 정의된다(예: 통계 물리학의 스핀 또는 양자 전기 역학의 전자). 이러한 확률 함수는 명시적인 형식을 가지며, 관련된 물리학의 실현을 생성하는 데 사용할 수 있다. 실제로 많은 물리학 분야에서 이러한 형식주의는 물질의 물리적 특성을 계산하기 위해 대규모 마르코프 체인 몬테-카를로 시뮬레이션(Markov chain Monte-Carlo simulations)에 사용된다. 데이터 과학의 생성 모델은 명시적이거나 암시적일 수 있으며, 명시적 모델에서는 확률 함수가 명시적으로 명시되는 반면(볼츠만 가중치와 동일), 암시적 모델에서는 알고리즘이 경험적 데이터 세트의 통계를 '학습'한 다음, 동일한 통계로 새로운 데이터 예제를 생성할 수 있다. 암시적 방법의 예로는 Variational Auto Encoders 및 Generative Adversarial Networks가 있다. 본 발명의 일 실시예에서, 이러한 방법은 기준 데이터 세트를 증가 및 다양화하는 데 사용되며, 이에 따라 훈련 유닛(114)의 성능을 최적화하고, 기본 물리학을 예측 모델(116)에 반영하는 머신 러닝 모델의 상이한 특징들 사이에 사전 정보 및 제약을 삽입할 수 있다.
공정 견고성 해결
공정 견고성을 해결하기 위해, 본 발명의 일 실시예에서 다음과 같이 레서피를 생성하고 모니터링 및 제어하는 ML 알고리즘 및 빅 데이터 시스템의 조합이 사용된다.
● 레서피 학습: 가능한 자동적으로 그리고 ML을 사용하여 수백가지 스펙트럼 유형('측정 레서피')으로 수개월 및 아마도 수년의 기간 동안 빅 데이터 데이터베이스 내에서 훈련 데이터에 사용자가 질의할 수 있게 함.
● 레서피 검증: 교차 검증 및 블라인드 테스트 방법론을 사용하여 레서피 품질에 대한 고객 피드백을 생성.
● 레서피 모니터링: 훈련 데이터를 사용하여 모니터 보호 인덱스와, 이러한 인덱스에 대한 사양을 함께 생성. 이러한 인덱스는 예를 들어 SEMI 표준 SPC 규칙을 적응시킴으로써, 레서피의 정확도가 저하될 때 플래그를 지정하기 위해 인라인으로 모니터링된다.
● 레서피 업데이트: 모니터가 수리를 위해 계측 레서피에 플래그를 지정하면, 자동 재훈련 시퀀스가 시작되고, 유효성 검사 결과와 함께 새 레서피가 자동으로 제안된다.
이 실시예는 도 5a에 도시되어 있으며, 여기서 바깥 쪽 원은 NOVA T600®-MMSR과 같은 하이 엔드, 채널-풍부 광학 계측 도구 또는 XPS, SEM 또는 TEM과 같은 비-광학 기준일 수 있는 기준 도구를 나타낸다. 일반적으로 이러한 도구는 낮은 TPT 및 사소하지 않은 생산성 문제, 가령, 파괴성, 도구 매칭, 반복성 등에 의해 반영되는 높은 COO를 가진다.. 정확도 측면에서 이러한 도구는 높은 정보 콘텐츠(예: 고해상도 이미징 도구 또는 다중-채널형 광학 도구)를 특징으로 한다. 내부 원은 NOVA i550® 정규 입사 채널 통합 계측(IM) 도구와 같은 빠르고 콤팩트한 OCD 도구를 나타낸다. 이는 이스라엘 Rehovot의 Nova Measuring Instruments Ltd.에서 시판되며, 인라인으로 실행되고 입력이 Sinner-circle이며 Preference에 대한 예측치를 출력하는 ML 알고리즘과 함께, 필요한 샘플링 속도(다이 수)로 모든 웨이퍼를 인라인 측정하는 능력 및 높은 처리량을 가진다.
시스템 아키텍처
도 5b는 컴퓨터 클러스터가 웹 서버 계층(예를 들어, NodeJS™) 및 빅 데이터 계층(예를 들어, 미국, Maryland, Forest Hill 에 소재한 Apache Software Corporation에서 상업적으로 이용 가능한 Hadoop ™ Cluster)을 포함하는 여기에 설명된 본 발명의 하나 이상의 실시예를 구현하기 위한 아키텍처를 보여준다. 빅 데이터 계층은 확장 가능하고 분산된 방식으로 데이터를 저장하고 처리한다. 웹 서버 계층을 통해 사용자는 제어 시스템에서 처리 작업을 정의하고 제어 시스템 보고서 및 실행 상태를 볼 수 있다. 두 계층 모두 확장 성, 고-가용성 및 부하 분산(load balancing)을 달성하기 위해 마이크로 서비스 접근 방식을 사용하여 설계되었다. 메시지 큐는 긴밀한 결합을 줄이기 위해 서비스 간 통신에 사용된다. 빅 데이터 계층의 성능을 향상시키기 위해 다양한 데이터 전처리 파이프 라인이 사용된다.
데이터 크기 및 장기적 프로세스 견고성
빅 데이터 시스템을 사용하면 예측 모델(116)을 훈련하는 데 사용할 수 있는 훈련 데이터의 양을 늘릴 수 있을뿐만 아니라 예측 모델(116)을 실시간으로 개선 및 업데이트할 수 있어, 데이터 관리 오버 헤드로 인한 정확도 제한을 제거하고 장기적인 프로세스 견고성을 보장한다. 도 6a 및 6b에서는 FEOL 계층에 적용된 예측 모델(116)의 개선된 정확도 성능의 두 가지 예를 도시한다. 여기서 참조는 NOVA T600®-MMSR에서 개발된 물리적 모델로, 예측 모델(116)은 추론을 위해 두 개의 일반 채널을 사용했다. 플롯은 ~2000 다이에 걸친 블라인드 테스트 결과의 1σ로 규정된 예측 모델(116)의 정확도가 모델을 훈련하는 데 사용되는 웨이퍼의 수에 의존하는 방식을 보여준다. 여기서 각 웨이퍼는 ~ 15 개의 다이를 가지고 있다. 도 6a에서 볼 수 있듯이, 트레인 크기를 5 개 웨이퍼에서 200 개로 늘릴 때 정확도가 45 %(13A-> 6A) 향상될 수 있다. 이러한 큰 샘플에서 포화 상태는 일반적이지 않으며, DOE가 존재할 경우 제공된 사이트에서 수십배의 우수한 결과를 자주 볼 수 있다. 도 6b는 예측 모델(116)의 정확도가 훈련에 사용되는 데이터 및 사용되는 데이터의 양에 크게 의존하는 다른 상황을 보여준다. 특히, 도 6b는 블라인드 테스트 웨이퍼(곡선 600으로 표시됨)에 시간상 가장 가까운 ~20 웨이퍼로 훈련할 때, 블라인드 테스트(곡선 602 참조)로부터 가장 멀리 떨어진 100개의 웨이퍼로 훈련될 때와 동일한 수준의 정확도로 수렴되었음을 보여준다. 이러한 곡선 사이에는 벤치 마크 곡선(곡선 604로 표시됨)이 있다.이 곡선은 시간의 중요성에 대한 기억을 '손실'하기 위해 데이터의 무작위 셔플을 설명한다. 이것은 웨이퍼의 유형이 중요하고 이 애플리케이션이 현재 실시예의 빅 데이터 시스템이 가능하게하는 ML 레서피의 동적 업데이트로부터 이익을 얻을 수 있음을 나타냅니다.
위에서 설명한 레서피 업데이트 단계는 재 훈련을 수행하는 데 사용되는 데이터의 선택과 마찬가지로 자동으로 수행된다. 그러한 선택은 사용 가능한 모든 데이터를 사용하는 것이거나, 각 웨이퍼에 대한 모니터 플래그의 값 및/또는 처리중인 다른 웨이퍼에 대한 주어진 웨이퍼의 시간 또는 프로세스 공간에서의 근접성을 고려하는 로직에 기반할 수 있다. 예를 들어, 재훈련에 사용할 수 있는 데이터에서 각 웨이퍼에 대해 다른 가중치 ρ가 설정될 수 있다. 가중치 ρ는 해당 웨이퍼의 플래그 값, 스펙트럼 자체 및/또는 웨이퍼 및 로트 ID와 같은 기타 메타 데이터 특성에 따라 달라질 수 있다. 이것은 도 7에 나와 있다.
본 명세서에 설명된 본 발명의 임의의 양상은 종래 기술에 따라 비 일시적 컴퓨터 판독 가능 매체에 구현된 컴퓨터 하드웨어 및/또는 컴퓨터 소프트웨어에서 구현될 수 있으며, 컴퓨터 하드웨어는 하나 이상의 컴퓨터 프로세서, 컴퓨터 메모리, I/O 장치 및 기존 기술에 따라 상호 운용되는 네트워크 인터페이스를 포함한다.
본 명세서에서 사용되는 용어 "프로세서" 또는 "디바이스"는 예를 들어 CPU(중앙 처리 장치) 및/또는 다른 처리 회로를 포함하는 처리 장치와 같은, 임의의 처리 장치를 포함하도록 의도된 것임을 이해해야한다. 또한, "프로세서" 또는 "디바이스"라는 용어는 하나보다 많은 처리 장치를 지칭할 수 있고 처리 장치와 관련된 다양한 요소들이 다른 처리 장치에 의해 공유될 수 있음을 이해해야한다.
본 명세서에서 사용된 용어 "메모리"는 예를 들어 RAM, ROM, 고정 메모리 장치(예: 하드 드라이브), 이동식 메모리 장치(예: 디스켓) 플래시 메모리, 등과 같은 프로세서 또는 CPU와 관련된 메모리를 포함하도록 의도된다. 이러한 메모리는 컴퓨터 판독 가능 저장 매체로 간주될 수 있다.
또한, 여기에 사용된 "입력/출력 장치"또는 "I/O 장치"라는 문구는 예를 들어 데이터를 처리 유닛에 입력하기 위한 하나 이상의 입력 장치(예: 키보드, 마우스, 스캐너 등), 및/또는 처리 유닛과 관련된 결과를 제시하기 위한 하나 이상의 출력 장치(예를 들어, 스피커, 디스플레이, 프린터 등)를 포함하도록 의도된다.
본 발명의 실시예는 시스템, 방법 및/또는 컴퓨터 프로그램 제품을 포함할 수 있다. 컴퓨터 프로그램 제품은 프로세서로 하여금 본 발명의 양태를 수행하게하는 컴퓨터 판독 가능 프로그램 명령어를 갖는 컴퓨터 판독 가능 저장 매체(또는 매체들)를 포함할 수 있다.
컴퓨터 판독 가능 저장 매체는 명령어 실행 장치에 의해 사용하기 위한 명령어를 보유하고 저장할 수 있는, 유형의 장치일 수 있다. 컴퓨터 판독 가능 저장 매체는 예를 들어, 전자 저장 장치, 자기 저장 장치, 광학 저장 장치, 전자기 저장 장치, 반도체 저장 장치, 또는 이들의 임의의 적절한 조합일 수 있지만 이에 제한되지 않는다. 컴퓨터 판독 가능 저장 매체의 보다 구체적인 예의 비-완전한 목록은 휴대용 컴퓨터 디스켓, 하드 디스크, RAM(Random Access Memory), ROM(Read-Only Memory), 지울 수 있는 프로그래밍가능 읽기-전용 메모리(EPROM 또는 플래시 메모리), 정적 랜덤 액세스 메모리(SRAM), 휴대용 컴팩트 디스크 읽기 전용 메모리(CD-ROM), 디지털 다목적 디스크(DVD), 메모리 스틱, 플로피 디스크, 기계적으로 인코딩된 장치, 펀치-카드 또는 그루브에 기록된 명령어를 갖는 융기 구조, 및 전술한 임의의 적절한 조합을 포함한다. 본 명세서에 사용된 바와 같이, 컴퓨터 판독 가능 저장 매체는 전파 또는 기타 자유롭게 전파하는 전자기파, 도파관 또는 기타 전송 매체를 통해 전파하는 전자기파(예: 광섬유 케이블을 통과하는 광 펄스), 또는 전선을 통해 전송되는 전기 신호와 같은 일시적 신호로 간주되어서는 안된다.
여기에 설명된 컴퓨터 판독 가능 프로그램 명령어는 컴퓨터 판독 가능 저장 매체로부터 각각의 컴퓨팅/처리 장치로 또는 네트워크, 예를 들어 인터넷, 근거리 통신망, 광역 통신망, 및/또는 무선 네트워크를 통해 외부 컴퓨터 또는 외부 저장 장치로 다운로드될 수 있다. 네트워크는 구리 전송 케이블, 광 전송 섬유, 무선 전송, 라우터, 방화벽, 스위치, 게이트웨이 컴퓨터 및/또는 에지 서버를 포함할 수 있다. 각 컴퓨팅/처리 장치의 네트워크 어댑터 카드 또는 네트워크 인터페이스는 네트워크로부터 컴퓨터 판독 가능 프로그램 명령어를 수신하고 각 컴퓨팅/처리 장치 내의 컴퓨터 판독 가능 저장 매체에 저장하기 위해 컴퓨터 판독 가능 프로그램 명령어를 전달한다.
본 발명의 동작을 수행하기 위한 컴퓨터 판독 가능 프로그램 명령어는 어셈블러 명령어, ISA(명령어 집합 아키텍처) 명령어, 기계 명령어, 기계 종속 명령어, 마이크로 코드, 펌웨어 명령어, 상태 설정 데이터 또는 소스 코드 또는 객체 코드일 수 있고, 이러한 소스 코드 또는 객체 코드는 Java, Smalltalk, C ++ 등과 같은 객체 지향 프로그래밍 언어와 "C" 프로그래밍 언어 또는 유사한 프로그래밍 언어와 같은 기존의 절차적 프로그래밍 언어를 포함한, 하나 이상의 프로그래밍 언어의 임의의 조합으로 작성된다. 컴퓨터 판독 가능 프로그램 명령어는 전적으로 사용자의 컴퓨터에서, 부분적으로 사용자의 컴퓨터에서, 독립형 소프트웨어 패키지로, 부분적으로는 사용자의 컴퓨터에서 그리고 부분적으로는 원격 컴퓨터에서, 또는 전적으로 원격 컴퓨터 또는 서버에서 실행될 수 있다. 후자의 시나리오에서 원격 컴퓨터는 LAN(Local Area Network) 또는 WAN(Wide Area Network)을 포함한 임의의 유형의 네트워크를 통해 사용자의 컴퓨터에 연결될 수 있고, 또는, 이러한 연결이 외부 컴퓨터에 대해 이루어질 수 있다(예를 들어, 인터넷 서비스 제공 업체를 이용하여 인터넷을 통해). 일부 실시예에서, 예를 들어, 프로그래밍가능 논리 회로, FPGA(field-programmable gate arrays) 또는 PLA(programmable logic arrays)를 포함하는 전자 회로는 발명의 양태들을 수행하기 위해, 전자 회로를 개인화하도록 컴퓨터 판독 가능 프로그램 명령어의 상태 정보를 이용함으로써 컴퓨터 판독 가능 프로그램 명령어를 실행할 수 있다.
본 발명의 양태는 본 발명의 실시예에 따른 방법, 장치(시스템) 및 컴퓨터 프로그램 제품의 흐름도 예시 및/또는 블록도를 참조하여 여기에서 설명된다. 흐름도 예시 및/또는 블록도의 각 블록, 및 흐름도 예시 및/또는 블록도의 블록 조합은 컴퓨터 판독 가능 프로그램 명령어에 의해 구현될 수 있음을 이해할 수 있을 것이다.
이러한 컴퓨터 판독 가능 프로그램 명령어는 범용 컴퓨터, 특수 목적 컴퓨터 또는 기타 프로그래밍 가능한 데이터 처리 장치의 프로세서에 제공되어 기계를 생성할 수 있고, 컴퓨터 또는 다른 프로그래밍가능 데이터 처리 장치의 프로세서를 통해 실행되는 명령어가 순서도 및/또는 블록도 블록(들)에 명시된 기능/작용을 구현하기 위한 수단을 생성하게 된다. 이러한 컴퓨터 판독 가능 프로그램 명령어는 또한 컴퓨터, 프로그래밍가능 데이터 처리 장치 및/또는 기타 장치가 특정 방식으로 기능하도록 지시할 수 있는 컴퓨터 판독 가능 저장 매체에 저장될 수 있고, 이러한 컴퓨터 판독가능 저장 매체는 순서도 및/또는 블록도 블록(들)에 명시된 기능/동작의 양태들을 구현하는 명령어를 포함하는 제조 물품을 포함한다.
컴퓨터 판독 가능 프로그램 명령어는 또한 컴퓨터, 기타 프로그래밍 가능 데이터 처리 장치 또는 기타 장치에 로드되어 일련의 작동 단계가 컴퓨터, 기타 프로그래밍 가능 장치 또는 기타 장치에서 수행되어 컴퓨터 구현 프로세스를 생성하도록할 수 있다. 컴퓨터, 다른 프로그래밍가능한 장치 또는 기타 장치에서 실행되는 명령어가 순서도 및/또는 블록도 블록(들)에 명시된 기능/동작을 구현한다.
도면의 흐름도 예시 및 블록도는 본 발명의 다양한 실시예에 따른 시스템, 방법 및 컴퓨터 프로그램 제품의 가능한 구현의 아키텍처, 기능 및 동작을 설명한다. 이와 관련하여, 흐름도 예시 또는 블록도의 각 블록은 지정된 논리 기능(들)을 구현하기 위한 하나 이상의 실행 가능한 컴퓨터 명령어를 포함하는 컴퓨터 명령어의 모듈, 세그먼트 또는 일부를 나타낼 수 있다. 일부 대안적인 구현에서, 블록에 언급된 기능은 도면에 언급된 순서와 다르게 발생할 수 있다. 예를 들어, 연속적으로 도시된 두 블록은 실제로는 실질적으로 동시에 실행될 수 있거나, 관련된 기능에 따라 블록이 때때로 역순으로 실행될 수 있다. 또한, 플로우 차트 예시 및 블록도의 각 블록, 그리고 이러한 블록의 조합은 지정된 기능이나 동작을 수행하는 특수 목적의 하드웨어 기반 및/또는 소프트웨어 기반 시스템에 의해 구현될 수 있다는 점에 유의할 것이다.
본 발명의 다양한 실시예에 대한 설명은 예시의 목적으로 제시되었지만, 개시된 실시예에 한정되거나 배타적 인 것으로 의도되지 않는다. 예를 들어, 여기에 설명된 시스템 및 방법은 반도체 웨이퍼상의 모든 유형의 구조에 적용 가능하다. 설명된 실시예의 범위 및 사상을 벗어나지 않고 많은 수정 및 변경이 당업자에게 명백할 것이다.

Claims (1)

  1. 반도체 계측 방법에 있어서,
    스펙트럼 획득 도구를 사용하고 제 1 측정 프로토콜에 따라, 제 1 반도체 웨이퍼 타겟 세트에 대한 기준선 스펙트럼 세트를 수집하는 단계;
    기준 계측 도구를 사용하고 제 2 측정 프로토콜에 따라 상기 제 1 반도체 웨이퍼 타겟 세트의 사전 정의된 파라미터의 값을 수집하는 단계;
    하나 이상의 사전 정의된 스펙트럼 가변성 소스 각각에 대해, 상기 스펙트럼 획득 도구를 사용하고 상기 제 1 측정 프로토콜에 따라 상기 제 1 반도체 웨이퍼 타겟 세트에 대응하는 제 2 반도체 웨이퍼 타겟 세트에 대한 스펙트럼 가변성 세트를 수집하는 단계 - 스펙트럼 가변성 세트는 스펙트럼 가변성을 포함함; 및
    수집된 스펙트럼 세트 및 파라미터 값을 사용하여 머신 러닝을 이용한 예측 모델을 훈련하고, 상기 예측 모델과 관련된 손실 함수를 최소화하는 단계를 포함하며,
    상기 예측 모델은 제 3 반도체 웨이퍼 타겟 세트의 생산 스펙트럼을 이용하여 임의의 사전 정의된 파라미터에 대한 값들을 예측하는데 사용되도록 구성되고, 상기 생산 스펙트럼은 상기 스펙트럼 획득 도구를 이용하여 제 1 측정 프로토콜에 따라 수집되는, 반도체 계측 방법.
KR1020237033736A 2018-06-14 2019-06-14 반도체 제조용 측정 및 공정 제어 KR20230144122A (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201862684817P 2018-06-14 2018-06-14
US62/684,817 2018-06-14
PCT/IB2019/054994 WO2019239380A1 (en) 2018-06-14 2019-06-14 Metrology and process control for semiconductor manufacturing
KR1020227000367A KR102586405B1 (ko) 2018-06-14 2019-06-14 반도체 제조용 측정 및 공정 제어

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020227000367A Division KR102586405B1 (ko) 2018-06-14 2019-06-14 반도체 제조용 측정 및 공정 제어

Publications (1)

Publication Number Publication Date
KR20230144122A true KR20230144122A (ko) 2023-10-13

Family

ID=68843046

Family Applications (3)

Application Number Title Priority Date Filing Date
KR1020237033736A KR20230144122A (ko) 2018-06-14 2019-06-14 반도체 제조용 측정 및 공정 제어
KR1020227000367A KR102586405B1 (ko) 2018-06-14 2019-06-14 반도체 제조용 측정 및 공정 제어
KR1020207035875A KR102349299B1 (ko) 2018-06-14 2019-06-14 반도체 제조용 측정 및 공정 제어

Family Applications After (2)

Application Number Title Priority Date Filing Date
KR1020227000367A KR102586405B1 (ko) 2018-06-14 2019-06-14 반도체 제조용 측정 및 공정 제어
KR1020207035875A KR102349299B1 (ko) 2018-06-14 2019-06-14 반도체 제조용 측정 및 공정 제어

Country Status (7)

Country Link
US (3) US11093840B2 (ko)
JP (3) JP6974635B2 (ko)
KR (3) KR20230144122A (ko)
CN (2) CN111566566B (ko)
IL (1) IL279273B (ko)
TW (3) TWI830165B (ko)
WO (1) WO2019239380A1 (ko)

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11940488B2 (en) 2017-01-05 2024-03-26 Xcalipr Corporation Systems and methods for high precision optical characterization of carrier transport properties in semiconductor manufacturing
JP2022552845A (ja) * 2019-10-23 2022-12-20 ラム リサーチ コーポレーション 半導体を製造するためのレシピの決定
US20230023634A1 (en) * 2020-01-06 2023-01-26 Nova Ltd. Combining physical modeling and macine learning
US11256967B2 (en) * 2020-01-27 2022-02-22 Kla Corporation Characterization system and method with guided defect discovery
US11209737B1 (en) 2020-06-30 2021-12-28 Kla Corporation Performance optimized scanning sequence for eBeam metrology and inspection
IL308126A (en) * 2021-05-06 2023-12-01 Asml Netherlands Bv A method for determining a stochastic index related to a lithographic process
KR102504761B1 (ko) 2022-01-25 2023-02-28 (주)오로스 테크놀로지 박막 특성 측정 방법
TW202405371A (zh) * 2022-04-07 2024-02-01 以色列商諾威股份有限公司 用於光學臨界尺寸量測的方法、用於光學臨界尺寸量測的系統以及非暫時性機器可存取儲存媒體

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100708423B1 (ko) * 1999-09-08 2007-04-18 어드밴스드 마이크로 디바이시즈, 인코포레이티드 발광 스펙트럼의 주성분 분석을 이용하여 식각 종료점을 결정하는 방법 및 이를 포함하는 웨이퍼 식각 방법
IL140179A (en) * 2000-12-07 2004-09-27 Nova Measuring Instr Ltd Method and system for measuring in patterned structures
US20040267397A1 (en) * 2003-06-27 2004-12-30 Srinivas Doddi Optical metrology of structures formed on semiconductor wafer using machine learning systems
WO2008020899A2 (en) * 2006-04-17 2008-02-21 Cdm Optics, Inc. Arrayed imaging systems and associated methods
JP2008171911A (ja) * 2007-01-10 2008-07-24 Tokyo Electron Ltd ラフネス評価方法及びシステム
US8520186B2 (en) * 2009-08-25 2013-08-27 Cymer, Llc Active spectral control of optical source
US9052709B2 (en) 2010-07-30 2015-06-09 Kla-Tencor Corporation Method and system for providing process tool correctables
US9127927B2 (en) * 2011-12-16 2015-09-08 Kla-Tencor Corporation Techniques for optimized scatterometry
WO2013124131A2 (en) * 2012-02-21 2013-08-29 Asml Netherlands B.V. Inspection apparatus and method
US8843875B2 (en) * 2012-05-08 2014-09-23 Kla-Tencor Corporation Measurement model optimization based on parameter variations across a wafer
US9875946B2 (en) * 2013-04-19 2018-01-23 Kla-Tencor Corporation On-device metrology
US10935893B2 (en) * 2013-08-11 2021-03-02 Kla-Tencor Corporation Differential methods and apparatus for metrology of semiconductor targets
JP6339333B2 (ja) * 2013-08-27 2018-06-06 芝浦メカトロニクス株式会社 ドライエッチング装置およびエッチング量測定方法
US10152654B2 (en) 2014-02-20 2018-12-11 Kla-Tencor Corporation Signal response metrology for image based overlay measurements
US10352876B2 (en) * 2014-05-09 2019-07-16 KLA—Tencor Corporation Signal response metrology for scatterometry based overlay measurements
US10151986B2 (en) * 2014-07-07 2018-12-11 Kla-Tencor Corporation Signal response metrology based on measurements of proxy structures
WO2016086056A1 (en) * 2014-11-25 2016-06-02 Kla-Tencor Corporation Analyzing and utilizing landscapes
US9903711B2 (en) * 2015-04-06 2018-02-27 KLA—Tencor Corporation Feed forward of metrology data in a metrology system
CN107438795A (zh) * 2015-04-10 2017-12-05 Asml荷兰有限公司 用于检查和量测的方法和设备
KR102353145B1 (ko) * 2015-04-10 2022-01-18 에이에스엠엘 네델란즈 비.브이. 검사와 계측을 위한 방법 및 장치
WO2016177548A1 (en) * 2015-05-07 2016-11-10 Asml Netherlands B.V. Metrology method and apparatus, computer program and lithographic system
US9995689B2 (en) * 2015-05-22 2018-06-12 Nanometrics Incorporated Optical metrology using differential fitting
US10395356B2 (en) * 2016-05-25 2019-08-27 Kla-Tencor Corp. Generating simulated images from input images for semiconductor applications
US10346740B2 (en) * 2016-06-01 2019-07-09 Kla-Tencor Corp. Systems and methods incorporating a neural network and a forward physical model for semiconductor applications
CN109313393A (zh) * 2016-06-09 2019-02-05 Asml荷兰有限公司 计量设备

Also Published As

Publication number Publication date
JP2021521654A (ja) 2021-08-26
CN111566566B (zh) 2022-04-08
US11093840B2 (en) 2021-08-17
IL279273A (en) 2021-01-31
JP6974635B2 (ja) 2021-12-01
IL279273B (en) 2022-02-01
US20210150387A1 (en) 2021-05-20
TWI830165B (zh) 2024-01-21
KR102586405B1 (ko) 2023-10-10
TWI714388B (zh) 2020-12-21
CN114997408A (zh) 2022-09-02
JP2023055776A (ja) 2023-04-18
JP7218412B2 (ja) 2023-02-06
KR20210033945A (ko) 2021-03-29
TWI760929B (zh) 2022-04-11
US20240078450A1 (en) 2024-03-07
TW202127009A (zh) 2021-07-16
TW202244486A (zh) 2022-11-16
WO2019239380A1 (en) 2019-12-19
US11763181B2 (en) 2023-09-19
KR102349299B1 (ko) 2022-01-11
JP2022020745A (ja) 2022-02-01
TW202045911A (zh) 2020-12-16
CN111566566A (zh) 2020-08-21
KR20220008384A (ko) 2022-01-20
US20220036218A1 (en) 2022-02-03

Similar Documents

Publication Publication Date Title
KR102586405B1 (ko) 반도체 제조용 측정 및 공정 제어
KR102648517B1 (ko) 통합형 반도체 공정 모듈을 포함하는 자기 인식 및 보정 이종 플랫폼, 및 이를 사용하기 위한 방법
TWI794451B (zh) 結合整合式半導體處理模組的自我察知及修正異質平台及其使用方法
KR102376824B1 (ko) 제조 공정들을 학습하고 제조 공정들을 최적화하기 위한 시스템 및 방법
TWI672599B (zh) 探索裝置及探索方法
KR20230042113A (ko) 하이브리드 학습 모델들의 사용에 의해 성능이 향상된 반도체 프로세싱 툴들
Wang et al. A batch-based run-to-run process control scheme for semiconductor manufacturing
US20230384777A1 (en) Methods and mechanisms for preventing fluctuation in machine-learning model performance
Bringoltz et al. Machine Learning and Big Data in optical CD metrology for process control
US20230342016A1 (en) Methods and mechanisms for generating virtual knobs for model performance tuning
US11892821B2 (en) Communication node to interface between evaluation systems and a manufacturing system
US11487848B2 (en) Process abnormality identification using measurement violation analysis
KR102554791B1 (ko) 데이터 세트로부터의 피쳐의 추출
TWI838361B (zh) 結合整合式半導體處理模組的自我察知及修正異質平台及其使用方法
TW202341307A (zh) 用於腔室條件監測的預測模型

Legal Events

Date Code Title Description
A107 Divisional application of patent
E902 Notification of reason for refusal